Tests: Fix t_interface_array2 tests (#6065)

This commit is contained in:
Ryszard Rozak 2025-06-06 12:28:29 +02:00 committed by GitHub
parent ed46878f7b
commit 1a3fd92063
No known key found for this signature in database
GPG Key ID: B5690EEEBB952194
4 changed files with 5 additions and 3 deletions

View File

@ -11,7 +11,7 @@ import vltest_bootstrap
test.scenarios('simulator')
test.compile()
test.compile(timing_loop=True, v_flags2=["--timing"])
test.execute()

View File

@ -54,12 +54,14 @@ module t
genvar j;
for (j = 0;j < N-1; j++) begin
initial begin
#1;
if (ifs[j].logic_in_intf != data[j]) $stop;
end
end
endgenerate
initial begin
#1;
if (ifs[5].logic_in_intf != ~ifs[4].logic_in_intf) $stop;
$write("*-* All Finished *-*\n");
$finish;

View File

@ -12,7 +12,7 @@ import vltest_bootstrap
test.scenarios('simulator')
test.top_filename = "t/t_interface_array2.v"
test.compile(v_flags2=["--coverage"])
test.compile(timing_loop=True, v_flags2=["--coverage --timing"])
test.execute()

View File

@ -12,7 +12,7 @@ import vltest_bootstrap
test.scenarios('simulator')
test.top_filename = "t/t_interface_array2.v"
test.compile(v_flags2=["-fno-inline"])
test.compile(timing_loop=True, v_flags2=["-fno-inline --timing"])
test.execute()