Tests: Fix t_interface_array2 tests (#6065)
This commit is contained in:
parent
ed46878f7b
commit
1a3fd92063
|
@ -11,7 +11,7 @@ import vltest_bootstrap
|
|||
|
||||
test.scenarios('simulator')
|
||||
|
||||
test.compile()
|
||||
test.compile(timing_loop=True, v_flags2=["--timing"])
|
||||
|
||||
test.execute()
|
||||
|
||||
|
|
|
@ -54,12 +54,14 @@ module t
|
|||
genvar j;
|
||||
for (j = 0;j < N-1; j++) begin
|
||||
initial begin
|
||||
#1;
|
||||
if (ifs[j].logic_in_intf != data[j]) $stop;
|
||||
end
|
||||
end
|
||||
endgenerate
|
||||
|
||||
initial begin
|
||||
#1;
|
||||
if (ifs[5].logic_in_intf != ~ifs[4].logic_in_intf) $stop;
|
||||
$write("*-* All Finished *-*\n");
|
||||
$finish;
|
||||
|
|
|
@ -12,7 +12,7 @@ import vltest_bootstrap
|
|||
test.scenarios('simulator')
|
||||
test.top_filename = "t/t_interface_array2.v"
|
||||
|
||||
test.compile(v_flags2=["--coverage"])
|
||||
test.compile(timing_loop=True, v_flags2=["--coverage --timing"])
|
||||
|
||||
test.execute()
|
||||
|
||||
|
|
|
@ -12,7 +12,7 @@ import vltest_bootstrap
|
|||
test.scenarios('simulator')
|
||||
test.top_filename = "t/t_interface_array2.v"
|
||||
|
||||
test.compile(v_flags2=["-fno-inline"])
|
||||
test.compile(timing_loop=True, v_flags2=["-fno-inline --timing"])
|
||||
|
||||
test.execute()
|
||||
|
||||
|
|
Loading…
Reference in New Issue