From d667b73e8dd446122f4b79dc9a2af3038b0aa59e Mon Sep 17 00:00:00 2001 From: Szymon Gizler Date: Fri, 9 Feb 2024 17:50:09 -0500 Subject: [PATCH] Add --json-only and related JSON dumping (#4715) (#4831). --- Changes | 2 + Makefile.in | 10 +- bin/verilator | 5 + docs/guide/deprecations.rst | 4 + docs/guide/exe_verilator.rst | 42 +- docs/guide/files.rst | 4 + docs/internals.rst | 78 + examples/{xml_py => json_py}/.gitignore | 0 examples/{xml_py => json_py}/Makefile | 0 examples/{xml_py => json_py}/sub.v | 0 examples/{xml_py => json_py}/top.v | 0 examples/{xml_py => json_py}/vl_file_copy | 37 +- examples/{xml_py => json_py}/vl_hier_graph | 70 +- src/V3Ast.cpp | 23 + src/V3Ast.h | 25 + src/V3AstNodeDType.h | 9 + src/V3AstNodeExpr.h | 28 + src/V3AstNodeOther.h | 49 + src/V3AstNodes.cpp | 432 +- src/V3FileLine.cpp | 15 + src/V3FileLine.h | 4 + src/V3Global.cpp | 24 + src/V3Global.h | 7 + src/V3Options.cpp | 17 +- src/V3Options.h | 12 +- src/Verilator.cpp | 34 +- src/astgen | 25 + test_regress/driver.pl | 1 + test_regress/t/t_clk_concat.out | 658 +++ test_regress/t/t_clk_concat.pl | 10 +- test_regress/t/t_clk_concat_vlt.out | 658 +++ test_regress/t/t_clk_concat_vlt.pl | 12 +- test_regress/t/t_clk_first.pl | 2 +- test_regress/t/t_constraint_json_only.out | 59 + test_regress/t/t_constraint_json_only.pl | 25 + test_regress/t/t_constraint_json_only.v | 62 + test_regress/t/t_dedupe_clk_gate.out | 1602 ++++++ test_regress/t/t_dedupe_clk_gate.pl | 6 +- test_regress/t/t_dist_error_format.pl | 1 + test_regress/t/t_dpi_var.out | 1451 ++++++ test_regress/t/t_dpi_var.pl | 12 +- test_regress/t/t_dpi_var_vlt.out | 1451 ++++++ test_regress/t/t_dpi_var_vlt.pl | 12 +- test_regress/t/t_flag_noop_bad.out | 2 +- test_regress/t/t_flag_only_bad.out | 2 +- test_regress/t/t_flag_only_bad.pl | 2 +- test_regress/t/t_flag_only_bad2.out | 2 +- test_regress/t/t_flag_only_bad2.pl | 2 +- test_regress/t/t_flag_only_bad3.out | 2 +- test_regress/t/t_flag_only_bad3.pl | 4 +- test_regress/t/t_func_dotted_inl0.out | 750 +++ test_regress/t/t_func_dotted_inl0.pl | 12 +- test_regress/t/t_func_dotted_inl0_vlt.out | 750 +++ test_regress/t/t_func_dotted_inl0_vlt.pl | 12 +- test_regress/t/t_func_dotted_inl1.pl | 10 +- test_regress/t/t_func_dotted_inl1_vlt.pl | 10 +- test_regress/t/t_func_dotted_inl2.out | 693 +++ test_regress/t/t_func_dotted_inl2.pl | 8 +- test_regress/t/t_func_dotted_inl2_vlt.out | 693 +++ test_regress/t/t_func_dotted_inl2_vlt.pl | 8 +- test_regress/t/t_inst_tree_inl0_pub0.out | 1456 ++++++ test_regress/t/t_inst_tree_inl0_pub0.pl | 16 +- test_regress/t/t_inst_tree_inl1_pub0.out | 1364 +++++ test_regress/t/t_inst_tree_inl1_pub0.pl | 10 +- test_regress/t/t_inst_tree_inl1_pub1.out | 4371 +++++++++++++++++ test_regress/t/t_inst_tree_inl1_pub1.pl | 10 +- test_regress/t/t_json_only_begin_hier.out | 54 + test_regress/t/t_json_only_begin_hier.pl | 25 + test_regress/t/t_json_only_begin_hier.v | 33 + test_regress/t/t_json_only_debugcheck.out | 3004 +++++++++++ test_regress/t/t_json_only_debugcheck.pl | 28 + test_regress/t/t_json_only_first.out | 101 + test_regress/t/t_json_only_first.pl | 25 + test_regress/t/t_json_only_first.v | 55 + test_regress/t/t_json_only_flat.out | 154 + test_regress/t/t_json_only_flat.pl | 27 + .../t/t_json_only_flat_no_inline_mod.out | 47 + .../t/t_json_only_flat_no_inline_mod.pl | 25 + .../t/t_json_only_flat_no_inline_mod.v | 13 + test_regress/t/t_json_only_flat_pub_mod.out | 47 + test_regress/t/t_json_only_flat_pub_mod.pl | 25 + test_regress/t/t_json_only_flat_pub_mod.v | 13 + test_regress/t/t_json_only_flat_vlvbound.out | 323 ++ test_regress/t/t_json_only_flat_vlvbound.pl | 25 + test_regress/t/t_json_only_flat_vlvbound.v | 27 + test_regress/t/t_json_only_output.out | 20 + test_regress/t/t_json_only_output.pl | 33 + test_regress/t/t_json_only_output.v | 10 + test_regress/t/t_json_only_tag.out | 99 + test_regress/t/t_json_only_tag.pl | 25 + test_regress/t/t_json_only_tag.v | 44 + test_regress/t/t_no_typedef_bad.pl | 2 +- test_regress/t/t_process_bad.pl | 2 +- test_regress/t/t_process_parse.pl | 4 +- test_regress/t/t_trace_public_sig_vlt.out | 1915 ++++++++ test_regress/t/t_trace_public_sig_vlt.pl | 6 +- test_regress/t/t_unopt_combo_isolate.out | 2124 ++++++++ test_regress/t/t_unopt_combo_isolate.pl | 14 +- test_regress/t/t_unopt_combo_isolate_vlt.out | 2124 ++++++++ test_regress/t/t_unopt_combo_isolate_vlt.pl | 14 +- test_regress/t/t_var_port_json_only.out | 105 + test_regress/t/t_var_port_json_only.pl | 25 + test_regress/t/t_var_port_json_only.v | 59 + 103 files changed, 27663 insertions(+), 180 deletions(-) rename examples/{xml_py => json_py}/.gitignore (100%) rename examples/{xml_py => json_py}/Makefile (100%) rename examples/{xml_py => json_py}/sub.v (100%) rename examples/{xml_py => json_py}/top.v (100%) rename examples/{xml_py => json_py}/vl_file_copy (81%) rename examples/{xml_py => json_py}/vl_hier_graph (70%) create mode 100644 test_regress/t/t_clk_concat.out create mode 100644 test_regress/t/t_clk_concat_vlt.out create mode 100644 test_regress/t/t_constraint_json_only.out create mode 100755 test_regress/t/t_constraint_json_only.pl create mode 100644 test_regress/t/t_constraint_json_only.v create mode 100644 test_regress/t/t_dedupe_clk_gate.out create mode 100644 test_regress/t/t_dpi_var.out create mode 100644 test_regress/t/t_dpi_var_vlt.out create mode 100644 test_regress/t/t_func_dotted_inl0.out create mode 100644 test_regress/t/t_func_dotted_inl0_vlt.out create mode 100644 test_regress/t/t_func_dotted_inl2.out create mode 100644 test_regress/t/t_func_dotted_inl2_vlt.out create mode 100644 test_regress/t/t_inst_tree_inl0_pub0.out create mode 100644 test_regress/t/t_inst_tree_inl1_pub0.out create mode 100644 test_regress/t/t_inst_tree_inl1_pub1.out create mode 100644 test_regress/t/t_json_only_begin_hier.out create mode 100755 test_regress/t/t_json_only_begin_hier.pl create mode 100644 test_regress/t/t_json_only_begin_hier.v create mode 100644 test_regress/t/t_json_only_debugcheck.out create mode 100755 test_regress/t/t_json_only_debugcheck.pl create mode 100644 test_regress/t/t_json_only_first.out create mode 100755 test_regress/t/t_json_only_first.pl create mode 100644 test_regress/t/t_json_only_first.v create mode 100644 test_regress/t/t_json_only_flat.out create mode 100755 test_regress/t/t_json_only_flat.pl create mode 100644 test_regress/t/t_json_only_flat_no_inline_mod.out create mode 100755 test_regress/t/t_json_only_flat_no_inline_mod.pl create mode 100644 test_regress/t/t_json_only_flat_no_inline_mod.v create mode 100644 test_regress/t/t_json_only_flat_pub_mod.out create mode 100755 test_regress/t/t_json_only_flat_pub_mod.pl create mode 100644 test_regress/t/t_json_only_flat_pub_mod.v create mode 100644 test_regress/t/t_json_only_flat_vlvbound.out create mode 100755 test_regress/t/t_json_only_flat_vlvbound.pl create mode 100644 test_regress/t/t_json_only_flat_vlvbound.v create mode 100644 test_regress/t/t_json_only_output.out create mode 100755 test_regress/t/t_json_only_output.pl create mode 100644 test_regress/t/t_json_only_output.v create mode 100644 test_regress/t/t_json_only_tag.out create mode 100755 test_regress/t/t_json_only_tag.pl create mode 100644 test_regress/t/t_json_only_tag.v create mode 100644 test_regress/t/t_trace_public_sig_vlt.out create mode 100644 test_regress/t/t_unopt_combo_isolate.out create mode 100644 test_regress/t/t_unopt_combo_isolate_vlt.out create mode 100644 test_regress/t/t_var_port_json_only.out create mode 100755 test_regress/t/t_var_port_json_only.pl create mode 100644 test_regress/t/t_var_port_json_only.v diff --git a/Changes b/Changes index 2db67edda..8e0870f49 100644 --- a/Changes +++ b/Changes @@ -18,7 +18,9 @@ Verilator 5.021 devel * Add --runtime-debug for Verilated executable runtime debugging. * Add `--decorations node` for inserting debug comments into emitted code. * Add `unroll_disable` and `unroll_full` loop control metacomments (#3260). [Jiaxun Yang] +* Add --json-only and related JSON dumping (#4715) (#4831). [Szymon Gizler, Antmicro Ltd.] * Remove deprecated 32-bit pointer mode (`gcc -m32`). +* Deprecate --xml-only and XML dumping (#4715) (#4831). * Change zero replication width error to ZEROREPL warning (#4753) (#4762). [Pengcheng Xu] * Support dumping coverage with --main. * Support `vpiConstType` in `vpi_get_str()` (#4797). [Marlon James] diff --git a/Makefile.in b/Makefile.in index 312eccb6a..ea2b6d5ad 100644 --- a/Makefile.in +++ b/Makefile.in @@ -112,7 +112,7 @@ SUBDIRS = docs src test_regress \ examples/make_tracing_c \ examples/make_tracing_sc \ examples/make_protect_lib \ - examples/xml_py \ + examples/json_py \ INFOS = verilator.html verilator.pdf @@ -269,7 +269,7 @@ installdata: $(MKINSTALLDIRS) $(DESTDIR)$(pkgdatadir)/examples/cmake_tracing_c $(MKINSTALLDIRS) $(DESTDIR)$(pkgdatadir)/examples/cmake_tracing_sc $(MKINSTALLDIRS) $(DESTDIR)$(pkgdatadir)/examples/cmake_protect_lib - $(MKINSTALLDIRS) $(DESTDIR)$(pkgdatadir)/examples/xml_py + $(MKINSTALLDIRS) $(DESTDIR)$(pkgdatadir)/examples/json_py cd $(srcdir) \ ; for p in $(VL_INST_DATA_SRCDIR_FILES) ; do \ $(INSTALL_DATA) $$p $(DESTDIR)$(pkgdatadir)/$$p; \ @@ -305,7 +305,7 @@ uninstall: -rmdir $(DESTDIR)$(pkgdatadir)/examples/cmake_tracing_c -rmdir $(DESTDIR)$(pkgdatadir)/examples/cmake_tracing_sc -rmdir $(DESTDIR)$(pkgdatadir)/examples/cmake_protect_lib - -rmdir $(DESTDIR)$(pkgdatadir)/examples/xml_py + -rmdir $(DESTDIR)$(pkgdatadir)/examples/json_py -rmdir $(DESTDIR)$(pkgdatadir)/examples -rmdir $(DESTDIR)$(pkgdatadir) -rmdir $(DESTDIR)$(pkgconfigdir) @@ -399,8 +399,8 @@ PY_PROGRAMS = \ bin/verilator_gantt \ bin/verilator_includer \ bin/verilator_profcfunc \ - examples/xml_py/vl_file_copy \ - examples/xml_py/vl_hier_graph \ + examples/json_py/vl_file_copy \ + examples/json_py/vl_hier_graph \ docs/guide/conf.py \ docs/bin/vl_sphinx_extract \ docs/bin/vl_sphinx_fix \ diff --git a/bin/verilator b/bin/verilator index 83759f9ab..a542724a2 100755 --- a/bin/verilator +++ b/bin/verilator @@ -490,6 +490,11 @@ detailed descriptions of these arguments. --x-assign Assign non-initial Xs to this value --x-initial Assign initial Xs to this value --x-initial-edge Enable initial X->0 and X->1 edge triggers + --no-json-edit-nums Don't dump editNum in .tree.json files + --no-json-ids Don't use short identifiers instead of adresses/paths in .tree.json + --json-only Create JSON parser output (.tree.json and .meta.json) + --json-only-output .tree.json output filename + --json-only-meta-output .tree.meta.json output filename --xml-only Create XML parser output --xml-output XML output filename -y Directory to search for modules diff --git a/docs/guide/deprecations.rst b/docs/guide/deprecations.rst index 6cc5ed6d3..214ea02f4 100644 --- a/docs/guide/deprecations.rst +++ b/docs/guide/deprecations.rst @@ -13,3 +13,7 @@ C++14 compiler support Verilator will require C++20 or newer compilers for both compiling Verilator and compiling all Verilated models no sooner than January 2025. + +XML output + Verilator currently supports XML parser output (enabled with `--xml-only`). + Support for `--xml-*` options will be deprecated no sooner than January 2025. diff --git a/docs/guide/exe_verilator.rst b/docs/guide/exe_verilator.rst index e4b3c914d..6c60718b2 100644 --- a/docs/guide/exe_verilator.rst +++ b/docs/guide/exe_verilator.rst @@ -1766,19 +1766,57 @@ Summary: iterations. This may be another indication of problems with the modeled design that should be addressed. +.. option:: --json-only + + Create JSON output only, do not create any other output. + + The JSON format is intended to be used to leverage Verilator's parser and + elaboration to feed to other downstream tools. For details on the format, see + the Verilator Internals manual. Be aware that the JSON + format is still evolving; there will be some changes in future versions. + + This option disables some more agressive transformations and dumps only the + final state of the AST. + +.. option:: --json-only-meta-output + + Specifies the filename for the metadata output file (`.tree.meta.json`) of --json-only. + Using this option automatically sets :vlopt:`--json-only`. + +.. option:: --json-only-output + + Specifies the filename for the main output file (`.tree.json`) of --json-only. + Using this option automatically sets :vlopt:`--json-only`. + +.. option:: --no-json-edit-nums + + Don't dump editNum in .tree.json files. This may make the file more + run-to-run stable for easier comparison. + +.. option:: --no-json-ids + + Don't use short identifiers instead of adresses/paths in .tree.json. + .. option:: --xml-only Create XML output only, do not create any other output. The XML format is intended to be used to leverage Verilator's parser and - elaboration to feed to other downstream tools. Be aware that the XML - format is still evolving; there will be some changes in future versions. + elaboration to feed to other downstream tools. + + .. note:: + + This feature is deprecated in favor of :vlopt:`--json-only`. .. option:: --xml-output Specifies the filename for the XML output file. Using this option automatically sets :vlopt:`--xml-only`. + .. note:: + + This feature is deprecated in favor of :vlopt:`--json-only`. + .. option:: -y Add the directory to the list of directories that should be searched to find diff --git a/docs/guide/files.rst b/docs/guide/files.rst index ff400947b..cb5d88e4d 100644 --- a/docs/guide/files.rst +++ b/docs/guide/files.rst @@ -112,6 +112,10 @@ In specific debug and other modes, it also creates: * - *{prefix}*\ .xml - XML tree information (from --xml) + * - *{prefix}*\ .tree.json + - JSON tree information (from --json-only) + * - *{prefix}*\ .tree.meta.json + - JSON tree metadata (from --json-only) * - *{prefix}*\ __cdc.txt - Clock Domain Crossing checks (from --cdc) * - *{prefix}*\ __stats.txt diff --git a/docs/internals.rst b/docs/internals.rst index de53d6b97..b9444f51e 100644 --- a/docs/internals.rst +++ b/docs/internals.rst @@ -1696,6 +1696,84 @@ Similarly, the ``NETLIST`` has a list of modules referred to by its ``op1p()`` pointer. +.tree.json Output +----------------- + +``.tree.json``` is an alternative dump format to ``.tree`` that is meant for +programmatic processing (e.g. with `astsee `_). +To enable this dump format, use :vlopt:`--json-only`. + +Structure: +:: + + { + /* Attributes that are common to all types of nodes */ + "type": "VAR", + "name": "cyc", + /* By default addresses and filenames use short/stable ids rather than real value */ + "addr": "(H)", + "loc": "a,25:12,26:15", /* "fileid,firstLine:firstCol,lastLine:endCol" (endCol is right exclusive) */ + "editNum": 602, + /* Fields that are specific to AstVar nodes: */ + "origName": "cyc", + "isSc": false, + "ioDirection": "NONE", + "isConst": false, + "isPullup": false, + "isPulldown": false, + "isUsedClock": false, + "isSigPublic": false, + "isLatched": false, + "isUsedLoopIdx": false, + "noReset": false, + "attrIsolateAssign": false, + "attrFileDescr": false, + "isDpiOpenArray": false, + "isFuncReturn": false, + "isFuncLocal": false, + "attrClocker": "UNKNOWN", + "lifetime": "NONE", + "varType": "VAR", + /* Lists of child nodes (which use similar structure as their parent): */ + "childDTypep": [ /* ... */ ], + "delayp": [ /* ... */ ], + "valuep": [ /* ... */ ], + "attrsp": [ /* ... */ ] + } + +.tree.meta.json Output +---------------- + +.tree.meta.json contains metadata that is common across the whole AST tree. + +Besides de-duplication of data shared between multiple stages, .meta.json enables offloading +unstable data (that can vary from machine-to-machine or run-to-run) from main .tree.json. +This offloading allows, for example, to use byte-to-byte comparisons of AST dumps in tests. + +:: + + {"files": { + /* Map id to filename, and other metadata */ + "d": {"filename":"/home/ant/tmp/verilator/include/verilated_std.sv", "realpath":"/home/ant/tmp/verilator/include/verilated_std.sv", "language":"1800-2017"}, + "a": {"filename":"", "realpath":"", "language":"1800-2017"}, + "b": {"filename":"", "realpath":"", "language":"1800-2017"}, + "c": {"filename":"input.vc", "realpath":"/home/ant/tmp/verilator/test_regress/input.vc", "language":"1800-2017"}, + "e": {"filename":"t/t_EXAMPLE.v", "realpath":"/home/ant/tmp/verilator/test_regress/t/t_EXAMPLE.v", "language":"1800-2017"} + },"pointers": { + /* Map id to real address */ + "(AG)": "0x562997289180", + "(YF)": "0x5629971c50b0", + "(WF)": "0x5629971e7ae0", + /* ... /* + },"ptrFieldNames": [ + /* List of fields that are used for storing pointers */ + "aboveScopep", + "voidp", + "addr", + /* ... */ + ]} + + .tree.dot Output ---------------- diff --git a/examples/xml_py/.gitignore b/examples/json_py/.gitignore similarity index 100% rename from examples/xml_py/.gitignore rename to examples/json_py/.gitignore diff --git a/examples/xml_py/Makefile b/examples/json_py/Makefile similarity index 100% rename from examples/xml_py/Makefile rename to examples/json_py/Makefile diff --git a/examples/xml_py/sub.v b/examples/json_py/sub.v similarity index 100% rename from examples/xml_py/sub.v rename to examples/json_py/sub.v diff --git a/examples/xml_py/top.v b/examples/json_py/top.v similarity index 100% rename from examples/xml_py/top.v rename to examples/json_py/top.v diff --git a/examples/xml_py/vl_file_copy b/examples/json_py/vl_file_copy similarity index 81% rename from examples/xml_py/vl_file_copy rename to examples/json_py/vl_file_copy index b8ac1e34c..6425ffb33 100755 --- a/examples/xml_py/vl_file_copy +++ b/examples/json_py/vl_file_copy @@ -1,15 +1,15 @@ #!/usr/bin/env python3 # -*- Python -*- See copyright, etc below -# pylint: disable=C0114,C0115,C0209,R0903 +# pylint: disable=C0103,C0114,C0115,C0209,R0903 ###################################################################### import argparse +import json import os import re import subprocess -import tempfile -import xml.etree.ElementTree as ET from shutil import copy2 +from tempfile import NamedTemporaryFile # from pprint import pprint, pformat ####################################################################### @@ -25,29 +25,30 @@ class VlFileCopy: self.debug = debug - with tempfile.NamedTemporaryFile() as xml_temp: + with NamedTemporaryFile() as tree_temp, NamedTemporaryFile( + ) as meta_temp: vargs = [ - '--xml-output', - xml_temp.name, + '--json-only-output', + tree_temp.name, + '--json-only-meta-output', + meta_temp.name, '--bbox-sys', # Parse some stuff can't translate '--bbox-unsup', - '--prefix vlxml' - ] # So we know name of .xml output + '--prefix vljson' + ] vargs += verilator_args self.run_verilator(vargs) - self.tree = ET.parse(xml_temp.name) + self.tree = json.load(tree_temp) + self.meta = json.load(meta_temp) os.makedirs(output_dir, 0o777, True) - # Find and copy the files - root = self.tree.getroot() - xfiles = root.find('files') - for xfile in xfiles.findall('file'): - filename = xfile.get('filename') - if not re.match('^<', filename): # e.g. + for file_id in self.meta['files']: + path = self.meta['files'][file_id]['realpath'] + if not re.match('^<', path): # e.g. if self.debug: - print("\tcp %s %s" % (filename, output_dir)) - copy2(filename, output_dir) + print("\tcp %s %s" % (path, output_dir)) + copy2(path, output_dir) def run_verilator(self, vargs): """Run Verilator command, check errors""" @@ -71,7 +72,7 @@ if __name__ == '__main__': allow_abbrev=False, formatter_class=argparse.RawTextHelpFormatter, description= - """Example of using Verilator XML output to copy a list of files to an + """Example of using Verilator JSON output to copy a list of files to an output directory (-odir, defaults to 'copied'), e.g. to easily create a tarball of the design to pass to others. diff --git a/examples/xml_py/vl_hier_graph b/examples/json_py/vl_hier_graph similarity index 70% rename from examples/xml_py/vl_hier_graph rename to examples/json_py/vl_hier_graph index faa79ed2d..fd6bfc2e1 100755 --- a/examples/xml_py/vl_hier_graph +++ b/examples/json_py/vl_hier_graph @@ -4,10 +4,10 @@ ###################################################################### import argparse +import json import os import subprocess -import tempfile -import xml.etree.ElementTree as ET +from tempfile import NamedTemporaryFile # from pprint import pprint, pformat ####################################################################### @@ -22,19 +22,23 @@ class VlHierGraph: output_filename='graph.dot'): # output filename self.debug = debug self.next_vertex_number = 0 - self.name_to_number = {} + self.addr_to_number = {} - with tempfile.NamedTemporaryFile() as xml_temp: + with NamedTemporaryFile() as tree_temp, NamedTemporaryFile( + ) as meta_temp: vargs = [ - '--xml-output', - xml_temp.name, + '--json-only-output', + tree_temp.name, + '--json-only-meta-output', + meta_temp.name, '--bbox-sys', # Parse some stuff can't translate '--bbox-unsup', - '--prefix vlxml' - ] # So we know name of .xml output + '--prefix vljson' + ] vargs += verilator_args self.run_verilator(vargs) - self.tree = ET.parse(xml_temp.name) + self.tree = json.load(tree_temp) + self.meta = json.load(meta_temp) with open(output_filename, "w", encoding="utf8") as fh: # For more serious purposes, use the python graphviz package instead @@ -46,31 +50,45 @@ class VlHierGraph: ) fh.write(" edge [fontsize=6]") # Find cells - root = self.tree.getroot() - netlist = root.find('netlist') - for module in netlist.findall('module'): + modules = self.flatten(self.tree, lambda n: n['type'] == "MODULE") + top_module = True + for mod in modules: # origNames are before parameterization, name if after - mod_name = module.get('name') - mod_number = self.name_to_vertex_number(mod_name) - fh.write(" n%d [label=\"%s\"" % (mod_number, mod_name)) - if module.get('topModule'): + mod_number = self.addr_to_vertex_number(mod['addr']) + fh.write(" n%d [label=\"%s\"" % (mod_number, mod['name'])) + if top_module: fh.write(" color=\"red\" rank=1") + top_module = False fh.write("];\n") - for instance in module.findall('instance'): - inst_name = instance.get('name') - def_name = instance.get('defName') - def_number = self.name_to_vertex_number(def_name) + cells = self.flatten(mod, lambda n: n['type'] == "CELL") + for cell in cells: + def_number = self.addr_to_vertex_number(cell['modp']) fh.write(" n%d->n%d [label=\"%s\"];\n" % - (mod_number, def_number, inst_name)) + (mod_number, def_number, cell['name'])) fh.write("}\n") - def name_to_vertex_number(self, name): - if name not in self.name_to_number: + def flatten(self, node, accept_cb=lambda n: True): + """Flatten tree to list using DFS. + accept_cb(node) should return True for nodes you want to save""" + arr = [] + self.dfs(node, lambda n: accept_cb(n) and arr.append(n)) + return arr + + def dfs(self, node, node_cb): + """Traverse given tree using DFS and apply node_cb(node) on each one""" + node_cb(node) + for _, v in node.items(): + if isinstance(v, list): # childlist + for child in v: + self.dfs(child, node_cb) + + def addr_to_vertex_number(self, name): + if name not in self.addr_to_number: self.next_vertex_number += 1 - self.name_to_number[name] = self.next_vertex_number - return self.name_to_number[name] + self.addr_to_number[name] = self.next_vertex_number + return self.addr_to_number[name] def run_verilator(self, vargs): """Run Verilator command, check errors""" @@ -94,7 +112,7 @@ if __name__ == '__main__': allow_abbrev=False, formatter_class=argparse.RawTextHelpFormatter, description= - """Example of using Verilator XML output to create a .dot file showing the + """Example of using Verilator JSON output to create a .dot file showing the design module hierarchy. Example usage: diff --git a/src/V3Ast.cpp b/src/V3Ast.cpp index 8dc5b7565..30dd5322c 100644 --- a/src/V3Ast.cpp +++ b/src/V3Ast.cpp @@ -22,6 +22,7 @@ #include #include +#include VL_DEFINE_DEBUG_FUNCTIONS; @@ -1330,6 +1331,28 @@ void AstNode::dumpTreeDot(std::ostream& os) const { drawChildren(os, this, m_op4p, "op4"); } +void AstNode::dumpTreeJsonFile(const string& filename, bool doDump) { + if (!doDump) return; + UINFO(2, "Dumping " << filename << endl); + const std::unique_ptr treejsonp{V3File::new_ofstream(filename)}; + if (treejsonp->fail()) v3fatal("Can't write " << filename); + dumpTreeJson(*treejsonp); + *treejsonp << '\n'; +} + +void AstNode::dumpJsonMetaFile(const string& filename) { + UINFO(2, "Dumping " << filename << endl); + const std::unique_ptr treejsonp{V3File::new_ofstream(filename)}; + if (treejsonp->fail()) v3fatal("Can't write " << filename); + *treejsonp << '{'; + FileLine::fileNameNumMapDumpJson(*treejsonp); + *treejsonp << ','; + v3Global.idPtrMapDumpJson(*treejsonp); + *treejsonp << ','; + v3Global.ptrNamesDumpJson(*treejsonp); + *treejsonp << "}\n"; +} + void AstNode::dumpTreeDotFile(const string& filename, bool doDump) { if (doDump) { UINFO(2, "Dumping " << filename << endl); diff --git a/src/V3Ast.h b/src/V3Ast.h index 29f7b4151..0c8227236 100644 --- a/src/V3Ast.h +++ b/src/V3Ast.h @@ -31,6 +31,7 @@ #include "V3Ast__gen_forward_class_decls.h" // From ./astgen #include +#include #include #include #include @@ -2219,6 +2220,23 @@ public: static void dumpTreeFileGdb(const AstNode* nodep, const char* filenamep = nullptr); void dumpTreeDot(std::ostream& os = std::cout) const; void dumpTreeDotFile(const string& filename, bool doDump = true); + virtual void dumpJson(std::ostream& os) const { dumpJsonGen(os); }; // node specific fields + // Generated by 'astgen'. Dumps node-specific pointers and calls 'dumpJson()' of parent class + // Note that we don't make it virtual as it would result in infinite recursion + void dumpJsonGen(std::ostream& os) const {}; + virtual void dumpTreeJsonOpGen(std::ostream& os, const string& indent) const {}; + void dumpTreeJson(std::ostream& os, const string& indent = "") const; + void dumpTreeJsonFile(const string& filename, bool doDump = true); + void dumpJsonMetaFile(const string& filename); + + // Render node address for dumps. By default this is just the address + // printed as hex, but with --dump-tree-addrids we map addresses to short + // strings with a bijection to aid human readability. Observe that this might + // not actually be a unique identifier as the address can get reused after a + // node has been freed. + static std::string nodeAddr(const AstNode* nodep) { + return v3Global.opt.dumpTreeAddrids() ? v3Global.ptrToId(nodep) : cvtToHex(nodep); + } // METHODS - static advancement static AstNode* afterCommentp(AstNode* nodep) { @@ -2291,6 +2309,11 @@ protected: // Use instead VNVisitor::iterateSubtreeReturnEdits AstNode* iterateSubtreeReturnEdits(VNVisitor& v); + static void dumpJsonNum(std::ostream& os, const std::string& name, int64_t val); + static void dumpJsonBool(std::ostream& os, const std::string& name, bool val); + static void dumpJsonStr(std::ostream& os, const std::string& name, const std::string& val); + static void dumpJsonPtr(std::ostream& os, const std::string& name, const AstNode* const valp); + private: void iterateListBackwardsConst(VNVisitorConst& v); @@ -2845,5 +2868,7 @@ AstNode* VNVisitor::iterateSubtreeReturnEdits(AstNode* nodep) { // Inline function definitions need to go last #include "V3AstInlines.h" +void dumpNodeListJson(std::ostream& os, const AstNode* nodep, const std::string& listName, + const string& indent); #endif // Guard diff --git a/src/V3AstNodeDType.h b/src/V3AstNodeDType.h index d014bd205..56e2c014f 100644 --- a/src/V3AstNodeDType.h +++ b/src/V3AstNodeDType.h @@ -52,6 +52,7 @@ public: ASTGEN_MEMBERS_AstNodeDType; // ACCESSORS void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; virtual void dumpSmall(std::ostream& str) const; bool hasDType() const override { return true; } /// Require VlUnpacked, instead of [] for POD elements. @@ -148,6 +149,7 @@ protected: public: ASTGEN_MEMBERS_AstNodeArrayDType; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; void dumpSmall(std::ostream& str) const override; const char* broken() const override { BROKEN_RTN(!((m_refDTypep && !childDTypep()) || (!m_refDTypep && childDTypep()))); @@ -212,6 +214,7 @@ public: ASTGEN_MEMBERS_AstNodeUOrStructDType; int uniqueNum() const { return m_uniqueNum; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool isCompound() const override { return !packed(); } // For basicp() we reuse the size to indicate a "fake" basic type of same size AstBasicDType* basicp() const override { @@ -377,6 +380,7 @@ private: public: ASTGEN_MEMBERS_AstBasicDType; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; // width/widthMin/numeric compared elsewhere bool same(const AstNode* samep) const override; bool similarDType(const AstNodeDType* samep) const override { @@ -536,6 +540,7 @@ public: return this == samep || (type() == samep->type() && same(samep)); } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; void dumpSmall(std::ostream& str) const override; string name() const override VL_MT_STABLE; AstBasicDType* basicp() const override VL_MT_STABLE { return nullptr; } @@ -793,6 +798,7 @@ public: string name() const override VL_MT_STABLE { return m_name; } void name(const string& flag) override { m_name = flag; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; void dumpSmall(std::ostream& str) const override; // METHODS AstBasicDType* basicp() const override VL_MT_STABLE { return subDTypep()->basicp(); } @@ -850,6 +856,7 @@ public: // METHODS void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; void dumpSmall(std::ostream& str) const override; AstBasicDType* basicp() const override VL_MT_STABLE { return nullptr; } AstNodeDType* skipRefp() const override VL_MT_STABLE { return (AstNodeDType*)this; } @@ -963,6 +970,7 @@ public: } ASTGEN_MEMBERS_AstParamTypeDType; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; AstNodeDType* getChildDTypep() const override { return childDTypep(); } AstNodeDType* subDTypep() const override VL_MT_STABLE { return dtypep() ? dtypep() : childDTypep(); @@ -1112,6 +1120,7 @@ public: return skipRefp()->similarDType(samep->skipRefp()); } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; void dumpSmall(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } string prettyDTypeName() const override { diff --git a/src/V3AstNodeExpr.h b/src/V3AstNodeExpr.h index a7b1a6775..5c23b9fac 100644 --- a/src/V3AstNodeExpr.h +++ b/src/V3AstNodeExpr.h @@ -50,6 +50,7 @@ public: ASTGEN_MEMBERS_AstNodeExpr; // METHODS void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; // TODO: The only AstNodeExpr without dtype is AstArg. Otherwise this could be final. bool hasDType() const override { return true; } virtual string emitVerilog() = 0; /// Format string for verilog writing; see V3EmitV @@ -196,6 +197,7 @@ protected: public: ASTGEN_MEMBERS_AstNodeCCall; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; int instrCount() const override { return INSTR_COUNT_CALL; } bool same(const AstNode* samep) const override { const AstNodeCCall* const asamep = VN_DBG_AS(samep, NodeCCall); @@ -248,6 +250,7 @@ protected: public: const char* broken() const override; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; string name() const override VL_MT_STABLE { return m_name; } // * = Var name bool isGateOptimizable() const override; string dotted() const { return m_dotted; } // * = Scope name or "" @@ -357,6 +360,7 @@ public: // cppcheck-suppress functionConst void iterateChildren(VNVisitorConst& v) {} void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; }; class AstNodeTriop VL_NOT_FINAL : public AstNodeExpr { // Ternary expression @@ -377,6 +381,7 @@ public: ASTGEN_MEMBERS_AstNodeTriop; // METHODS void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; // Set out to evaluation of a AstConst'ed virtual void numberOperate(V3Number& out, const V3Number& lhs, const V3Number& rhs, const V3Number& ths) @@ -458,6 +463,7 @@ public: ASTGEN_MEMBERS_AstNodeUniop; // METHODS void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; // Set out to evaluation of a AstConst'ed lhs virtual void numberOperate(V3Number& out, const V3Number& lhs) = 0; virtual bool cleanLhs() const = 0; @@ -510,6 +516,7 @@ protected: public: void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; int instrCount() const override { return widthInstrs(); } VAccess access() const { return m_access; } void access(const VAccess& flag) { m_access = flag; } // Avoid using this; Set in constructor @@ -591,6 +598,7 @@ public: ASTGEN_MEMBERS_AstAttrOf; VAttrType attrType() const { return m_attrType; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; string emitVerilog() override { V3ERROR_NA_RETURN(""); } string emitC() override { V3ERROR_NA_RETURN(""); } @@ -765,6 +773,7 @@ public: == VN_DBG_AS(samep, ClassOrPackageRef)->m_classOrPackageNodep); } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; string name() const override VL_MT_STABLE { return m_name; } // * = Var name AstNode* classOrPackageNodep() const { return m_classOrPackageNodep; } void classOrPackageNodep(AstNode* nodep) { m_classOrPackageNodep = nodep; } @@ -1120,6 +1129,7 @@ public: return new AstDot{fl, true, packageOrClassp, rhsp}; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool colon() const { return m_colon; } string emitVerilog() override { V3ERROR_NA_RETURN(""); } @@ -1148,6 +1158,7 @@ public: } ASTGEN_MEMBERS_AstEnumItemRef; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return itemp()->name(); } int instrCount() const override { return 0; } bool same(const AstNode* samep) const override { @@ -1425,6 +1436,9 @@ public: private: KeyItemMap m_map; // Node value for each array index + // METHODS + void dumpInitList(std::ostream& str) const; + public: AstInitArray(FileLine* fl, AstNodeDType* newDTypep, AstNodeExpr* defaultp) : ASTGEN_SUPER_InitArray(fl) { @@ -1433,6 +1447,7 @@ public: } ASTGEN_MEMBERS_AstInitArray; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; const char* broken() const override; void cloneRelink() override; bool same(const AstNode* samep) const override { @@ -1524,6 +1539,7 @@ public: } ASTGEN_MEMBERS_AstMemberSel; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } void name(const string& name) override { m_name = name; } VAccess access() const { return m_access; } @@ -1591,6 +1607,7 @@ public: } ASTGEN_MEMBERS_AstParseRef; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } // * = Var name bool same(const AstNode* samep) const override { const AstParseRef* const asamep = VN_DBG_AS(samep, ParseRef); @@ -1647,6 +1664,7 @@ public: bool cleanOut() const override { V3ERROR_NA_RETURN(""); } int instrCount() const override { return widthInstrs() * 2; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; bool isDefault() const { return m_default; } void isDefault(bool flag) { m_default = flag; } }; @@ -1877,6 +1895,7 @@ public: string emitC() override { V3ERROR_NA_RETURN(""); } bool cleanOut() const override { return true; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; string scopeSymName() const { // Name for __Vscope variable including children return scopeNameFormatter(scopeAttrp()); } @@ -4352,6 +4371,7 @@ public: int instrCount() const override { return INSTR_COUNT_TIME; } bool same(const AstNode* /*samep*/) const override { return true; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; void timeunit(const VTimescale& flag) { m_timeunit = flag; } VTimescale timeunit() const { return m_timeunit; } }; @@ -4372,6 +4392,7 @@ public: int instrCount() const override { return INSTR_COUNT_TIME; } bool same(const AstNode* /*samep*/) const override { return true; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; void timeunit(const VTimescale& flag) { m_timeunit = flag; } VTimescale timeunit() const { return m_timeunit; } }; @@ -4520,6 +4541,7 @@ public: } ASTGEN_MEMBERS_AstSel; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; void numberOperate(V3Number& out, const V3Number& from, const V3Number& bit, const V3Number& width) override { out.opSel(from, bit.toUInt() + width.toUInt() - 1, bit.toUInt()); @@ -4560,6 +4582,7 @@ public: , m_declRange{declRange} {} ASTGEN_MEMBERS_AstSliceSel; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; void numberOperate(V3Number& out, const V3Number& from, const V3Number& lo, const V3Number& width) override { V3ERROR_NA; @@ -4722,6 +4745,7 @@ public: ASTGEN_MEMBERS_AstCAwait; bool isTimingControl() const override { return true; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; AstSenTree* sensesp() const { return m_sensesp; } void clearSensesp() { m_sensesp = nullptr; } void numberOperate(V3Number& out, const V3Number& lhs) override { V3ERROR_NA; } @@ -4760,6 +4784,7 @@ public: return size() == VN_DBG_AS(samep, CCast)->size(); } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; // int size() const { return m_size; } }; @@ -5252,6 +5277,7 @@ public: bool cleanLhs() const override { return false; } bool sizeMattersLhs() const override { return false; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; void timeunit(const VTimescale& flag) { m_timeunit = flag; } VTimescale timeunit() const { return m_timeunit; } }; @@ -5518,6 +5544,7 @@ public: ASTGEN_MEMBERS_AstVarRef; inline string name() const override; // * = Var name void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; const char* broken() const override; bool same(const AstNode* samep) const override; inline bool same(const AstVarRef* samep) const; @@ -5542,6 +5569,7 @@ public: ASTGEN_MEMBERS_AstVarXRef; string name() const override VL_MT_STABLE { return m_name; } // * = Var name void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string dotted() const { return m_dotted; } void dotted(const string& dotted) { m_dotted = dotted; } string inlinedDots() const { return m_inlinedDots; } diff --git a/src/V3AstNodeOther.h b/src/V3AstNodeOther.h index d0f12bda8..15c010103 100644 --- a/src/V3AstNodeOther.h +++ b/src/V3AstNodeOther.h @@ -47,6 +47,7 @@ protected: public: ASTGEN_MEMBERS_AstNodeBlock; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } // * = Block name void name(const string& name) override { m_name = name; } bool unnamed() const { return m_unnamed; } @@ -123,6 +124,7 @@ public: ASTGEN_MEMBERS_AstNodeFTask; virtual AstNodeFTask* cloneType(const string& name) = 0; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; string name() const override VL_MT_STABLE { return m_name; } // * = Var name bool maybePointedTo() const override { return true; } bool isGateOptimizable() const override { @@ -210,6 +212,7 @@ public: , m_name{name} {} ASTGEN_MEMBERS_AstNodeFile; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } bool same(const AstNode* /*samep*/) const override { return true; } }; @@ -258,6 +261,7 @@ protected: public: ASTGEN_MEMBERS_AstNodeModule; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool maybePointedTo() const override { return true; } string name() const override VL_MT_STABLE { return m_name; } virtual bool timescaleMatters() const = 0; @@ -313,6 +317,7 @@ public: ASTGEN_MEMBERS_AstNodeProcedure; // METHODS void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool isJustOneBodyStmt() const { return stmtsp() && !stmtsp()->nextp(); } bool isSuspendable() const { return m_suspendable; } void setSuspendable() { m_suspendable = true; } @@ -328,6 +333,7 @@ protected: public: ASTGEN_MEMBERS_AstNodeRange; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; }; class AstNodeStmt VL_NOT_FINAL : public AstNode { // Procedural statement @@ -341,6 +347,7 @@ public: void addNextStmt(AstNode* newp, AstNode* belowp) override; // Stop statement searchback here void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; }; class AstNodeAssign VL_NOT_FINAL : public AstNodeStmt { // Iteration is in order, and we want rhsp to be visited first (which is the execution order) @@ -407,6 +414,7 @@ public: bool same(const AstNode* samep) const override { return samep->name() == name(); } void name(const string& name) override { m_name = name; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; bool immediate() const { return m_immediate; } }; class AstNodeFor VL_NOT_FINAL : public AstNodeStmt { @@ -505,6 +513,8 @@ public: }; class AstNodeText VL_NOT_FINAL : public AstNode { string m_text; + // METHODS + string shortText() const; protected: // Node that puts text into the output stream @@ -515,6 +525,7 @@ protected: public: ASTGEN_MEMBERS_AstNodeText; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; bool same(const AstNode* samep) const override { const AstNodeText* asamep = VN_DBG_AS(samep, NodeText); return text() == asamep->text(); @@ -554,6 +565,7 @@ public: } ASTGEN_MEMBERS_AstActive; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; string name() const override VL_MT_STABLE { return m_name; } // Statements are broken into pieces, as some must come before others. void sensesp(AstSenTree* nodep) { m_sensesp = nodep; } @@ -652,6 +664,7 @@ public: string name() const override VL_MT_STABLE { return m_name; } bool maybePointedTo() const override { return true; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; bool same(const AstNode* samep) const override { const AstCFunc* const asamep = VN_DBG_AS(samep, CFunc); return ((isTrace() == asamep->isTrace()) && (rtnTypeVoid() == asamep->rtnTypeVoid()) @@ -752,6 +765,7 @@ public: , m_useType{useType} {} ASTGEN_MEMBERS_AstCUse; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; string name() const override VL_MT_STABLE { return m_name; } VUseType useType() const { return m_useType; } }; @@ -806,6 +820,7 @@ public: // No cloneRelink, we presume cloneee's want the same module linkages void cloneRelink() override {} // TODO V3Param shouldn't require avoiding cloneRelinkGen void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool maybePointedTo() const override { return true; } // ACCESSORS string name() const override VL_MT_STABLE { return m_name; } // * = Cell name @@ -845,6 +860,7 @@ public: , m_timeunit{timeunit} {} ASTGEN_MEMBERS_AstCellInline; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; // ACCESSORS string name() const override VL_MT_STABLE { return m_name; } // * = Cell name string origModName() const { return m_origModName; } // * = modp()->origName() before inlining @@ -871,6 +887,7 @@ public: } ASTGEN_MEMBERS_AstClassExtends; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool hasDType() const override { return true; } string verilogKwd() const override { return isImplements() ? "implements" : "extends"; } // Class being extended (after link and instantiation if needed) @@ -903,6 +920,7 @@ public: } ASTGEN_MEMBERS_AstClocking; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; std::string name() const override VL_MT_STABLE { return m_name; } bool isDefault() const { return m_isDefault; } bool isGlobal() const { return m_isGlobal; } @@ -1166,6 +1184,7 @@ public: ExecMTask* execMTaskp() const { return m_execMTaskp; } void execMTaskp(ExecMTask* execMTaskp) { m_execMTaskp = execMTaskp; } void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; }; class AstModport final : public AstNode { // A modport in an interface @@ -1197,6 +1216,7 @@ public: , m_export{isExport} {} ASTGEN_MEMBERS_AstModportFTaskRef; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } bool isImport() const { return !m_export; } bool isExport() const { return m_export; } @@ -1218,6 +1238,7 @@ public: , m_direction{direction} {} ASTGEN_MEMBERS_AstModportVarRef; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } void direction(const VDirection& flag) { m_direction = flag; } VDirection direction() const { return m_direction; } @@ -1255,6 +1276,7 @@ public: void cloneRelink() override { V3ERROR_NA; } string name() const override VL_MT_STABLE { return "$root"; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; AstNodeModule* topModulep() const VL_MT_STABLE { // Top module in hierarchy return modulesp(); // First one in the list, for now } @@ -1305,6 +1327,7 @@ public: , m_packagep{packagep} {} ASTGEN_MEMBERS_AstPackageExport; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } AstPackage* packagep() const { return m_packagep; } void packagep(AstPackage* nodep) { m_packagep = nodep; } @@ -1330,6 +1353,7 @@ public: , m_packagep{packagep} {} ASTGEN_MEMBERS_AstPackageImport; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } AstPackage* packagep() const { return m_packagep; } void packagep(AstPackage* nodep) { m_packagep = nodep; } @@ -1356,6 +1380,7 @@ public: ASTGEN_MEMBERS_AstPin; void cloneRelink() override {} // TODO V3Param shouldn't require avoiding cloneRelinkGen void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string name() const override VL_MT_STABLE { return m_name; } // * = Pin name, ""=go by number void name(const string& name) override { m_name = name; } string prettyOperatorName() const override; @@ -1469,6 +1494,7 @@ public: string name() const override VL_MT_STABLE { return m_name; } // * = Scope name void name(const string& name) override { m_name = name; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool same(const AstNode* samep) const override; string nameDotless() const; AstNodeModule* modp() const { return m_modp; } @@ -1519,6 +1545,7 @@ public: , m_edgeType{VEdgeType::ET_NEVER} {} ASTGEN_MEMBERS_AstSenItem; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool same(const AstNode* samep) const override { return edgeType() == VN_DBG_AS(samep, SenItem)->edgeType(); } @@ -1549,6 +1576,7 @@ public: } ASTGEN_MEMBERS_AstSenTree; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool maybePointedTo() const override { return true; } bool isMulti() const { return m_multi; } void multi(bool flag) { m_multi = true; } @@ -1581,6 +1609,7 @@ public: VStrength strength0() { return m_s0; } VStrength strength1() { return m_s1; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; }; class AstTopScope final : public AstNode { // A singleton, held under the top level AstModule. Holds the top level @@ -1633,6 +1662,7 @@ public: void clearCache(); void repairCache(); void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; }; class AstTypedef final : public AstNode { // @astgen op1 := childDTypep : Optional[AstNodeDType] @@ -1653,6 +1683,7 @@ public: } ASTGEN_MEMBERS_AstTypedef; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; AstNodeDType* getChildDTypep() const override { return childDTypep(); } virtual AstNodeDType* subDTypep() const VL_MT_STABLE { return dtypep() ? dtypep() : childDTypep(); @@ -1859,6 +1890,7 @@ public: } ASTGEN_MEMBERS_AstVar; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool same(const AstNode* samep) const override; string name() const override VL_MT_STABLE VL_MT_SAFE { return m_name; } // * = Var name bool hasDType() const override { return true; } @@ -2109,6 +2141,7 @@ public: bool maybePointedTo() const override { return true; } string name() const override VL_MT_STABLE { return scopep()->name() + "->" + varp()->name(); } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool same(const AstNode* samep) const override; bool hasDType() const override { return true; } AstVar* varp() const VL_MT_STABLE { return m_varp; } // [After Link] Pointer to variable @@ -2137,6 +2170,7 @@ public: , m_implied{implied} {} ASTGEN_MEMBERS_AstBegin; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; void generate(bool flag) { m_generate = flag; } bool generate() const { return m_generate; } void setNeedProcess() { m_needProcess = true; } @@ -2156,6 +2190,7 @@ public: ASTGEN_MEMBERS_AstFork; bool isTimingControl() const override { return !joinType().joinNone(); } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; VJoinType joinType() const { return m_joinType; } void joinType(const VJoinType& flag) { m_joinType = flag; } }; @@ -2226,6 +2261,7 @@ public: , m_support{false} {} ASTGEN_MEMBERS_AstCFile; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; bool slow() const { return m_slow; } void slow(bool flag) { m_slow = flag; } bool source() const { return m_source; } @@ -2241,6 +2277,7 @@ public: : ASTGEN_SUPER_VFile(fl, name) {} ASTGEN_MEMBERS_AstVFile; void dump(std::ostream& str = std::cout) const override; + void dumpJson(std::ostream& str = std::cout) const override; }; // === AstNodeModule === @@ -2262,6 +2299,7 @@ public: string verilogKwd() const override { return "class"; } bool maybePointedTo() const override { return true; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool timescaleMatters() const override { return false; } AstClassPackage* classOrPackagep() const VL_MT_SAFE { return m_classOrPackagep; } void classOrPackagep(AstClassPackage* classpackagep) { m_classOrPackagep = classpackagep; } @@ -2366,6 +2404,7 @@ public: ASTGEN_MEMBERS_AstAlways; // void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; VAlwaysKwd keyword() const { return m_keyword; } }; class AstAlwaysObserved final : public AstNodeProcedure { @@ -2483,6 +2522,7 @@ public: int elementsConst() const VL_MT_STABLE { return hiConst() - loConst() + 1; } bool ascending() const { return leftConst() < rightConst(); } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; virtual string emitC() { V3ERROR_NA_RETURN(""); } bool same(const AstNode* /*samep*/) const override { return true; } }; @@ -2658,6 +2698,7 @@ public: return nullptr; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; int instrCount() const override { return 1 + 2 * INSTR_COUNT_LD; } bool maybePointedTo() const override { return true; } void binNum(int flag) { m_binNum = flag; } @@ -2691,6 +2732,7 @@ public: , m_declp{declp} {} ASTGEN_MEMBERS_AstCoverInc; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; int instrCount() const override { return 1 + 2 * INSTR_COUNT_LD; } bool same(const AstNode* samep) const override { return declp() == VN_DBG_AS(samep, CoverInc)->declp(); @@ -2739,6 +2781,7 @@ public: } ASTGEN_MEMBERS_AstDelay; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; bool isTimingControl() const override { return true; } bool same(const AstNode* /*samep*/) const override { return true; } void timeunit(const VTimescale& flag) { m_timeunit = flag; } @@ -2788,6 +2831,7 @@ public: } ASTGEN_MEMBERS_AstDisplay; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; const char* broken() const override { BROKEN_RTN(!fmtp()); return nullptr; @@ -2961,6 +3005,7 @@ public: ASTGEN_MEMBERS_AstJumpGo; const char* broken() const override; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; int instrCount() const override { return INSTR_COUNT_BRANCH; } bool same(const AstNode* samep) const override { return labelp() == VN_DBG_AS(samep, JumpGo)->labelp(); @@ -2988,6 +3033,7 @@ public: return nullptr; } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; int instrCount() const override { return 0; } bool same(const AstNode* samep) const override { return blockp() == VN_DBG_AS(samep, JumpLabel)->blockp(); @@ -3024,6 +3070,7 @@ public: void name(const string& name) override { m_name = name; } string name() const override VL_MT_STABLE { return m_name; } // * = Var name void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; string verilogKwd() const override { return "$printtimescale"; } bool isGateOptimizable() const override { return false; } bool isPredictOptimizable() const override { return false; } @@ -3233,6 +3280,7 @@ public: this->valuep(valuep); } void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; int instrCount() const override { return 100; } // Large... ASTGEN_MEMBERS_AstTraceDecl; string name() const override VL_MT_STABLE { return m_showname; } @@ -3276,6 +3324,7 @@ public: } ASTGEN_MEMBERS_AstTraceInc; void dump(std::ostream& str) const override; + void dumpJson(std::ostream& str) const override; int instrCount() const override { return 10 + 2 * INSTR_COUNT_LD; } bool hasDType() const override { return true; } bool same(const AstNode* samep) const override { diff --git a/src/V3AstNodes.cpp b/src/V3AstNodes.cpp index 04b70b663..ad9457ed0 100644 --- a/src/V3AstNodes.cpp +++ b/src/V3AstNodes.cpp @@ -18,6 +18,7 @@ #include "V3EmitCBase.h" #include "V3File.h" +#include "V3Global.h" #include "V3Graph.h" #include "V3Hasher.h" #include "V3PartitionGraph.h" // Just for mtask dumping @@ -28,8 +29,34 @@ #include #include +#include +#include #include +// Routines for dumping dict fields (NOTE: due to leading ',' they can't be used for first field in +// dict) +void AstNode::dumpJsonNum(std::ostream& os, const std::string& name, int64_t val) { + os << ",\"" << name << "\":" << val; +} +void AstNode::dumpJsonBool(std::ostream& os, const std::string& name, bool val) { + os << ",\"" << name << "\":" << (val ? "true" : "false"); +} +void AstNode::dumpJsonStr(std::ostream& os, const std::string& name, const std::string& val) { + os << ",\"" << name << "\":\"" << V3OutFormatter::quoteNameControls(val) << '"'; +} +void AstNode::dumpJsonPtr(std::ostream& os, const std::string& name, const AstNode* const valp) { + v3Global.saveJsonPtrFieldName(name); + std::string addr = "UNLINKED"; + if (valp) addr = (v3Global.opt.jsonIds() ? v3Global.ptrToId(valp) : cvtToHex(valp)); + os << ",\"" << name << "\":\"" << addr << '"'; +} + +// Shorthands for dumping fields that use func name as key +#define dumpJsonNumFunc(os, func) dumpJsonNum(os, #func, func()) +#define dumpJsonBoolFunc(os, func) dumpJsonBool(os, #func, func()) +#define dumpJsonStrFunc(os, func) dumpJsonStr(os, #func, func()) +#define dumpJsonPtrFunc(os, func) dumpJsonPtr(os, #func, func()) + //====================================================================== // Special methods @@ -74,6 +101,7 @@ int AstNodeSel::bitConst() const { } void AstNodeStmt::dump(std::ostream& str) const { this->AstNode::dump(str); } +void AstNodeStmt::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstNodeCCall::dump(std::ostream& str) const { this->AstNodeExpr::dump(str); @@ -84,6 +112,10 @@ void AstNodeCCall::dump(std::ostream& str) const { str << " " << name(); } } +void AstNodeCCall::dumpJson(std::ostream& str) const { + if (funcp()) dumpJsonStr(str, "funcName", funcp()->name()); + dumpJsonGen(str); +} bool AstNodeCCall::isPure() { return funcp()->dpiPure(); } bool AstNodeUniop::isPure() { if (!m_purity.isCached()) m_purity.set(lhsp()->isPure()); @@ -1291,15 +1323,6 @@ void AstWhile::addNextStmt(AstNode* newp, AstNode* belowp) { //====================================================================== // Per-type Debugging -// Render node address for dumps. By default this is just the address -// printed as hex, but with --dump-tree-addrids we map addresses to short -// strings with a bijection to aid human readability. Observe that this might -// not actually be a unique identifier as the address can get reused after a -// node has been freed. -static std::string nodeAddr(const AstNode* nodep) { - return v3Global.opt.dumpTreeAddrids() ? v3Global.ptrToId(nodep) : cvtToHex(nodep); -} - void AstNode::dump(std::ostream& str) const { str << typeName() << " " << nodeAddr(this) #ifdef VL_DEBUG @@ -1331,26 +1354,91 @@ void AstNode::dump(std::ostream& str) const { } } +void dumpNodeListJson(std::ostream& os, const AstNode* nodep, const std::string& listName, + const string& indent) { + os << ','; + if (!nodep) { // empty list, print inline + os << '"' << listName << "\": []"; + } else { + os << '\n' << indent + " \"" << listName << "\": [\n"; + for (; nodep; nodep = nodep->nextp()) { + nodep->dumpTreeJson(os, indent + " "); + if (nodep->nextp()) os << ','; + os << '\n'; + } + os << indent << ']'; + } +} + +static void dumpFileInfo(std::ostream& os, const FileLine* fileinfop) { + const std::string filename + = v3Global.opt.jsonIds() ? fileinfop->filenameLetters() : fileinfop->filename(); + os << ",\"loc\":\"" << filename << ',' << fileinfop->firstLineno() << ':' + << fileinfop->firstColumn() << ',' << fileinfop->lastLineno() << ':' + << fileinfop->lastColumn() << '"'; +} + +void AstNode::dumpTreeJson(std::ostream& os, const string& indent) const { + os << indent << "{\"type\":\"" << typeName() << '"'; + dumpJsonStr(os, "name", V3OutFormatter::quoteNameControls(prettyName())); + dumpJsonPtr(os, "addr", this); + dumpFileInfo(os, fileline()); +#ifdef VL_DEBUG + if (v3Global.opt.jsonEditNums()) dumpJsonNum(os, "editNum", editCount()); +#endif + if (hasDType()) { + dumpJsonPtrFunc(os, dtypep); + } else { // V3Broken will throw an error + if (dtypep()) { + dumpJsonStr(os, "dtypep", " %Error-dtype-exp=null,got=" + nodeAddr(dtypep())); + } + } + dumpJson(os); + dumpTreeJsonOpGen(os, indent); + os << "}"; +} + void AstNodeProcedure::dump(std::ostream& str) const { this->AstNode::dump(str); if (isSuspendable()) str << " [SUSP]"; if (needProcess()) str << " [NPRC]"; } +void AstNodeProcedure::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isSuspendable); + dumpJsonBoolFunc(str, needProcess); + dumpJsonGen(str); +} + void AstAlways::dump(std::ostream& str) const { this->AstNodeProcedure::dump(str); if (keyword() != VAlwaysKwd::ALWAYS) str << " [" << keyword().ascii() << "]"; } +void AstAlways::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "keyword", keyword().ascii()); + dumpJsonGen(str); +} void AstAttrOf::dump(std::ostream& str) const { this->AstNode::dump(str); str << " [" << attrType().ascii() << "]"; } +void AstAttrOf::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "attrType", attrType().ascii()); + dumpJsonGen(str); +} void AstBasicDType::dump(std::ostream& str) const { this->AstNodeDType::dump(str); str << " kwd=" << keyword().ascii(); if (isRanged() && !rangep()) str << " range=[" << left() << ":" << right() << "]"; } +void AstBasicDType::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "keyword", keyword().ascii()); + if (isRanged() && !rangep()) { + dumpJsonStr(str, "range", std::to_string(left()) + ":" + std::to_string(right())); + } + dumpJsonGen(str); +} string AstBasicDType::prettyDTypeName() const { std::ostringstream os; os << keyword().ascii(); @@ -1361,12 +1449,18 @@ string AstBasicDType::prettyDTypeName() const { } void AstNodeExpr::dump(std::ostream& str) const { this->AstNode::dump(str); } +void AstNodeExpr::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstNodeUniop::dump(std::ostream& str) const { this->AstNodeExpr::dump(str); } +void AstNodeUniop::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstCCast::dump(std::ostream& str) const { this->AstNodeUniop::dump(str); str << " sz" << size(); } +void AstCCast::dumpJson(std::ostream& str) const { + dumpJsonNumFunc(str, size); + dumpJsonGen(str); +} void AstCell::dump(std::ostream& str) const { this->AstNode::dump(str); if (recursive()) str << " [RECURSIVE]"; @@ -1377,11 +1471,20 @@ void AstCell::dump(std::ostream& str) const { str << " ->UNLINKED:" << modName(); } } +void AstCell::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, origName); + dumpJsonBoolFunc(str, recursive); + dumpJsonGen(str); +} void AstCellInline::dump(std::ostream& str) const { this->AstNode::dump(str); str << " -> " << origModName(); str << " [scopep=" << nodeAddr(scopep()) << "]"; } +void AstCellInline::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, origModName); + dumpJsonGen(str); +} bool AstClass::isCacheableChild(const AstNode* nodep) { return (VN_IS(nodep, Var) || VN_IS(nodep, Constraint) || VN_IS(nodep, EnumItemRef) || (VN_IS(nodep, NodeFTask) && !VN_AS(nodep, NodeFTask)->isExternProto()) @@ -1408,10 +1511,20 @@ void AstClass::dump(std::ostream& str) const { if (isVirtual()) str << " [VIRT]"; if (useVirtualPublic()) str << " [VIRPUB]"; } +void AstClass::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isExtended); + dumpJsonBoolFunc(str, isInterfaceClass); + dumpJsonBoolFunc(str, isVirtual); + dumpJsonGen(str); +} void AstClassExtends::dump(std::ostream& str) const { this->AstNode::dump(str); if (isImplements()) str << " [IMPLEMENTS]"; } +void AstClassExtends::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isImplements); + dumpJsonGen(str); +} AstClass* AstClassExtends::classOrNullp() const { const AstNodeDType* const dtp = dtypep() ? dtypep() : childDTypep(); const AstClassRefDType* const refp = VN_CAST(dtp, ClassRefDType); @@ -1437,6 +1550,7 @@ void AstClassRefDType::dump(std::ostream& str) const { str << " -> UNLINKED"; } } +void AstClassRefDType::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstClassRefDType::dumpSmall(std::ostream& str) const { this->AstNodeDType::dumpSmall(str); str << "class:" << name(); @@ -1446,19 +1560,33 @@ void AstNodeCoverOrAssert::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); if (immediate()) str << " [IMMEDIATE]"; } +void AstNodeCoverOrAssert::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, immediate); + dumpJsonGen(str); +} void AstClocking::dump(std::ostream& str) const { this->AstNode::dump(str); if (isDefault()) str << " [DEFAULT]"; if (isGlobal()) str << " [GLOBAL]"; } +void AstClocking::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isDefault); + dumpJsonBoolFunc(str, isGlobal); + dumpJsonGen(str); +} void AstDisplay::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); // str << " " << displayType().ascii(); } +void AstDisplay::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstEnumDType::dump(std::ostream& str) const { this->AstNodeDType::dump(str); str << " enum"; } +void AstEnumDType::dumpJson(std::ostream& str) const { + dumpJsonBool(str, "enum", 1); + dumpJsonGen(str); +} void AstEnumDType::dumpSmall(std::ostream& str) const { this->AstNodeDType::dumpSmall(str); str << "enum"; @@ -1479,6 +1607,7 @@ const char* AstEnumDType::broken() const { return nullptr; } +void AstEnumItemRef::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstIfaceRefDType::dump(std::ostream& str) const { this->AstNodeDType::dump(str); if (cellName() != "") str << " cell=" << cellName(); @@ -1494,12 +1623,17 @@ void AstIfaceRefDType::dump(std::ostream& str) const { str << " -> UNLINKED"; } } +void AstIfaceRefDType::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, cellName); + dumpJsonStrFunc(str, ifaceName); + dumpJsonStrFunc(str, modportName); + dumpJsonGen(str); +} void AstIfaceRefDType::dumpSmall(std::ostream& str) const { this->AstNodeDType::dumpSmall(str); str << "iface"; } -void AstInitArray::dump(std::ostream& str) const { - this->AstNode::dump(str); +void AstInitArray::dumpInitList(std::ostream& str) const { int n = 0; const auto& mapr = map(); for (const auto& itr : mapr) { @@ -1507,9 +1641,21 @@ void AstInitArray::dump(std::ostream& str) const { str << " ..."; break; } - str << " [" << itr.first << "]=" << nodeAddr(itr.second); + const string addr + = (v3Global.opt.jsonIds() ? v3Global.ptrToId(itr.second) : nodeAddr(itr.second)); + str << " [" << itr.first << "]=" << addr; } } +void AstInitArray::dump(std::ostream& str) const { + this->AstNode::dump(str); + dumpInitList(str); +} +void AstInitArray::dumpJson(std::ostream& str) const { + str << ',' << '"' << "initList" << '"' << ':' << '"'; + dumpInitList(str); + str << '"'; + dumpJsonGen(str); +} const char* AstInitArray::broken() const { for (KeyItemMap::const_iterator it = m_map.begin(); it != m_map.end(); ++it) { BROKEN_RTN(!it->second); @@ -1556,6 +1702,7 @@ void AstJumpGo::dump(std::ostream& str) const { str << "%Error:UNLINKED"; } } +void AstJumpGo::dumpJson(std::ostream& str) const { dumpJsonGen(str); } const char* AstJumpGo::broken() const { BROKEN_RTN(!labelp()->brokeExistsBelow()); return nullptr; @@ -1570,6 +1717,7 @@ void AstJumpLabel::dump(std::ostream& str) const { str << "%Error:UNLINKED"; } } +void AstJumpLabel::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstMemberDType::dumpSmall(std::ostream& str) const { this->AstNodeDType::dumpSmall(str); @@ -1598,6 +1746,7 @@ void AstMemberSel::dump(std::ostream& str) const { str << "%Error:UNLINKED"; } } +void AstMemberSel::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstModportFTaskRef::dump(std::ostream& str) const { this->AstNode::dump(str); if (isExport()) str << " EXPORT"; @@ -1609,6 +1758,11 @@ void AstModportFTaskRef::dump(std::ostream& str) const { str << " -> UNLINKED"; } } +void AstModportFTaskRef::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isExport); + dumpJsonBoolFunc(str, isImport); + dumpJsonGen(str); +} void AstModportVarRef::dump(std::ostream& str) const { this->AstNode::dump(str); if (direction().isAny()) str << " " << direction(); @@ -1619,6 +1773,10 @@ void AstModportVarRef::dump(std::ostream& str) const { str << " -> UNLINKED"; } } +void AstModportVarRef::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "direction", direction().ascii()); + dumpJsonGen(str); +} void AstPin::dump(std::ostream& str) const { this->AstNode::dump(str); if (modVarp()) { @@ -1630,6 +1788,11 @@ void AstPin::dump(std::ostream& str) const { if (svDotName()) str << " [.n]"; if (svImplicit()) str << " [.SV]"; } +void AstPin::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, svDotName); + dumpJsonBoolFunc(str, svImplicit); + dumpJsonGen(str); +} string AstPin::prettyOperatorName() const { return modVarp() ? ((modVarp()->direction().isAny() ? modVarp()->direction().prettyName() + " " : "") @@ -1640,20 +1803,37 @@ void AstPrintTimeScale::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); str << " " << timeunit(); } +void AstPrintTimeScale::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "timeunit", timeunit().ascii()); + dumpJsonGen(str); +} void AstNodeTermop::dump(std::ostream& str) const { this->AstNodeExpr::dump(str); } +void AstNodeTermop::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstTime::dump(std::ostream& str) const { this->AstNodeTermop::dump(str); str << " " << timeunit(); } +void AstTime::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "timeunit", timeunit().ascii()); + dumpJsonGen(str); +} void AstTimeD::dump(std::ostream& str) const { this->AstNodeTermop::dump(str); str << " " << timeunit(); } +void AstTimeD::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "timeunit", timeunit().ascii()); + dumpJsonGen(str); +} void AstTimeImport::dump(std::ostream& str) const { this->AstNodeUniop::dump(str); str << " " << timeunit(); } +void AstTimeImport::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "timeunit", timeunit().ascii()); + dumpJsonGen(str); +} void AstTypedef::dump(std::ostream& str) const { this->AstNode::dump(str); if (attrPublic()) str << " [PUBLIC]"; @@ -1662,11 +1842,20 @@ void AstTypedef::dump(std::ostream& str) const { subDTypep()->dump(str); } } +void AstTypedef::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, attrPublic); + dumpJsonGen(str); +} void AstNodeRange::dump(std::ostream& str) const { this->AstNode::dump(str); } +void AstNodeRange::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstRange::dump(std::ostream& str) const { this->AstNodeRange::dump(str); if (ascending()) str << " [ASCENDING]"; } +void AstRange::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, ascending); + dumpJsonGen(str); +} void AstParamTypeDType::dump(std::ostream& str) const { this->AstNodeDType::dump(str); if (subDTypep()) { @@ -1676,6 +1865,7 @@ void AstParamTypeDType::dump(std::ostream& str) const { str << " -> UNLINKED"; } } +void AstParamTypeDType::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstRefDType::dump(std::ostream& str) const { this->AstNodeDType::dump(str); if (typedefp() || subDTypep()) { @@ -1694,6 +1884,7 @@ void AstRefDType::dump(std::ostream& str) const { str << " -> UNLINKED"; } } +void AstRefDType::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstRefDType::dumpSmall(std::ostream& str) const { this->AstNodeDType::dumpSmall(str); str << "ref"; @@ -1708,6 +1899,11 @@ void AstNodeUOrStructDType::dump(std::ostream& str) const { if (isFourstate()) str << " [4STATE]"; if (classOrPackagep()) str << " pkg=" << nodeAddr(classOrPackagep()); } +void AstNodeUOrStructDType::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, packed); + dumpJsonBoolFunc(str, isFourstate); + dumpJsonGen(str); +} void AstNodeDType::dump(std::ostream& str) const { this->AstNode::dump(str); if (generic()) str << " [GENERIC]"; @@ -1716,6 +1912,10 @@ void AstNodeDType::dump(std::ostream& str) const { dtp->dumpSmall(str); } } +void AstNodeDType::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, generic); + dumpJsonGen(str); +} void AstNodeDType::dumpSmall(std::ostream& str) const { str << "(" << (generic() ? "G/" : "") << ((isSigned() && !isDouble()) ? "s" : "") << (isNosign() ? "n" : "") << (isDouble() ? "d" : "") << (isString() ? "str" : ""); @@ -1738,6 +1938,11 @@ void AstNodeArrayDType::dump(std::ostream& str) const { if (isCompound()) str << " [COMPOUND]"; str << " " << declRange(); } +void AstNodeArrayDType::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isCompound); + dumpJsonStr(str, "declRange", cvtToStr(declRange())); + dumpJsonGen(str); +} string AstPackArrayDType::prettyDTypeName() const { std::ostringstream os; if (const auto subp = subDTypep()) os << subp->prettyDTypeName(); @@ -1773,6 +1978,11 @@ void AstNetlist::dump(std::ostream& str) const { this->AstNode::dump(str); str << " [" << timeunit() << "/" << timeprecision() << "]"; } +void AstNetlist::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "timeunit", timeunit().ascii()); + dumpJsonStr(str, "timeprecision", timeprecision().ascii()); + dumpJsonGen(str); +} AstPackage* AstNetlist::dollarUnitPkgAddp() { if (!m_dollarUnitPkgp) { m_dollarUnitPkgp = new AstPackage{fileline(), AstPackage::dollarUnitName()}; @@ -1803,19 +2013,37 @@ void AstNodeModule::dump(std::ostream& str) const { } str << " [" << timeunit() << "]"; } +void AstNodeModule::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, origName); + dumpJsonNumFunc(str, level); + dumpJsonBoolFunc(str, modPublic); + dumpJsonBoolFunc(str, inLibrary); + dumpJsonBoolFunc(str, dead); + dumpJsonBoolFunc(str, recursiveClone); + dumpJsonBoolFunc(str, recursive); + dumpJsonStr(str, "timeunit", timeunit().ascii()); + dumpJsonGen(str); +} void AstPackageExport::dump(std::ostream& str) const { this->AstNode::dump(str); str << " -> " << packagep(); } +void AstPackageExport::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstPackageImport::dump(std::ostream& str) const { this->AstNode::dump(str); str << " -> " << packagep(); } +void AstPackageImport::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstPatMember::dump(std::ostream& str) const { this->AstNodeExpr::dump(str); if (isDefault()) str << " [DEFAULT]"; } +void AstPatMember::dumpJson(std::ostream& str) const { + if (isDefault()) dumpJsonBoolFunc(str, isDefault); + dumpJsonGen(str); +} void AstNodeTriop::dump(std::ostream& str) const { this->AstNodeExpr::dump(str); } +void AstNodeTriop::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstSel::dump(std::ostream& str) const { this->AstNodeTriop::dump(str); if (declRange().ranged()) { @@ -1823,15 +2051,32 @@ void AstSel::dump(std::ostream& str) const { if (declElWidth() != 1) str << "/" << declElWidth(); } } +void AstSel::dumpJson(std::ostream& str) const { + if (declRange().ranged()) { + dumpJsonStr(str, "declRange", cvtToStr(declRange())); + dumpJsonNumFunc(str, declElWidth); + } + dumpJsonGen(str); +} void AstSliceSel::dump(std::ostream& str) const { this->AstNodeTriop::dump(str); if (declRange().ranged()) str << " decl" << declRange(); } +void AstSliceSel::dumpJson(std::ostream& str) const { + if (declRange().ranged()) { dumpJsonStr(str, "declRange", cvtToStr(declRange())); } + dumpJsonGen(str); +} void AstMTaskBody::dump(std::ostream& str) const { this->AstNode::dump(str); str << " "; m_execMTaskp->dump(str); } +void AstMTaskBody::dumpJson(std::ostream& str) const { + str << ',' << '"' << "execMTask" << '"' << ':' << '"'; + m_execMTaskp->dump(str); // TODO: Consider dumping it as json object + str << '"'; + dumpJsonGen(str); +} void AstTypeTable::dump(std::ostream& str) const { this->AstNode::dump(str); for (int i = 0; i < static_cast(VBasicDTypeKwd::_ENUM_MAX); ++i) { @@ -1853,6 +2098,7 @@ void AstTypeTable::dump(std::ostream& str) const { } // Note get newline from caller too. } +void AstTypeTable::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstAssocArrayDType::dumpSmall(std::ostream& str) const { this->AstNodeDType::dumpSmall(str); str << "[assoc-" << nodeAddr(keyDTypep()) << "]"; @@ -1931,6 +2177,10 @@ void AstVarScope::dump(std::ostream& str) const { str << " ->UNLINKED"; } } +void AstVarScope::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isTrace); + dumpJsonGen(str); +} bool AstVarScope::same(const AstNode* samep) const { const AstVarScope* const asamep = VN_DBG_AS(samep, VarScope); return varp()->same(asamep->varp()) && scopep()->same(asamep->scopep()); @@ -1940,6 +2190,10 @@ void AstNodeVarRef::dump(std::ostream& str) const { if (classOrPackagep()) str << " pkg=" << nodeAddr(classOrPackagep()); str << " " << access().arrow() << " "; } +void AstNodeVarRef::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "access", access().ascii()); + dumpJsonGen(str); +} void AstVarXRef::dump(std::ostream& str) const { this->AstNodeVarRef::dump(str); str << ".=" << dotted() << " "; @@ -1952,6 +2206,11 @@ void AstVarXRef::dump(std::ostream& str) const { str << "UNLINKED"; } } +void AstVarXRef::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, dotted); + dumpJsonStrFunc(str, inlinedDots); + dumpJsonGen(str); +} void AstVarRef::dump(std::ostream& str) const { this->AstNodeVarRef::dump(str); if (varScopep()) { @@ -1962,6 +2221,7 @@ void AstVarRef::dump(std::ostream& str) const { str << "UNLINKED"; } } +void AstVarRef::dumpJson(std::ostream& str) const { dumpJsonGen(str); } const char* AstVarRef::broken() const { BROKEN_RTN(!varp()); return nullptr; @@ -2006,6 +2266,36 @@ void AstVar::dump(std::ostream& str) const { if (!lifetime().isNone()) str << " [" << lifetime().ascii() << "] "; str << " " << varType(); } +void AstVar::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, origName); + dumpJsonBoolFunc(str, isSc); + dumpJsonBoolFunc(str, isPrimaryIO); + dumpJsonStr(str, "direction", direction().ascii()); + dumpJsonBoolFunc(str, isConst); + dumpJsonBoolFunc(str, isPullup); + dumpJsonBoolFunc(str, isPulldown); + dumpJsonBoolFunc(str, isUsedClock); + dumpJsonBoolFunc(str, isSigPublic); + dumpJsonBoolFunc(str, isLatched); + dumpJsonBoolFunc(str, isUsedLoopIdx); + dumpJsonBoolFunc(str, noReset); + dumpJsonBoolFunc(str, attrIsolateAssign); + dumpJsonBoolFunc(str, attrFileDescr); + dumpJsonBoolFunc(str, isDpiOpenArray); + dumpJsonBoolFunc(str, isFuncReturn); + dumpJsonBoolFunc(str, isFuncLocal); + dumpJsonStr(str, "attrClocker", (attrClocker().unknown() ? "UNKNOWN" : attrClocker().ascii())); + dumpJsonStr(str, "lifetime", lifetime().ascii()); + dumpJsonStr(str, "varType", varType().ascii()); + if (dtypep()) dumpJsonStr(str, "dtypeName", dtypep()->name()); + dumpJsonBoolFunc(str, isSigUserRdPublic); + dumpJsonBoolFunc(str, isSigUserRWPublic); + dumpJsonBoolFunc(str, isGParam); + dumpJsonBoolFunc(str, isParam); + dumpJsonBoolFunc(str, attrScBv); + dumpJsonBoolFunc(str, attrSFormat); + dumpJsonGen(str); +} bool AstVar::same(const AstNode* samep) const { const AstVar* const asamep = VN_DBG_AS(samep, Var); return name() == asamep->name() && varType() == asamep->varType(); @@ -2023,6 +2313,7 @@ void AstScope::dump(std::ostream& str) const { str << " [cellp=" << nodeAddr(aboveCellp()) << "]"; str << " [modp=" << nodeAddr(modp()) << "]"; } +void AstScope::dumpJson(std::ostream& str) const { dumpJsonGen(str); } bool AstScope::same(const AstNode* samep) const { const AstScope* const asamep = VN_DBG_AS(samep, Scope); return name() == asamep->name() @@ -2035,22 +2326,44 @@ void AstScopeName::dump(std::ostream& str) const { if (dpiExport()) str << " [DPIEX]"; if (forFormat()) str << " [FMT]"; } +void AstScopeName::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, dpiExport); + dumpJsonBoolFunc(str, forFormat); + dumpJsonGen(str); +} void AstSenTree::dump(std::ostream& str) const { this->AstNode::dump(str); if (isMulti()) str << " [MULTI]"; } +void AstSenTree::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isMulti); + dumpJsonGen(str); +} void AstSenItem::dump(std::ostream& str) const { this->AstNode::dump(str); str << " [" << edgeType().ascii() << "]"; } +void AstSenItem::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "edgeType", edgeType().ascii()); + dumpJsonGen(str); +} void AstStrengthSpec::dump(std::ostream& str) const { this->AstNode::dump(str); str << " (" << m_s0.ascii() << ", " << m_s1.ascii() << ")"; } +void AstStrengthSpec::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "strength0", m_s0.ascii()); + dumpJsonStr(str, "strength1", m_s1.ascii()); + dumpJsonGen(str); +} void AstParseRef::dump(std::ostream& str) const { this->AstNode::dump(str); str << " [" << expect().ascii() << "]"; } +void AstParseRef::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "expect", expect().ascii()); + dumpJsonGen(str); +} void AstClassOrPackageRef::dump(std::ostream& str) const { this->AstNode::dump(str); if (classOrPackageNodep()) str << " cpkg=" << nodeAddr(classOrPackageNodep()); @@ -2061,6 +2374,7 @@ void AstClassOrPackageRef::dump(std::ostream& str) const { str << "UNLINKED"; } } +void AstClassOrPackageRef::dumpJson(std::ostream& str) const { dumpJsonGen(str); } AstNodeModule* AstClassOrPackageRef::classOrPackagep() const { AstNode* foundp = m_classOrPackageNodep; if (auto* const anodep = VN_CAST(foundp, Typedef)) foundp = anodep->subDTypep(); @@ -2073,6 +2387,10 @@ void AstDot::dump(std::ostream& str) const { this->AstNode::dump(str); if (colon()) str << " [::]"; } +void AstDot::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, colon); + dumpJsonGen(str); +} void AstActive::dump(std::ostream& str) const { this->AstNode::dump(str); str << " => "; @@ -2082,6 +2400,7 @@ void AstActive::dump(std::ostream& str) const { str << "UNLINKED"; } } +void AstActive::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstNodeFTaskRef::dump(std::ostream& str) const { this->AstNodeExpr::dump(str); if (classOrPackagep()) str << " pkg=" << nodeAddr(classOrPackagep()); @@ -2093,6 +2412,10 @@ void AstNodeFTaskRef::dump(std::ostream& str) const { str << "UNLINKED"; } } +void AstNodeFTaskRef::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, dotted); + dumpJsonGen(str); +} void AstNodeFTask::dump(std::ostream& str) const { this->AstNode::dump(str); if (classMethod()) str << " [METHOD]"; @@ -2130,10 +2453,26 @@ bool AstNodeFTask::getPurityRecurse() const { } return true; } +void AstNodeFTask::dumpJson(std::ostream& str) const { + dumpJsonBool(str, "method", classMethod()); + dumpJsonBoolFunc(str, dpiExport); + dumpJsonBoolFunc(str, dpiImport); + dumpJsonBoolFunc(str, dpiOpenChild); + dumpJsonBoolFunc(str, dpiOpenParent); + dumpJsonBoolFunc(str, prototype); + dumpJsonBoolFunc(str, recursive); + dumpJsonBoolFunc(str, taskPublic); + dumpJsonStrFunc(str, cname); + dumpJsonGen(str); +} void AstNodeBlock::dump(std::ostream& str) const { this->AstNode::dump(str); if (unnamed()) str << " [UNNAMED]"; } +void AstNodeBlock::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, unnamed); + dumpJsonGen(str); +} void AstBegin::dump(std::ostream& str) const { this->AstNodeBlock::dump(str); if (generate()) str << " [GEN]"; @@ -2141,6 +2480,13 @@ void AstBegin::dump(std::ostream& str) const { if (implied()) str << " [IMPLIED]"; if (needProcess()) str << " [NPRC]"; } +void AstBegin::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, generate); + dumpJsonBool(str, "genfor", bool(genforp())); + dumpJsonBoolFunc(str, implied); + dumpJsonBoolFunc(str, needProcess); + dumpJsonGen(str); +} void AstCoverDecl::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); if (!page().empty()) str << " page=" << page(); @@ -2159,6 +2505,12 @@ void AstCoverDecl::dump(std::ostream& str) const { if (binNum()) str << " bin" << std::dec << binNum(); } } +void AstCoverDecl::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, page); + dumpJsonStrFunc(str, linescov); + dumpJsonNumFunc(str, binNum); + dumpJsonGen(str); +} void AstCoverInc::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); str << " -> "; @@ -2168,14 +2520,23 @@ void AstCoverInc::dump(std::ostream& str) const { str << "%Error:UNLINKED"; } } +void AstCoverInc::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstFork::dump(std::ostream& str) const { this->AstNodeBlock::dump(str); if (!joinType().join()) str << " [" << joinType() << "]"; } +void AstFork::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "joinType", joinType().ascii()); + dumpJsonGen(str); +} void AstTraceDecl::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); if (code()) str << " [code=" << code() << "]"; } +void AstTraceDecl::dumpJson(std::ostream& str) const { + dumpJsonNumFunc(str, code); + dumpJsonGen(str); +} void AstTraceInc::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); str << " -> "; @@ -2185,25 +2546,41 @@ void AstTraceInc::dump(std::ostream& str) const { str << "%Error:UNLINKED"; } } -void AstNodeText::dump(std::ostream& str) const { - this->AstNode::dump(str); +void AstTraceInc::dumpJson(std::ostream& str) const { dumpJsonGen(str); } +string AstNodeText::shortText() const { string out = text(); string::size_type pos; if ((pos = out.find('\n')) != string::npos) { out.erase(pos, out.length() - pos); out += "..."; } - str << " \"" << out << "\""; + return out; +} +void AstNodeText::dump(std::ostream& str) const { + this->AstNode::dump(str); + str << " \"" << shortText() << "\""; +} +void AstNodeText::dumpJson(std::ostream& str) const { + dumpJsonStrFunc(str, shortText); + dumpJsonGen(str); } void AstNodeFile::dump(std::ostream& str) const { this->AstNode::dump(str); } +void AstNodeFile::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstVFile::dump(std::ostream& str) const { this->AstNodeFile::dump(str); } +void AstVFile::dumpJson(std::ostream& str) const { dumpJsonGen(str); } void AstCFile::dump(std::ostream& str) const { this->AstNodeFile::dump(str); if (source()) str << " [SRC]"; if (slow()) str << " [SLOW]"; } + +void AstCFile::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, source); + dumpJsonBoolFunc(str, slow); + dumpJsonGen(str); +} void AstCFunc::dump(std::ostream& str) const { this->AstNode::dump(str); if (slow()) str << " [SLOW]"; @@ -2221,6 +2598,22 @@ void AstCFunc::dump(std::ostream& str) const { if (needProcess()) str << " [NPRC]"; if (entryPoint()) str << " [ENTRY]"; } +void AstCFunc::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, slow); + dumpJsonBoolFunc(str, isStatic); + dumpJsonBoolFunc(str, dpiExportDispatcher); + dumpJsonBoolFunc(str, dpiExportImpl); + dumpJsonBoolFunc(str, dpiImportPrototype); + dumpJsonBoolFunc(str, dpiImportWrapper); + dumpJsonBoolFunc(str, dpiContext); + dumpJsonBoolFunc(str, isConstructor); + dumpJsonBoolFunc(str, isDestructor); + dumpJsonBoolFunc(str, isVirtual); + dumpJsonBoolFunc(str, isCoroutine); + dumpJsonBoolFunc(str, needProcess); + dumpJsonGen(str); + // TODO: maybe try to shorten these flags somehow +} void AstCAwait::dump(std::ostream& str) const { this->AstNodeUniop::dump(str); if (sensesp()) { @@ -2228,6 +2621,7 @@ void AstCAwait::dump(std::ostream& str) const { sensesp()->dump(str); } } +void AstCAwait::dumpJson(std::ostream& str) const { dumpJsonGen(str); } int AstCMethodHard::instrCount() const { if (AstBasicDType* const basicp = fromp()->dtypep()->basicp()) { // TODO: add a more structured description of library methods, rather than using string @@ -2315,6 +2709,10 @@ void AstCUse::dump(std::ostream& str) const { this->AstNode::dump(str); str << " [" << useType() << "]"; } +void AstCUse::dumpJson(std::ostream& str) const { + dumpJsonStr(str, "useType", useType().ascii()); + dumpJsonGen(str); +} AstAlways* AstAssignW::convertToAlways() { const bool hasTimingControl = isTimingControl(); @@ -2339,3 +2737,7 @@ void AstDelay::dump(std::ostream& str) const { this->AstNodeStmt::dump(str); if (isCycleDelay()) str << " [CYCLE]"; } +void AstDelay::dumpJson(std::ostream& str) const { + dumpJsonBoolFunc(str, isCycleDelay); + dumpJsonGen(str); +} diff --git a/src/V3FileLine.cpp b/src/V3FileLine.cpp index ba4fd33cc..7a45be309 100644 --- a/src/V3FileLine.cpp +++ b/src/V3FileLine.cpp @@ -88,6 +88,21 @@ void FileLineSingleton::fileNameNumMapDumpXml(std::ostream& os) { os << "\n"; } +void FileLineSingleton::fileNameNumMapDumpJson(std::ostream& os) { + std::string sep = "\n "; + os << "\"files\": {"; + for (const auto& itr : m_namemap) { + const std::string name + = itr.first == V3Options::getStdPackagePath() ? "" : itr.first; + os << sep << '"' << filenameLetters(itr.second) << '"' << ": {\"filename\":\"" << name + << '"' << ", \"realpath\":\"" + << V3OutFormatter::quoteNameControls(V3Os::filenameRealPath(itr.first)) << '"' + << ", \"language\":\"" << numberToLang(itr.second).ascii() << "\"}"; + sep = ",\n "; + } + os << "\n }"; +} + FileLineSingleton::msgEnSetIdx_t FileLineSingleton::addMsgEnBitSet(const MsgEnBitSet& bitSet) VL_MT_SAFE_EXCLUDES(m_mutex) { V3LockGuard lock{m_mutex}; diff --git a/src/V3FileLine.h b/src/V3FileLine.h index f44215815..41d4906f2 100644 --- a/src/V3FileLine.h +++ b/src/V3FileLine.h @@ -77,6 +77,7 @@ class FileLineSingleton final { m_languages.clear(); } void fileNameNumMapDumpXml(std::ostream& os); + void fileNameNumMapDumpJson(std::ostream& os); static string filenameLetters(fileNameIdx_t fileno) VL_PURE; // Add given bitset to the interned bitsets, return interned index @@ -310,6 +311,9 @@ public: return defaultFileLine().warnOff(code, flag); } static void fileNameNumMapDumpXml(std::ostream& os) { singleton().fileNameNumMapDumpXml(os); } + static void fileNameNumMapDumpJson(std::ostream& os) { + singleton().fileNameNumMapDumpJson(os); + } // METHODS - Called from netlist // Merge warning disables from another fileline diff --git a/src/V3Global.cpp b/src/V3Global.cpp index 5e6d767b9..3505fceb4 100644 --- a/src/V3Global.cpp +++ b/src/V3Global.cpp @@ -113,6 +113,30 @@ void V3Global::dumpCheckGlobalTree(const string& stagename, int newNumber, bool if (v3Global.opt.stats()) V3Stats::statsStage(stagename); } +void V3Global::idPtrMapDumpJson(std::ostream& os) { + std::string sep = "\n "; + os << "\"pointers\": {"; + for (const auto& itr : m_ptrToId) { + os << sep << '"' << itr.second << "\": \"" << cvtToHex(itr.first) << '"'; + sep = ",\n "; + } + os << "\n }"; +} + +void V3Global::saveJsonPtrFieldName(const std::string& fieldName) { + m_jsonPtrNames.insert(fieldName); +} + +void V3Global::ptrNamesDumpJson(std::ostream& os) { + std::string sep = "\n "; + os << "\"ptrFieldNames\": ["; + for (const auto& itr : m_jsonPtrNames) { + os << sep << '"' << itr << '"'; + sep = ",\n "; + } + os << "\n ]"; +} + const std::string& V3Global::ptrToId(const void* p) { const auto pair = m_ptrToId.emplace(p, ""); if (pair.second) { diff --git a/src/V3Global.h b/src/V3Global.h index 5bbe63519..1c387ab60 100644 --- a/src/V3Global.h +++ b/src/V3Global.h @@ -34,6 +34,7 @@ #include #include +#include class AstNetlist; class V3HierBlockPlan; @@ -125,6 +126,9 @@ class V3Global final { std::unordered_map m_ptrToId; // The actual 'address' <=> 'short string' bijection + // Names of fields that were dumped by dumpJsonPtr() + std::unordered_set m_jsonPtrNames; + public: // Options V3Options opt; // All options; let user see them directly @@ -184,6 +188,9 @@ public: bool useParallelBuild() const { return m_useParallelBuild; } void useRandomizeMethods(bool flag) { m_useRandomizeMethods = flag; } bool useRandomizeMethods() const { return m_useRandomizeMethods; } + void saveJsonPtrFieldName(const std::string& fieldName); + void ptrNamesDumpJson(std::ostream& os); + void idPtrMapDumpJson(std::ostream& os); const std::string& ptrToId(const void* p); }; diff --git a/src/V3Options.cpp b/src/V3Options.cpp index 25cf3ef65..cc54663a8 100644 --- a/src/V3Options.cpp +++ b/src/V3Options.cpp @@ -796,14 +796,15 @@ void V3Options::notify() VL_MT_DISABLED { if (!outFormatOk() && v3Global.opt.main()) ccSet(); // --main implies --cc if not provided if (!outFormatOk() && !dpiHdrOnly() && !lintOnly() && !preprocOnly() && !serializeOnly()) { v3fatal("verilator: Need --binary, --cc, --sc, --dpi-hdr-only, --lint-only, " - "--xml-only or --E option"); + "--xml-only, --json-only or --E option"); } if (m_build && (m_gmake || m_cmake)) { cmdfl->v3error("--make cannot be used together with --build. Suggest see manual"); } - // m_build, m_preprocOnly, m_dpiHdrOnly, m_lintOnly, and m_xmlOnly are mutually exclusive + // m_build, m_preprocOnly, m_dpiHdrOnly, m_lintOnly, m_jsonOnly and m_xmlOnly are mutually + // exclusive std::vector backendFlags; if (m_build) { if (m_binary) @@ -815,6 +816,7 @@ void V3Options::notify() VL_MT_DISABLED { if (m_dpiHdrOnly) backendFlags.push_back("--dpi-hdr-only"); if (m_lintOnly) backendFlags.push_back("--lint-only"); if (m_xmlOnly) backendFlags.push_back("--xml-only"); + if (m_jsonOnly) backendFlags.push_back("--json-only"); if (backendFlags.size() > 1) { std::string backendFlagsString = backendFlags.front(); for (size_t i = 1; i < backendFlags.size(); i++) { @@ -1203,6 +1205,8 @@ void V3Options::parseOptsList(FileLine* fl, const string& optdir, int argc, DECL_OPTION("-dumpi-", CbPartialMatchVal, [this](const char* optp, const char* valp) { m_dumpLevel[optp] = std::atoi(valp); }); + DECL_OPTION("-json-edit-nums", OnOff, &m_jsonEditNums); + DECL_OPTION("-json-ids", OnOff, &m_jsonIds); DECL_OPTION("-E", CbOnOff, [this](bool flag) { if (flag) m_std = false; m_preprocOnly = flag; @@ -1664,6 +1668,15 @@ void V3Options::parseOptsList(FileLine* fl, const string& optdir, int argc, m_xmlOutput = valp; m_xmlOnly = true; }); + DECL_OPTION("-json-only", OnOff, &m_jsonOnly); + DECL_OPTION("-json-only-output", CbVal, [this](const char* valp) { + m_jsonOnlyOutput = valp; + m_jsonOnly = true; + }); + DECL_OPTION("-json-only-meta-output", CbVal, [this](const char* valp) { + m_jsonOnlyMetaOutput = valp; + m_jsonOnly = true; + }); DECL_OPTION("-y", CbVal, [this, &optdir](const char* valp) { addIncDirUser(parseFileArg(optdir, string{valp})); diff --git a/src/V3Options.h b/src/V3Options.h index b48c4450c..846025a4a 100644 --- a/src/V3Options.h +++ b/src/V3Options.h @@ -292,6 +292,7 @@ private: bool m_vpi = false; // main switch: --vpi bool m_xInitialEdge = false; // main switch: --x-initial-edge bool m_xmlOnly = false; // main switch: --xml-only + bool m_jsonOnly = false; // main switch: --json-only int m_buildJobs = -1; // main switch: --build-jobs, -j int m_convergeLimit = 100; // main switch: --converge-limit @@ -302,6 +303,8 @@ private: int m_ifDepth = 0; // main switch: --if-depth int m_inlineMult = 2000; // main switch: --inline-mult int m_instrCountDpi = 200; // main switch: --instr-count-dpi + bool m_jsonEditNums = true; // main switch: --no-json-edit-nums + bool m_jsonIds = true; // main switch: --no-json-ids VOptionBool m_makeDepend; // main switch: -MMD int m_maxNumWidth = 65536; // main switch: --max-num-width int m_moduleRecursion = 100; // main switch: --module-recursion-depth @@ -348,6 +351,8 @@ private: string m_xAssign; // main switch: --x-assign string m_xInitial; // main switch: --x-initial string m_xmlOutput; // main switch: --xml-output + string m_jsonOnlyOutput; // main switch: --json-only-output + string m_jsonOnlyMetaOutput; // main switch: --json-only-meta-output // Language is now held in FileLine, on a per-node basis. However we still // have a concept of the default language at a global level. @@ -519,7 +524,8 @@ public: bool vpi() const { return m_vpi; } bool xInitialEdge() const { return m_xInitialEdge; } bool xmlOnly() const { return m_xmlOnly; } - bool serializeOnly() const { return m_xmlOnly; } + bool jsonOnly() const { return m_jsonOnly; } + bool serializeOnly() const { return m_xmlOnly || m_jsonOnly; } bool topIfacesSupported() const { return lintOnly() && !hierarchical(); } int buildJobs() const VL_MT_SAFE { return m_buildJobs; } @@ -531,6 +537,8 @@ public: int ifDepth() const { return m_ifDepth; } int inlineMult() const { return m_inlineMult; } int instrCountDpi() const { return m_instrCountDpi; } + bool jsonEditNums() const { return m_jsonEditNums; } + bool jsonIds() const { return m_jsonIds; } VOptionBool makeDepend() const { return m_makeDepend; } int maxNumWidth() const { return m_maxNumWidth; } int moduleRecursionDepth() const { return m_moduleRecursion; } @@ -600,6 +608,8 @@ public: string xAssign() const { return m_xAssign; } string xInitial() const { return m_xInitial; } string xmlOutput() const { return m_xmlOutput; } + string jsonOnlyOutput() const { return m_jsonOnlyOutput; } + string jsonOnlyMetaOutput() const { return m_jsonOnlyMetaOutput; } const V3StringSet& cppFiles() const { return m_cppFiles; } const V3StringList& cFlags() const { return m_cFlags; } diff --git a/src/Verilator.cpp b/src/Verilator.cpp index 3c4cea294..13cac8ba3 100644 --- a/src/Verilator.cpp +++ b/src/Verilator.cpp @@ -119,6 +119,19 @@ static void reportStatsIfEnabled() { } } +static void emitJson() VL_MT_DISABLED { + const string filename + = (v3Global.opt.jsonOnlyOutput().empty() + ? v3Global.opt.makeDir() + "/" + v3Global.opt.prefix() + ".tree.json" + : v3Global.opt.jsonOnlyOutput()); + v3Global.rootp()->dumpTreeJsonFile(filename); +} + +static void emitXmlOrJson() VL_MT_DISABLED { + if (v3Global.opt.xmlOnly()) V3EmitXml::emitxml(); + if (v3Global.opt.jsonOnly()) emitJson(); +} + static void process() { { const V3MtDisabledLockGuard mtDisabler{v3MtDisabledLock()}; @@ -151,7 +164,7 @@ static void process() { if (v3Global.opt.stats()) V3Stats::statsStageAll(v3Global.rootp(), "Link"); if (v3Global.opt.debugExitUvm23()) { V3Error::abortIfErrors(); - if (v3Global.opt.serializeOnly()) V3EmitXml::emitxml(); + if (v3Global.opt.serializeOnly()) emitXmlOrJson(); cout << "--debug-exit-uvm23: Exiting after UVM-supported pass\n"; std::exit(0); } @@ -180,7 +193,7 @@ static void process() { } if (v3Global.opt.debugExitUvm()) { V3Error::abortIfErrors(); - if (v3Global.opt.serializeOnly()) V3EmitXml::emitxml(); + if (v3Global.opt.serializeOnly()) emitXmlOrJson(); cout << "--debug-exit-uvm: Exiting after UVM-supported pass\n"; std::exit(0); } @@ -576,11 +589,13 @@ static void process() { } { const V3MtDisabledLockGuard mtDisabler{v3MtDisabledLock()}; - if (v3Global.opt.serializeOnly() - // Check XML when debugging to make sure no missing node types - || (v3Global.opt.debugCheck() && !v3Global.opt.lintOnly() - && !v3Global.opt.dpiHdrOnly())) { + if (v3Global.opt.serializeOnly()) { + emitXmlOrJson(); + } else if (v3Global.opt.debugCheck() && !v3Global.opt.lintOnly() + && !v3Global.opt.dpiHdrOnly()) { + // Check XML/JSON when debugging to make sure no missing node types V3EmitXml::emitxml(); + emitJson(); } // Output DPI protected library files @@ -684,6 +699,13 @@ static void verilate(const string& argString) { // Final steps V3Global::dumpCheckGlobalTree("final", 990, dumpTreeEitherLevel() >= 3); + if (v3Global.opt.jsonOnly()) { + const string filename + = (v3Global.opt.jsonOnlyMetaOutput().empty() + ? v3Global.opt.makeDir() + "/" + v3Global.opt.prefix() + ".tree.meta.json" + : v3Global.opt.jsonOnlyMetaOutput()); + v3Global.rootp()->dumpJsonMetaFile(filename); + } V3Error::abortIfErrors(); diff --git a/src/astgen b/src/astgen index cf247a544..275614e38 100755 --- a/src/astgen +++ b/src/astgen @@ -1020,6 +1020,29 @@ def write_ast_impl(filename): emitBlock("}}\n") + emitBlock("void Ast{t}::dumpJsonGen(std::ostream& str) const {{\n", + t=node.name) + if node.superClass.name != 'Node': + emitBlock(" Ast{base}::dumpJson(str);\n", + base=node.superClass.name) + for ptr in node.ptrs: + emitBlock(" dumpJsonPtr(str, \"{name}\", m_{name});\n", + name=ptr['name']) + emitBlock("}}\n") + + emitBlock( + "void Ast{t}::dumpTreeJsonOpGen(std::ostream& str, const string& indent) const {{\n", + t=node.name) + for i in range(1, 5): + op = node.getOp(i) + if op is None: + continue + name, _, _ = op + emitBlock( + " dumpNodeListJson(str, {name}(), \"{name}\", indent);\n", + name=name) + emitBlock("}}\n") + def write_ast_macros(filename): with open_file(filename) as fh: @@ -1066,6 +1089,8 @@ def write_ast_macros(filename): Ast{t}* addNext(Ast{t}* nodep) {{ return static_cast(AstNode::addNext(this, nodep)); }} const char* brokenGen() const override; void cloneRelinkGen() override; + void dumpTreeJsonOpGen(std::ostream& str, const string& indent) const override; + void dumpJsonGen(std::ostream& str) const; ''', t=node.name) diff --git a/test_regress/driver.pl b/test_regress/driver.pl index 62735dfe7..c0169cd4d 100755 --- a/test_regress/driver.pl +++ b/test_regress/driver.pl @@ -2527,6 +2527,7 @@ sub file_grep { } elsif (defined($expvalue) && $expvalue ne $1) { $self->error("File_grep: $filename: Got='$1' Expected='$expvalue' in regexp: $regexp\n"); } + return @{^CAPTURE}; } sub file_grep_count { diff --git a/test_regress/t/t_clk_concat.out b/test_regress/t/t_clk_concat.out new file mode 100644 index 000000000..47f0e0363 --- /dev/null +++ b/test_regress/t/t_clk_concat.out @@ -0,0 +1,658 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"e,72:8,72:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk0","addr":"(I)","loc":"e,74:16,74:20","dtypep":"(J)","origName":"clk0","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"clk1","addr":"(K)","loc":"e,75:16,75:20","dtypep":"(J)","origName":"clk1","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"clk2","addr":"(L)","loc":"e,76:16,76:20","dtypep":"(J)","origName":"clk2","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"data_in","addr":"(M)","loc":"e,82:16,82:23","dtypep":"(J)","origName":"data_in","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.t2.t1.some_module.some_state","addr":"(N)","loc":"e,11:27,11:37","dtypep":"(O)","origName":"some_state","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.t2.t1.some_module.some_other_state","addr":"(P)","loc":"e,12:27,12:43","dtypep":"(O)","origName":"some_other_state","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(Q)","loc":"e,72:8,72:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk1__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(R)","loc":"e,72:8,72:9","dtypep":"(S)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(T)","loc":"e,72:8,72:9","dtypep":"(U)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(V)","loc":"e,72:8,72:9","dtypep":"(W)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(X)","loc":"e,72:8,72:9","dtypep":"(W)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"e,72:8,72:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(Y)","loc":"e,72:8,72:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(Z)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(AB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(BB)","loc":"e,72:8,72:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CB)","loc":"e,72:8,72:9","dtypep":"(DB)","funcName":"_eval_initial__TOP","funcp":"(EB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(FB)","loc":"e,14:21,14:26","dtypep":"(GB)", + "rhsp": [ + {"type":"VARREF","name":"clk1","addr":"(HB)","loc":"e,14:21,14:26","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(IB)","loc":"e,14:21,14:26","dtypep":"(GB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(EB)","loc":"e,72:8,72:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"DISPLAY","name":"","addr":"(JB)","loc":"e,100:7,100:13", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(KB)","loc":"e,100:7,100:13","dtypep":"(LB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(MB)","loc":"e,101:7,101:14"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(NB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(OB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(PB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(QB)","loc":"e,72:8,72:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(RB)","loc":"e,72:8,72:9","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SB)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TB)","loc":"e,72:8,72:9","dtypep":"(UB)"}, + {"type":"AND","name":"","addr":"(VB)","loc":"e,14:13,14:20","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(WB)","loc":"e,14:21,14:26","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk1","addr":"(XB)","loc":"e,14:21,14:26","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(YB)","loc":"e,14:13,14:20","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZB)","loc":"e,14:13,14:20","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(AC)","loc":"e,14:13,14:20","dtypep":"(GB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(BC)","loc":"e,14:21,14:26","dtypep":"(GB)", + "rhsp": [ + {"type":"VARREF","name":"clk1","addr":"(CC)","loc":"e,14:21,14:26","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(DC)","loc":"e,14:21,14:26","dtypep":"(GB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(EC)","loc":"e,72:8,72:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(FC)","loc":"e,72:8,72:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(GC)","loc":"e,72:8,72:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(HC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IC)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_dump_triggers__act","funcp":"(JC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(KC)","loc":"e,72:8,72:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(LC)","loc":"e,72:8,72:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(JC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MC)","loc":"e,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(NC)","loc":"e,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(OC)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(PC)","loc":"e,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QC)","loc":"e,72:8,72:9","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(RC)","loc":"e,72:8,72:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SC)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(TC)","loc":"e,72:8,72:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(UC)","loc":"e,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(VC)","loc":"e,72:8,72:9","dtypep":"(WC)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(XC)","loc":"e,72:8,72:9","dtypep":"(WC)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(YC)","loc":"e,72:8,72:9","dtypep":"(ZC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(AD)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(BD)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(CD)","loc":"e,72:8,72:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk1)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(DD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ED)","loc":"e,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(FD)","loc":"e,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(GD)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HD)","loc":"e,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ID)","loc":"e,72:8,72:9","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JD)","loc":"e,72:8,72:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KD)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LD)","loc":"e,72:8,72:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(MD)","loc":"e,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(ND)","loc":"e,72:8,72:9","dtypep":"(WC)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(OD)","loc":"e,72:8,72:9","dtypep":"(WC)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(PD)","loc":"e,72:8,72:9","dtypep":"(ZC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QD)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(RD)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(SD)","loc":"e,72:8,72:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk1)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(TD)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(UD)","loc":"e,18:13,18:23","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VD)","loc":"e,16:14,16:15", + "condp": [ + {"type":"EQ","name":"","addr":"(WD)","loc":"e,16:14,16:15","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"2'h3","addr":"(XD)","loc":"e,16:9,16:14","dtypep":"(YD)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZD)","loc":"e,15:13,15:23","dtypep":"(YD)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_state","addr":"(AE)","loc":"e,15:13,15:23","dtypep":"(YD)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(BE)","loc":"e,17:11,17:13", + "condp": [ + {"type":"EQ","name":"","addr":"(CE)","loc":"e,17:32,17:34","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"2'h0","addr":"(DE)","loc":"e,17:35,17:36","dtypep":"(YD)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EE)","loc":"e,17:15,17:31","dtypep":"(YD)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_other_state","addr":"(FE)","loc":"e,17:15,17:31","dtypep":"(YD)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(GE)","loc":"e,18:24,18:26","dtypep":"(YD)", + "rhsp": [ + {"type":"CONST","name":"2'h0","addr":"(HE)","loc":"e,18:27,18:32","dtypep":"(YD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_state","addr":"(IE)","loc":"e,18:13,18:23","dtypep":"(YD)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ], + "elsesp": [ + {"type":"DISPLAY","name":"","addr":"(JE)","loc":"e,20:11,20:19", + "fmtp": [ + {"type":"SFORMATF","name":"This is a display statement","addr":"(KE)","loc":"e,20:11,20:19","dtypep":"(LB)","exprsp": [],"scopeNamep": []} + ],"filep": []} + ]}, + {"type":"IF","name":"","addr":"(LE)","loc":"e,23:7,23:9", + "condp": [ + {"type":"VARREF","name":"clk1","addr":"(ME)","loc":"e,23:11,23:16","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(NE)","loc":"e,24:26,24:28","dtypep":"(YD)", + "rhsp": [ + {"type":"CONST","name":"2'h0","addr":"(OE)","loc":"e,24:29,24:30","dtypep":"(YD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_other_state","addr":"(PE)","loc":"e,24:9,24:25","dtypep":"(YD)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QE)","loc":"e,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(RE)","loc":"e,72:8,72:9","dtypep":"(WC)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(SE)","loc":"e,72:8,72:9","dtypep":"(WC)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(TE)","loc":"e,72:8,72:9","dtypep":"(ZC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(UE)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(VE)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(WE)","loc":"e,18:13,18:23", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XE)","loc":"e,18:13,18:23","dtypep":"(DB)","funcName":"_nba_sequent__TOP__0","funcp":"(UD)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(YE)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(ZE)","loc":"e,72:8,72:9","dtypep":"(W)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(AF)","loc":"e,72:8,72:9","dtypep":"(S)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(BF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CF)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_eval_triggers__act","funcp":"(PB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(DF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(EF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(GF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(AF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(HF)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(IF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(AF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(JF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(KF)","loc":"a,0:0,0:0","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(LF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(ZE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(MF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(NF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(OF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(PF)","loc":"a,0:0,0:0","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(RF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(SF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TF)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_eval_act","funcp":"(TD)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(UF)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(VF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(AF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(WF)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(XF)","loc":"e,72:8,72:9","dtypep":"(S)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(YF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(ZF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(AG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(BG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(XF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(CG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(DG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(XF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(EG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FG)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(GG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(HG)","loc":"a,0:0,0:0","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(IG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(JG)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(KG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(XF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(LG)","loc":"e,72:8,72:9","dtypep":"(U)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(MG)","loc":"e,72:8,72:9","dtypep":"(S)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(NG)","loc":"e,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(OG)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(PG)","loc":"e,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(QG)","loc":"e,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(RG)","loc":"e,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(SG)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(TG)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(UG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(WG)","loc":"a,0:0,0:0","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(XG)","loc":"a,0:0,0:0","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(YG)","loc":"a,0:0,0:0","dtypep":"(U)","access":"RD","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(ZG)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(AH)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(BH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CH)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_dump_triggers__nba","funcp":"(DD)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(DH)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(EH)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_clk_concat.v\", 72, \"\", "}, + {"type":"TEXT","name":"","addr":"(FH)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(GH)","loc":"e,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(HH)","loc":"e,72:8,72:9","dtypep":"(U)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IH)","loc":"e,72:8,72:9","dtypep":"(UB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JH)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(KH)","loc":"e,72:8,72:9","dtypep":"(U)","access":"RD","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(LH)","loc":"e,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(MH)","loc":"e,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(NH)","loc":"e,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(OH)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PH)","loc":"e,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(QH)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(RH)","loc":"e,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SH)","loc":"e,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(TH)","loc":"e,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(UH)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(VH)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(WH)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(YH)","loc":"a,0:0,0:0","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ZH)","loc":"a,0:0,0:0","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(AI)","loc":"a,0:0,0:0","dtypep":"(U)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(BI)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(CI)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(DI)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EI)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_dump_triggers__act","funcp":"(JC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(FI)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(GI)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_clk_concat.v\", 72, \"\", "}, + {"type":"TEXT","name":"","addr":"(HI)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(II)","loc":"e,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(JI)","loc":"e,72:8,72:9","dtypep":"(U)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KI)","loc":"e,72:8,72:9","dtypep":"(UB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(LI)","loc":"e,72:8,72:9","dtypep":"(UB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(MI)","loc":"e,72:8,72:9","dtypep":"(U)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(NI)","loc":"e,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(OI)","loc":"e,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(PI)","loc":"e,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(QI)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(RI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(SI)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_phase__act","funcp":"(YE)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(TI)","loc":"e,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(UI)","loc":"e,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(VI)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(WI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(XI)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_phase__nba","funcp":"(WF)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(YI)","loc":"e,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(ZI)","loc":"e,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(AJ)","loc":"e,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(BJ)","loc":"e,72:8,72:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(CJ)","loc":"e,74:16,74:20", + "condp": [ + {"type":"AND","name":"","addr":"(DJ)","loc":"e,74:16,74:20","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk0","addr":"(EJ)","loc":"e,74:16,74:20","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(FJ)","loc":"e,74:16,74:20","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(HJ)","loc":"e,74:16,74:20", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(IJ)","loc":"e,74:16,74:20","shortText":"Verilated::overWidthError(\"clk0\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JJ)","loc":"e,75:16,75:20", + "condp": [ + {"type":"AND","name":"","addr":"(KJ)","loc":"e,75:16,75:20","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk1","addr":"(LJ)","loc":"e,75:16,75:20","dtypep":"(J)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(MJ)","loc":"e,75:16,75:20","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(NJ)","loc":"e,75:16,75:20", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OJ)","loc":"e,75:16,75:20","shortText":"Verilated::overWidthError(\"clk1\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(PJ)","loc":"e,76:16,76:20", + "condp": [ + {"type":"AND","name":"","addr":"(QJ)","loc":"e,76:16,76:20","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk2","addr":"(RJ)","loc":"e,76:16,76:20","dtypep":"(J)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(SJ)","loc":"e,76:16,76:20","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(TJ)","loc":"e,76:16,76:20", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(UJ)","loc":"e,76:16,76:20","shortText":"Verilated::overWidthError(\"clk2\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(VJ)","loc":"e,82:16,82:23", + "condp": [ + {"type":"AND","name":"","addr":"(WJ)","loc":"e,82:16,82:23","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"data_in","addr":"(XJ)","loc":"e,82:16,82:23","dtypep":"(J)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(YJ)","loc":"e,82:16,82:23","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(ZJ)","loc":"e,82:16,82:23", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(AK)","loc":"e,82:16,82:23","shortText":"Verilated::overWidthError(\"data_in\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(BK)","loc":"e,72:8,72:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(CK)","loc":"e,74:16,74:20", + "varrefp": [ + {"type":"VARREF","name":"clk0","addr":"(DK)","loc":"e,74:16,74:20","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(EK)","loc":"e,75:16,75:20", + "varrefp": [ + {"type":"VARREF","name":"clk1","addr":"(FK)","loc":"e,75:16,75:20","dtypep":"(J)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(GK)","loc":"e,76:16,76:20", + "varrefp": [ + {"type":"VARREF","name":"clk2","addr":"(HK)","loc":"e,76:16,76:20","dtypep":"(J)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(IK)","loc":"e,82:16,82:23", + "varrefp": [ + {"type":"VARREF","name":"data_in","addr":"(JK)","loc":"e,82:16,82:23","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(KK)","loc":"e,11:27,11:37", + "varrefp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_state","addr":"(LK)","loc":"e,11:27,11:37","dtypep":"(O)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(MK)","loc":"e,12:27,12:43", + "varrefp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_other_state","addr":"(NK)","loc":"e,12:27,12:43","dtypep":"(O)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(OK)","loc":"e,72:8,72:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(PK)","loc":"e,72:8,72:9","dtypep":"(J)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat__Syms.cpp","addr":"(QK)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat__Syms.h","addr":"(RK)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat.h","addr":"(SK)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat.cpp","addr":"(TK)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat_$root.h","addr":"(UK)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat_$root__Slow.cpp","addr":"(VK)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat_$root__DepSet_hb3f528eb__0__Slow.cpp","addr":"(WK)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat_$root__DepSet_h381ee88c__0.cpp","addr":"(XK)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat/Vt_clk_concat_$root__DepSet_hb3f528eb__0.cpp","addr":"(YK)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(DB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(DB)","loc":"d,51:21,51:30","dtypep":"(DB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(LB)","loc":"d,156:10,156:16","dtypep":"(LB)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(O)","loc":"e,16:9,16:14","dtypep":"(O)","keyword":"logic","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(W)","loc":"e,72:8,72:9","dtypep":"(W)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(UB)","loc":"e,72:8,72:9","dtypep":"(UB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(ZC)","loc":"e,72:8,72:9","dtypep":"(ZC)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WC)","loc":"e,72:8,72:9","dtypep":"(WC)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(S)","loc":"e,72:8,72:9","dtypep":"(S)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(U)","loc":"e,72:8,72:9","dtypep":"(U)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GB)","loc":"e,14:21,14:26","dtypep":"(GB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(YD)","loc":"e,16:9,16:14","dtypep":"(YD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GJ)","loc":"e,74:16,74:20","dtypep":"(GJ)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(ZK)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(AL)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(ZK)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_clk_concat.pl b/test_regress/t/t_clk_concat.pl index 8c428d0ed..9547871f6 100755 --- a/test_regress/t/t_clk_concat.pl +++ b/test_regress/t/t_clk_concat.pl @@ -10,16 +10,16 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - verilator_flags2 => ["+define+ATTRIBUTES"], + verilator_flags2 => ["+define+ATTRIBUTES --no-json-edit-nums"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"clk0",.*"loc":"e,74:[^"]*",.*"origName":"clk0",.*"direction":"INPUT",.*"isSigPublic":true,.*"attrClocker":"clker",.*"varType":"PORT",.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"clk1",.*"loc":"e,75:[^"]*",.*"origName":"clk1",.*"direction":"INPUT",.*"isSigPublic":true,.*"attrClocker":"clker",.*"varType":"PORT",.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"clk2",.*"loc":"e,76:[^"]*",.*"origName":"clk2",.*"direction":"INPUT",.*"isSigPublic":true,.*"attrClocker":"clker",.*"varType":"PORT",.*"dtypeName":"logic"/); } execute( diff --git a/test_regress/t/t_clk_concat_vlt.out b/test_regress/t/t_clk_concat_vlt.out new file mode 100644 index 000000000..b4e8cb78b --- /dev/null +++ b/test_regress/t/t_clk_concat_vlt.out @@ -0,0 +1,658 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"f,72:8,72:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk0","addr":"(I)","loc":"f,78:16,78:20","dtypep":"(J)","origName":"clk0","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"clk1","addr":"(K)","loc":"f,79:16,79:20","dtypep":"(J)","origName":"clk1","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"clk2","addr":"(L)","loc":"f,80:16,80:20","dtypep":"(J)","origName":"clk2","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"data_in","addr":"(M)","loc":"f,82:16,82:23","dtypep":"(J)","origName":"data_in","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"non_clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.t2.t1.some_module.some_state","addr":"(N)","loc":"f,11:27,11:37","dtypep":"(O)","origName":"some_state","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.t2.t1.some_module.some_other_state","addr":"(P)","loc":"f,12:27,12:43","dtypep":"(O)","origName":"some_other_state","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(Q)","loc":"f,72:8,72:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk1__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(R)","loc":"f,72:8,72:9","dtypep":"(S)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(T)","loc":"f,72:8,72:9","dtypep":"(U)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(V)","loc":"f,72:8,72:9","dtypep":"(W)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(X)","loc":"f,72:8,72:9","dtypep":"(W)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"f,72:8,72:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(Y)","loc":"f,72:8,72:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(Z)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(AB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(BB)","loc":"f,72:8,72:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CB)","loc":"f,72:8,72:9","dtypep":"(DB)","funcName":"_eval_initial__TOP","funcp":"(EB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(FB)","loc":"f,14:21,14:26","dtypep":"(GB)", + "rhsp": [ + {"type":"VARREF","name":"clk1","addr":"(HB)","loc":"f,14:21,14:26","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(IB)","loc":"f,14:21,14:26","dtypep":"(GB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(EB)","loc":"f,72:8,72:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"DISPLAY","name":"","addr":"(JB)","loc":"f,100:7,100:13", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(KB)","loc":"f,100:7,100:13","dtypep":"(LB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(MB)","loc":"f,101:7,101:14"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(NB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(OB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(PB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(QB)","loc":"f,72:8,72:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(RB)","loc":"f,72:8,72:9","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SB)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TB)","loc":"f,72:8,72:9","dtypep":"(UB)"}, + {"type":"AND","name":"","addr":"(VB)","loc":"f,14:13,14:20","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(WB)","loc":"f,14:21,14:26","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk1","addr":"(XB)","loc":"f,14:21,14:26","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(YB)","loc":"f,14:13,14:20","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZB)","loc":"f,14:13,14:20","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(AC)","loc":"f,14:13,14:20","dtypep":"(GB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(BC)","loc":"f,14:21,14:26","dtypep":"(GB)", + "rhsp": [ + {"type":"VARREF","name":"clk1","addr":"(CC)","loc":"f,14:21,14:26","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(DC)","loc":"f,14:21,14:26","dtypep":"(GB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(EC)","loc":"f,72:8,72:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(FC)","loc":"f,72:8,72:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(GC)","loc":"f,72:8,72:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(HC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IC)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_dump_triggers__act","funcp":"(JC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(KC)","loc":"f,72:8,72:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(LC)","loc":"f,72:8,72:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(JC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MC)","loc":"f,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(NC)","loc":"f,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(OC)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(PC)","loc":"f,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QC)","loc":"f,72:8,72:9","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(RC)","loc":"f,72:8,72:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SC)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(TC)","loc":"f,72:8,72:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(UC)","loc":"f,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(VC)","loc":"f,72:8,72:9","dtypep":"(WC)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(XC)","loc":"f,72:8,72:9","dtypep":"(WC)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(YC)","loc":"f,72:8,72:9","dtypep":"(ZC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(AD)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(BD)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(CD)","loc":"f,72:8,72:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk1)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(DD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ED)","loc":"f,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(FD)","loc":"f,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(GD)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HD)","loc":"f,72:8,72:9","dtypep":"(GB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ID)","loc":"f,72:8,72:9","dtypep":"(GB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JD)","loc":"f,72:8,72:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KD)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LD)","loc":"f,72:8,72:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(MD)","loc":"f,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(ND)","loc":"f,72:8,72:9","dtypep":"(WC)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(OD)","loc":"f,72:8,72:9","dtypep":"(WC)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(PD)","loc":"f,72:8,72:9","dtypep":"(ZC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QD)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(RD)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(SD)","loc":"f,72:8,72:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk1)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(TD)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(UD)","loc":"f,18:13,18:23","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VD)","loc":"f,16:14,16:15", + "condp": [ + {"type":"EQ","name":"","addr":"(WD)","loc":"f,16:14,16:15","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"2'h3","addr":"(XD)","loc":"f,16:9,16:14","dtypep":"(YD)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZD)","loc":"f,15:13,15:23","dtypep":"(YD)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_state","addr":"(AE)","loc":"f,15:13,15:23","dtypep":"(YD)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(BE)","loc":"f,17:11,17:13", + "condp": [ + {"type":"EQ","name":"","addr":"(CE)","loc":"f,17:32,17:34","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"2'h0","addr":"(DE)","loc":"f,17:35,17:36","dtypep":"(YD)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EE)","loc":"f,17:15,17:31","dtypep":"(YD)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_other_state","addr":"(FE)","loc":"f,17:15,17:31","dtypep":"(YD)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(GE)","loc":"f,18:24,18:26","dtypep":"(YD)", + "rhsp": [ + {"type":"CONST","name":"2'h0","addr":"(HE)","loc":"f,18:27,18:32","dtypep":"(YD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_state","addr":"(IE)","loc":"f,18:13,18:23","dtypep":"(YD)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ], + "elsesp": [ + {"type":"DISPLAY","name":"","addr":"(JE)","loc":"f,20:11,20:19", + "fmtp": [ + {"type":"SFORMATF","name":"This is a display statement","addr":"(KE)","loc":"f,20:11,20:19","dtypep":"(LB)","exprsp": [],"scopeNamep": []} + ],"filep": []} + ]}, + {"type":"IF","name":"","addr":"(LE)","loc":"f,23:7,23:9", + "condp": [ + {"type":"VARREF","name":"clk1","addr":"(ME)","loc":"f,23:11,23:16","dtypep":"(GB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(NE)","loc":"f,24:26,24:28","dtypep":"(YD)", + "rhsp": [ + {"type":"CONST","name":"2'h0","addr":"(OE)","loc":"f,24:29,24:30","dtypep":"(YD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_other_state","addr":"(PE)","loc":"f,24:9,24:25","dtypep":"(YD)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QE)","loc":"f,72:8,72:9", + "condp": [ + {"type":"AND","name":"","addr":"(RE)","loc":"f,72:8,72:9","dtypep":"(WC)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(SE)","loc":"f,72:8,72:9","dtypep":"(WC)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(TE)","loc":"f,72:8,72:9","dtypep":"(ZC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(UE)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(VE)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(WE)","loc":"f,18:13,18:23", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XE)","loc":"f,18:13,18:23","dtypep":"(DB)","funcName":"_nba_sequent__TOP__0","funcp":"(UD)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(YE)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(ZE)","loc":"f,72:8,72:9","dtypep":"(W)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(AF)","loc":"f,72:8,72:9","dtypep":"(S)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(BF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CF)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_eval_triggers__act","funcp":"(PB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(DF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(EF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(GF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(AF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(HF)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(IF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(AF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(JF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(KF)","loc":"a,0:0,0:0","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(LF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(ZE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(MF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(NF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(OF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(PF)","loc":"a,0:0,0:0","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(RF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(SF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TF)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_eval_act","funcp":"(TD)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(UF)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(VF)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(AF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(WF)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(XF)","loc":"f,72:8,72:9","dtypep":"(S)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(YF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(ZF)","loc":"a,0:0,0:0","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(AG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(BG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(XF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(CG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(DG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(XF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(EG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FG)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(GG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(HG)","loc":"a,0:0,0:0","dtypep":"(DB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(IG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(JG)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(KG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(XF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(LG)","loc":"f,72:8,72:9","dtypep":"(U)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(MG)","loc":"f,72:8,72:9","dtypep":"(S)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(NG)","loc":"f,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(OG)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(PG)","loc":"f,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(QG)","loc":"f,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(RG)","loc":"f,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(SG)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(TG)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(UG)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(WG)","loc":"a,0:0,0:0","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(XG)","loc":"a,0:0,0:0","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(YG)","loc":"a,0:0,0:0","dtypep":"(U)","access":"RD","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(ZG)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(AH)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(BH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CH)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_dump_triggers__nba","funcp":"(DD)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(DH)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(EH)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_clk_concat.v\", 72, \"\", "}, + {"type":"TEXT","name":"","addr":"(FH)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(GH)","loc":"f,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(HH)","loc":"f,72:8,72:9","dtypep":"(U)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IH)","loc":"f,72:8,72:9","dtypep":"(UB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JH)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(KH)","loc":"f,72:8,72:9","dtypep":"(U)","access":"RD","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(LH)","loc":"f,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(LG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(MH)","loc":"f,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(NH)","loc":"f,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(OH)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PH)","loc":"f,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(QH)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(RH)","loc":"f,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SH)","loc":"f,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(TH)","loc":"f,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(UH)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(VH)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(WH)","loc":"a,0:0,0:0","dtypep":"(GB)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(YH)","loc":"a,0:0,0:0","dtypep":"(GB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ZH)","loc":"a,0:0,0:0","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(AI)","loc":"a,0:0,0:0","dtypep":"(U)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(BI)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(CI)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(DI)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EI)","loc":"a,0:0,0:0","dtypep":"(DB)","funcName":"_dump_triggers__act","funcp":"(JC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(FI)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(GI)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_clk_concat.v\", 72, \"\", "}, + {"type":"TEXT","name":"","addr":"(HI)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(II)","loc":"f,72:8,72:9","dtypep":"(U)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(JI)","loc":"f,72:8,72:9","dtypep":"(U)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KI)","loc":"f,72:8,72:9","dtypep":"(UB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(LI)","loc":"f,72:8,72:9","dtypep":"(UB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(MI)","loc":"f,72:8,72:9","dtypep":"(U)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(NI)","loc":"f,72:8,72:9","dtypep":"(U)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(OI)","loc":"f,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(PI)","loc":"f,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(QI)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(RI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(SI)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_phase__act","funcp":"(YE)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(TI)","loc":"f,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(UI)","loc":"f,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(VI)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(WI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(XI)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_phase__nba","funcp":"(WF)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(YI)","loc":"f,72:8,72:9","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(ZI)","loc":"f,72:8,72:9","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(AJ)","loc":"f,72:8,72:9","dtypep":"(GB)","access":"WR","varp":"(MG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(BJ)","loc":"f,72:8,72:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(CJ)","loc":"f,78:16,78:20", + "condp": [ + {"type":"AND","name":"","addr":"(DJ)","loc":"f,78:16,78:20","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk0","addr":"(EJ)","loc":"f,78:16,78:20","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(FJ)","loc":"f,78:16,78:20","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(HJ)","loc":"f,78:16,78:20", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(IJ)","loc":"f,78:16,78:20","shortText":"Verilated::overWidthError(\"clk0\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JJ)","loc":"f,79:16,79:20", + "condp": [ + {"type":"AND","name":"","addr":"(KJ)","loc":"f,79:16,79:20","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk1","addr":"(LJ)","loc":"f,79:16,79:20","dtypep":"(J)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(MJ)","loc":"f,79:16,79:20","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(NJ)","loc":"f,79:16,79:20", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OJ)","loc":"f,79:16,79:20","shortText":"Verilated::overWidthError(\"clk1\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(PJ)","loc":"f,80:16,80:20", + "condp": [ + {"type":"AND","name":"","addr":"(QJ)","loc":"f,80:16,80:20","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk2","addr":"(RJ)","loc":"f,80:16,80:20","dtypep":"(J)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(SJ)","loc":"f,80:16,80:20","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(TJ)","loc":"f,80:16,80:20", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(UJ)","loc":"f,80:16,80:20","shortText":"Verilated::overWidthError(\"clk2\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(VJ)","loc":"f,82:16,82:23", + "condp": [ + {"type":"AND","name":"","addr":"(WJ)","loc":"f,82:16,82:23","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"data_in","addr":"(XJ)","loc":"f,82:16,82:23","dtypep":"(J)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(YJ)","loc":"f,82:16,82:23","dtypep":"(GJ)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(ZJ)","loc":"f,82:16,82:23", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(AK)","loc":"f,82:16,82:23","shortText":"Verilated::overWidthError(\"data_in\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(BK)","loc":"f,72:8,72:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(CK)","loc":"f,78:16,78:20", + "varrefp": [ + {"type":"VARREF","name":"clk0","addr":"(DK)","loc":"f,78:16,78:20","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(EK)","loc":"f,79:16,79:20", + "varrefp": [ + {"type":"VARREF","name":"clk1","addr":"(FK)","loc":"f,79:16,79:20","dtypep":"(J)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(GK)","loc":"f,80:16,80:20", + "varrefp": [ + {"type":"VARREF","name":"clk2","addr":"(HK)","loc":"f,80:16,80:20","dtypep":"(J)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(IK)","loc":"f,82:16,82:23", + "varrefp": [ + {"type":"VARREF","name":"data_in","addr":"(JK)","loc":"f,82:16,82:23","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(KK)","loc":"f,11:27,11:37", + "varrefp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_state","addr":"(LK)","loc":"f,11:27,11:37","dtypep":"(O)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(MK)","loc":"f,12:27,12:43", + "varrefp": [ + {"type":"VARREF","name":"t.t2.t1.some_module.some_other_state","addr":"(NK)","loc":"f,12:27,12:43","dtypep":"(O)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(OK)","loc":"f,72:8,72:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk1__0","addr":"(PK)","loc":"f,72:8,72:9","dtypep":"(J)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt__Syms.cpp","addr":"(QK)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt__Syms.h","addr":"(RK)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt.h","addr":"(SK)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt.cpp","addr":"(TK)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt_$root.h","addr":"(UK)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt_$root__Slow.cpp","addr":"(VK)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt_$root__DepSet_h551956a7__0__Slow.cpp","addr":"(WK)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt_$root__DepSet_he4b7e437__0.cpp","addr":"(XK)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_clk_concat_vlt/Vt_clk_concat_vlt_$root__DepSet_h551956a7__0.cpp","addr":"(YK)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(DB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(DB)","loc":"d,51:21,51:30","dtypep":"(DB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(LB)","loc":"d,156:10,156:16","dtypep":"(LB)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(O)","loc":"f,16:9,16:14","dtypep":"(O)","keyword":"logic","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(W)","loc":"f,72:8,72:9","dtypep":"(W)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(UB)","loc":"f,72:8,72:9","dtypep":"(UB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(ZC)","loc":"f,72:8,72:9","dtypep":"(ZC)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WC)","loc":"f,72:8,72:9","dtypep":"(WC)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(S)","loc":"f,72:8,72:9","dtypep":"(S)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(U)","loc":"f,72:8,72:9","dtypep":"(U)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GB)","loc":"f,14:21,14:26","dtypep":"(GB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(YD)","loc":"f,16:9,16:14","dtypep":"(YD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GJ)","loc":"f,78:16,78:20","dtypep":"(GJ)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(ZK)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(AL)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(ZK)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_clk_concat_vlt.pl b/test_regress/t/t_clk_concat_vlt.pl index 09411f6ca..15b22b63c 100755 --- a/test_regress/t/t_clk_concat_vlt.pl +++ b/test_regress/t/t_clk_concat_vlt.pl @@ -11,17 +11,17 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_clk_concat.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - verilator_flags2 => ["t/t_clk_concat.vlt"], + verilator_flags2 => ["--no-json-edit-nums", "t/t_clk_concat.vlt"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"clk0",.*"loc":"f,78:[^"]*",.*"origName":"clk0",.*"direction":"INPUT",.*"isSigPublic":true,.*"attrClocker":"clker",.*"varType":"PORT",.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"clk1",.*"loc":"f,79:[^"]*",.*"origName":"clk1",.*"direction":"INPUT",.*"isSigPublic":true,.*"attrClocker":"clker",.*"varType":"PORT",.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"clk2",.*"loc":"f,80:[^"]*",.*"origName":"clk2",.*"direction":"INPUT",.*"isSigPublic":true,.*"attrClocker":"clker",.*"varType":"PORT",.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"data_in",.*"loc":"f,82:[^"]*",.*"origName":"data_in",.*"direction":"INPUT",.*"isSigPublic":true,.*"attrClocker":"non_clker",.*"varType":"PORT",.*"dtypeName":"logic"/); } execute( diff --git a/test_regress/t/t_clk_first.pl b/test_regress/t/t_clk_first.pl index 48b4e6fd3..3a3c0f2d0 100755 --- a/test_regress/t/t_clk_first.pl +++ b/test_regress/t/t_clk_first.pl @@ -10,7 +10,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( verilator_flags2 => ["+define+ATTRIBUTES=1"], diff --git a/test_regress/t/t_constraint_json_only.out b/test_regress/t/t_constraint_json_only.out new file mode 100644 index 000000000..8cdc8f8e8 --- /dev/null +++ b/test_regress/t/t_constraint_json_only.out @@ -0,0 +1,59 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"(E)","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"UNLINKED", + "modulesp": [ + {"type":"MODULE","name":"t","addr":"(F)","loc":"d,53:8,53:9","origName":"t","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"p","addr":"(G)","loc":"d,55:11,55:12","dtypep":"(H)","origName":"p","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","dtypeName":"Packet","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"INITIAL","name":"","addr":"(I)","loc":"d,57:4,57:11","isSuspendable":false,"needProcess":false, + "stmtsp": [ + {"type":"BEGIN","name":"","addr":"(J)","loc":"d,57:12,57:17","generate":false,"genfor":false,"implied":false,"needProcess":false,"unnamed":true,"genforp": [], + "stmtsp": [ + {"type":"DISPLAY","name":"","addr":"(K)","loc":"d,59:7,59:13", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(L)","loc":"d,59:7,59:13","dtypep":"(M)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(N)","loc":"d,60:7,60:14"} + ]} + ]} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CLASS","name":"Packet","addr":"(O)","loc":"d,7:1,7:6","isExtended":false,"isInterfaceClass":false,"isVirtual":false,"origName":"Packet","level":4,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","classOrPackagep":"UNLINKED","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"header","addr":"(P)","loc":"d,8:13,8:19","dtypep":"(Q)","origName":"header","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"MEMBER","dtypeName":"int","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"length","addr":"(R)","loc":"d,9:13,9:19","dtypep":"(Q)","origName":"length","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"MEMBER","dtypeName":"int","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"sublength","addr":"(S)","loc":"d,10:13,10:22","dtypep":"(Q)","origName":"sublength","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"MEMBER","dtypeName":"int","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"if_4","addr":"(T)","loc":"d,11:13,11:17","dtypep":"(U)","origName":"if_4","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"MEMBER","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"iff_5_6","addr":"(V)","loc":"d,12:13,12:20","dtypep":"(U)","origName":"iff_5_6","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"MEMBER","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"array","addr":"(W)","loc":"d,14:13,14:18","dtypep":"(X)","origName":"array","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"MEMBER","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"FUNC","name":"new","addr":"(Y)","loc":"d,7:1,7:6","dtypep":"(Z)","method":true,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"new","fvarp": [],"classOrPackagep": [],"stmtsp": [],"scopeNamep": []} + ],"activesp": [],"extendsp": []} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(Z)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(AB)","loc":"d,22:21,22:22","dtypep":"(AB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"string","addr":"(M)","loc":"d,59:7,59:13","dtypep":"(M)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"int","addr":"(Q)","loc":"d,8:9,8:12","dtypep":"(Q)","keyword":"int","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(U)","loc":"d,11:9,11:12","dtypep":"(U)","keyword":"bit","generic":true,"rangep": []}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(X)","loc":"d,14:18,14:19","dtypep":"(X)","isCompound":false,"declRange":"[0:1]","generic":false,"refDTypep":"(Q)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(BB)","loc":"d,14:18,14:19","ascending":true, + "leftp": [ + {"type":"CONST","name":"32'h0","addr":"(CB)","loc":"d,14:19,14:20","dtypep":"(AB)"} + ], + "rightp": [ + {"type":"CONST","name":"32'h1","addr":"(DB)","loc":"d,14:19,14:20","dtypep":"(AB)"} + ]} + ]}, + {"type":"VOIDDTYPE","name":"","addr":"(Z)","loc":"d,7:1,7:6","dtypep":"(Z)","generic":false}, + {"type":"CLASSREFDTYPE","name":"Packet","addr":"(H)","loc":"d,55:4,55:10","dtypep":"(H)","generic":false,"classp":"(O)","classOrPackagep":"(O)","paramsp": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(EB)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(FB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(EB)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_constraint_json_only.pl b/test_regress/t/t_constraint_json_only.pl new file mode 100755 index 000000000..89cef23e6 --- /dev/null +++ b/test_regress/t/t_constraint_json_only.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2019 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums', '-Wno-CONSTRAINTIGN'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical($out_filename, $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_constraint_json_only.v b/test_regress/t/t_constraint_json_only.v new file mode 100644 index 000000000..6386361d2 --- /dev/null +++ b/test_regress/t/t_constraint_json_only.v @@ -0,0 +1,62 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2020 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +class Packet; + rand int header; // 0..7 + rand int length; // 0..15 + rand int sublength; // 0..15 + rand bit if_4; + rand bit iff_5_6; + + rand int array[2]; // 2,4,6 + + constraint empty {} + + constraint size { + header > 0 && header <= 7; + length <= 15; + length >= header; + length dist { [0:1], [2:5] :/ 2, 6 := 6, 7 := 10, 1}; + } + + constraint ifs { + if (header > 4) { + if_4 == '1; + } + if (header == 5 || header == 6) { + iff_5_6 == '1; + } else { + iff_5_6 == '0; + } + } + + constraint arr_uniq { + foreach (array[i]) { + array[i] inside {2, 4, 6}; + } + unique { array[0], array[1] } + } + + constraint order { solve length before header; } + + constraint dis { + soft sublength; + disable soft sublength; + sublength <= length; + } + +endclass + +module t (/*AUTOARG*/); + + Packet p; + + initial begin + // Not testing use of constraints + $write("*-* All Finished *-*\n"); + $finish; + end +endmodule diff --git a/test_regress/t/t_dedupe_clk_gate.out b/test_regress/t/t_dedupe_clk_gate.out new file mode 100644 index 000000000..a273d07a5 --- /dev/null +++ b/test_regress/t/t_dedupe_clk_gate.out @@ -0,0 +1,1602 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"e,9:8,9:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(I)","loc":"e,11:14,11:17","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"en","addr":"(K)","loc":"e,11:11,11:13","dtypep":"(J)","origName":"en","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.f0.gated_clock","addr":"(L)","loc":"e,24:8,24:19","dtypep":"(J)","origName":"gated_clock","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.f2.gated_clock","addr":"(M)","loc":"e,34:8,34:19","dtypep":"(J)","origName":"gated_clock","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"res","addr":"(N)","loc":"e,10:10,10:13","dtypep":"(J)","origName":"res","isSc":false,"isPrimaryIO":true,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"d","addr":"(O)","loc":"e,11:9,11:10","dtypep":"(J)","origName":"d","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.q0","addr":"(P)","loc":"e,12:8,12:10","dtypep":"(J)","origName":"q0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.q1","addr":"(Q)","loc":"e,12:11,12:13","dtypep":"(J)","origName":"q1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.q2","addr":"(R)","loc":"e,12:14,12:16","dtypep":"(J)","origName":"q2","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.q3","addr":"(S)","loc":"e,12:17,12:19","dtypep":"(J)","origName":"q3","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.f0.clock_gate.clken_latched","addr":"(T)","loc":"e,44:7,44:20","dtypep":"(J)","origName":"clken_latched","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":true,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.f2.clock_gate.clken_r","addr":"(U)","loc":"e,56:7,56:14","dtypep":"(J)","origName":"clken_r","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(V)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VicoFirstIteration","addr":"(X)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VicoFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__t.f0.gated_clock__0","addr":"(Y)","loc":"e,9:8,9:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__t__DOT__f0__DOT__gated_clock__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__t.f2.gated_clock__0","addr":"(Z)","loc":"e,9:8,9:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__t__DOT__f2__DOT__gated_clock__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(AB)","loc":"e,9:8,9:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(BB)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(CB)","loc":"e,9:8,9:9","dtypep":"(DB)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(EB)","loc":"e,9:8,9:9","dtypep":"(FB)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VicoTriggered","addr":"(GB)","loc":"e,9:8,9:9","dtypep":"(HB)","origName":"__VicoTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(IB)","loc":"e,9:8,9:9","dtypep":"(JB)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(KB)","loc":"e,9:8,9:9","dtypep":"(JB)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"e,9:8,9:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(LB)","loc":"e,9:8,9:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(MB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(NB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(OB)","loc":"e,26:20,26:31","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"t.f0.gated_clock","addr":"(QB)","loc":"e,26:20,26:31","dtypep":"(PB)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f0.gated_clock__0","addr":"(RB)","loc":"e,26:20,26:31","dtypep":"(PB)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SB)","loc":"e,36:20,36:31","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"t.f2.gated_clock","addr":"(TB)","loc":"e,36:20,36:31","dtypep":"(PB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f2.gated_clock__0","addr":"(UB)","loc":"e,36:20,36:31","dtypep":"(PB)","access":"WR","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VB)","loc":"e,59:20,59:23","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(WB)","loc":"e,59:20,59:23","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(XB)","loc":"e,59:20,59:23","dtypep":"(PB)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(YB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(ZB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(AC)","loc":"e,9:8,9:9","dtypep":"(DB)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(BC)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(CC)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(DC)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(FC)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(GC)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(HC)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(IC)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(JC)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(KC)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(LC)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(BC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(MC)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(BC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(OC)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(PC)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(QC)","loc":"a,0:0,0:0","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(RC)","loc":"a,0:0,0:0","dtypep":"(DB)","access":"RD","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(SC)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(TC)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(UC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VC)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_dump_triggers__stl","funcp":"(XC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(YC)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(ZC)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dedupe_clk_gate.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(AD)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(BD)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(CD)","loc":"e,9:8,9:9","dtypep":"(DB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DD)","loc":"e,9:8,9:9","dtypep":"(EC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ED)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(FD)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"RD","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(GD)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HD)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(ID)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(JD)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(BC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(KD)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(LD)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__stl","funcp":"(MD)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(ND)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(OD)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(PD)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(BC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(QD)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(RD)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(SD)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(TD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(UD)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(VD)","loc":"e,9:8,9:9","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(WD)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(EB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(XD)","loc":"e,9:8,9:9","dtypep":"(EC)"}, + {"type":"CCAST","name":"","addr":"(YD)","loc":"e,9:8,9:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(ZD)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(AE)","loc":"e,9:8,9:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(BE)","loc":"e,9:8,9:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(CE)","loc":"e,9:8,9:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(DE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EE)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_dump_triggers__stl","funcp":"(XC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(FE)","loc":"e,9:8,9:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(GE)","loc":"e,9:8,9:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(XC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(HE)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(IE)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JE)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(KE)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LE)","loc":"e,9:8,9:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(ME)","loc":"e,9:8,9:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(NE)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(EB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(OE)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(PE)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(QE)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(SE)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(TE)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(VE)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(EB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(WE)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(XE)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_stl_sequent__TOP__0","addr":"(YE)","loc":"e,57:20,57:21","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(ZE)","loc":"e,57:20,57:21","dtypep":"(PB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(AF)","loc":"e,57:26,57:27","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BF)","loc":"e,11:14,11:17","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(CF)","loc":"e,11:14,11:17","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DF)","loc":"e,56:7,56:14","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.f2.clock_gate.clken_r","addr":"(EF)","loc":"e,56:7,56:14","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f2.gated_clock","addr":"(FF)","loc":"e,34:8,34:19","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(GF)","loc":"e,18:14,18:15","dtypep":"(PB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(HF)","loc":"e,18:26,18:27","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(IF)","loc":"e,18:26,18:27","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"MUL","name":"","addr":"(JF)","loc":"e,18:26,18:27","dtypep":"(PB)", + "lhsp": [ + {"type":"AND","name":"","addr":"(KF)","loc":"e,18:20,18:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(LF)","loc":"e,18:20,18:21","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(MF)","loc":"e,18:20,18:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NF)","loc":"e,12:8,12:10","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q0","addr":"(OF)","loc":"e,12:8,12:10","dtypep":"(PB)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PF)","loc":"e,12:11,12:13","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q1","addr":"(QF)","loc":"e,12:11,12:13","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(RF)","loc":"e,18:32,18:33","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(SF)","loc":"e,18:32,18:33","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"SUB","name":"","addr":"(TF)","loc":"e,18:32,18:33","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UF)","loc":"e,12:14,12:16","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q2","addr":"(VF)","loc":"e,12:14,12:16","dtypep":"(PB)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WF)","loc":"e,12:17,12:19","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q3","addr":"(XF)","loc":"e,12:17,12:19","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"res","addr":"(YF)","loc":"e,10:10,10:13","dtypep":"(PB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"IF","name":"","addr":"(ZF)","loc":"e,49:5,49:7", + "condp": [ + {"type":"AND","name":"","addr":"(AG)","loc":"e,47:15,47:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BG)","loc":"e,47:15,47:16","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(CG)","loc":"e,47:15,47:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DG)","loc":"e,11:14,11:17","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(EG)","loc":"e,11:14,11:17","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(FG)","loc":"e,49:28,49:29","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"en","addr":"(GG)","loc":"e,49:30,49:35","dtypep":"(PB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f0.clock_gate.clken_latched","addr":"(HG)","loc":"e,49:14,49:27","dtypep":"(PB)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGNW","name":"","addr":"(IG)","loc":"e,45:20,45:21","dtypep":"(PB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(JG)","loc":"e,45:26,45:27","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KG)","loc":"e,11:14,11:17","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(LG)","loc":"e,11:14,11:17","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(MG)","loc":"e,44:7,44:20","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.f0.clock_gate.clken_latched","addr":"(NG)","loc":"e,44:7,44:20","dtypep":"(PB)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f0.gated_clock","addr":"(OG)","loc":"e,24:8,24:19","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(PG)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QG)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(RG)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(SG)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(TG)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(UG)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(EB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(VG)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(WG)","loc":"e,57:20,57:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XG)","loc":"e,57:20,57:21","dtypep":"(WC)","funcName":"_stl_sequent__TOP__0","funcp":"(YE)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(MD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(YG)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(ZG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AH)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_eval_triggers__stl","funcp":"(TD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(BH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(CH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(DH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(EB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(EH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(YG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(FH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(GH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(YG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(HH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IH)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_eval_stl","funcp":"(PG)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(JH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(KH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(YG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__ico","addr":"(LH)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(MH)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(NH)","loc":"e,9:8,9:9","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(OH)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(GB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(PH)","loc":"e,9:8,9:9","dtypep":"(EC)"}, + {"type":"CCAST","name":"","addr":"(QH)","loc":"e,9:8,9:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VicoFirstIteration","addr":"(RH)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(SH)","loc":"e,9:8,9:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(TH)","loc":"e,9:8,9:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(UH)","loc":"e,9:8,9:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(VH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(WH)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_dump_triggers__ico","funcp":"(XH)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(YH)","loc":"e,9:8,9:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(ZH)","loc":"e,9:8,9:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__ico","addr":"(XH)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(AI)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(BI)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(CI)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(DI)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EI)","loc":"e,9:8,9:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(FI)","loc":"e,9:8,9:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(GI)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(GB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(HI)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(II)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(JI)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(KI)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(LI)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(MI)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(GB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(NI)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(OI)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'ico' region trigger index 0 is active: Internal 'ico' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ico_sequent__TOP__0","addr":"(PI)","loc":"e,57:20,57:21","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(QI)","loc":"e,57:20,57:21","dtypep":"(PB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(RI)","loc":"e,57:26,57:27","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SI)","loc":"e,11:14,11:17","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(TI)","loc":"e,11:14,11:17","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(UI)","loc":"e,56:7,56:14","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.f2.clock_gate.clken_r","addr":"(VI)","loc":"e,56:7,56:14","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f2.gated_clock","addr":"(WI)","loc":"e,34:8,34:19","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"IF","name":"","addr":"(XI)","loc":"e,49:5,49:7", + "condp": [ + {"type":"AND","name":"","addr":"(YI)","loc":"e,47:15,47:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ZI)","loc":"e,47:15,47:16","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(AJ)","loc":"e,47:15,47:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BJ)","loc":"e,11:14,11:17","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(CJ)","loc":"e,11:14,11:17","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(DJ)","loc":"e,49:28,49:29","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"en","addr":"(EJ)","loc":"e,49:30,49:35","dtypep":"(PB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f0.clock_gate.clken_latched","addr":"(FJ)","loc":"e,49:14,49:27","dtypep":"(PB)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGNW","name":"","addr":"(GJ)","loc":"e,45:20,45:21","dtypep":"(PB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(HJ)","loc":"e,45:26,45:27","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IJ)","loc":"e,11:14,11:17","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(JJ)","loc":"e,11:14,11:17","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KJ)","loc":"e,44:7,44:20","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.f0.clock_gate.clken_latched","addr":"(LJ)","loc":"e,44:7,44:20","dtypep":"(PB)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f0.gated_clock","addr":"(MJ)","loc":"e,24:8,24:19","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_ico","addr":"(NJ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(OJ)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(PJ)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(QJ)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(RJ)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(SJ)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"RD","varp":"(GB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TJ)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(UJ)","loc":"e,57:20,57:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VJ)","loc":"e,57:20,57:21","dtypep":"(WC)","funcName":"_ico_sequent__TOP__0","funcp":"(PI)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__ico","addr":"(WJ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VicoExecute","addr":"(XJ)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VicoExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(YJ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZJ)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_eval_triggers__ico","funcp":"(LH)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(AK)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(BK)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(CK)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(GB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoExecute","addr":"(DK)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(XJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(EK)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VicoExecute","addr":"(FK)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(XJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(GK)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HK)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_eval_ico","funcp":"(NJ)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(IK)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VicoExecute","addr":"(JK)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(XJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(KK)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(LK)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(MK)","loc":"e,9:8,9:9","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(NK)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"WR","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(PK)","loc":"e,9:8,9:9","dtypep":"(EC)"}, + {"type":"AND","name":"","addr":"(QK)","loc":"e,26:12,26:19","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RK)","loc":"e,26:20,26:31","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.f0.gated_clock","addr":"(SK)","loc":"e,26:20,26:31","dtypep":"(PB)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(TK)","loc":"e,26:12,26:19","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UK)","loc":"e,26:12,26:19","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f0.gated_clock__0","addr":"(VK)","loc":"e,26:12,26:19","dtypep":"(PB)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(WK)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(XK)","loc":"e,9:8,9:9","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(YK)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"WR","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h1","addr":"(ZK)","loc":"e,9:8,9:9","dtypep":"(EC)"}, + {"type":"AND","name":"","addr":"(AL)","loc":"e,36:12,36:19","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BL)","loc":"e,36:20,36:31","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.f2.gated_clock","addr":"(CL)","loc":"e,36:20,36:31","dtypep":"(PB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(DL)","loc":"e,36:12,36:19","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EL)","loc":"e,36:12,36:19","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f2.gated_clock__0","addr":"(FL)","loc":"e,36:12,36:19","dtypep":"(PB)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(GL)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(HL)","loc":"e,9:8,9:9","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(IL)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"WR","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h2","addr":"(JL)","loc":"e,9:8,9:9","dtypep":"(EC)"}, + {"type":"AND","name":"","addr":"(KL)","loc":"e,59:12,59:19","dtypep":"(PB)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(LL)","loc":"e,59:12,59:19","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ML)","loc":"e,59:20,59:23","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(NL)","loc":"e,59:20,59:23","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OL)","loc":"e,59:12,59:19","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(PL)","loc":"e,59:12,59:19","dtypep":"(PB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(QL)","loc":"e,26:20,26:31","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"t.f0.gated_clock","addr":"(RL)","loc":"e,26:20,26:31","dtypep":"(PB)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f0.gated_clock__0","addr":"(SL)","loc":"e,26:20,26:31","dtypep":"(PB)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TL)","loc":"e,36:20,36:31","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"t.f2.gated_clock","addr":"(UL)","loc":"e,36:20,36:31","dtypep":"(PB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f2.gated_clock__0","addr":"(VL)","loc":"e,36:20,36:31","dtypep":"(PB)","access":"WR","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(WL)","loc":"e,59:20,59:23","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(XL)","loc":"e,59:20,59:23","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(YL)","loc":"e,59:20,59:23","dtypep":"(PB)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(ZL)","loc":"e,9:8,9:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(AM)","loc":"e,9:8,9:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(BM)","loc":"e,9:8,9:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(CM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DM)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_dump_triggers__act","funcp":"(EM)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(FM)","loc":"e,9:8,9:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(GM)","loc":"e,9:8,9:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(EM)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(HM)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(IM)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JM)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(KM)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LM)","loc":"e,9:8,9:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(MM)","loc":"e,9:8,9:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(NM)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(OM)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(PM)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(QM)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(RM)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(SM)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(TM)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(UM)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(VM)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge t.f0.gated_clock)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(WM)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(XM)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(YM)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(ZM)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(AN)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(BN)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(CN)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 1 is active: @(posedge t.f2.gated_clock)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(DN)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(EN)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h4","addr":"(FN)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(GN)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(HN)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(IN)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(JN)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 2 is active: @(negedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(KN)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(LN)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(MN)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(NN)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(ON)","loc":"e,9:8,9:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PN)","loc":"e,9:8,9:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(QN)","loc":"e,9:8,9:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(RN)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(SN)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(TN)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(UN)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(VN)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(WN)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(XN)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(YN)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(ZN)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge t.f0.gated_clock)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(AO)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(BO)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(CO)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(DO)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(EO)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(FO)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(GO)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 1 is active: @(posedge t.f2.gated_clock)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(HO)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(IO)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h4","addr":"(JO)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(KO)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(LO)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(MO)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(NO)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 2 is active: @(negedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(OO)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(PO)","loc":"e,60:5,60:12","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNDLY","name":"","addr":"(QO)","loc":"e,60:13,60:15","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"en","addr":"(RO)","loc":"e,60:16,60:21","dtypep":"(PB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f2.clock_gate.clken_r","addr":"(SO)","loc":"e,60:5,60:12","dtypep":"(PB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(TO)","loc":"e,57:20,57:21","dtypep":"(PB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(UO)","loc":"e,57:26,57:27","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VO)","loc":"e,11:14,11:17","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(WO)","loc":"e,11:14,11:17","dtypep":"(PB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(XO)","loc":"e,56:7,56:14","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.f2.clock_gate.clken_r","addr":"(YO)","loc":"e,56:7,56:14","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.f2.gated_clock","addr":"(ZO)","loc":"e,34:8,34:19","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__1","addr":"(AP)","loc":"e,27:7,27:8","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNDLY","name":"","addr":"(BP)","loc":"e,27:9,27:11","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(CP)","loc":"e,27:12,27:13","dtypep":"(PB)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.q0","addr":"(DP)","loc":"e,27:7,27:8","dtypep":"(PB)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(EP)","loc":"e,27:9,27:11","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(FP)","loc":"e,27:12,27:13","dtypep":"(PB)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.q1","addr":"(GP)","loc":"e,27:7,27:8","dtypep":"(PB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__2","addr":"(HP)","loc":"e,37:7,37:8","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNDLY","name":"","addr":"(IP)","loc":"e,37:9,37:11","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(JP)","loc":"e,37:12,37:13","dtypep":"(PB)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.q2","addr":"(KP)","loc":"e,37:7,37:8","dtypep":"(PB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(LP)","loc":"e,37:9,37:11","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(MP)","loc":"e,37:12,37:13","dtypep":"(PB)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.q3","addr":"(NP)","loc":"e,37:7,37:8","dtypep":"(PB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_comb__TOP__0","addr":"(OP)","loc":"e,18:14,18:15","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(PP)","loc":"e,18:14,18:15","dtypep":"(PB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(QP)","loc":"e,18:26,18:27","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(RP)","loc":"e,18:26,18:27","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"MUL","name":"","addr":"(SP)","loc":"e,18:26,18:27","dtypep":"(PB)", + "lhsp": [ + {"type":"AND","name":"","addr":"(TP)","loc":"e,18:20,18:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(UP)","loc":"e,18:20,18:21","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(VP)","loc":"e,18:20,18:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(WP)","loc":"e,12:8,12:10","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q0","addr":"(XP)","loc":"e,12:8,12:10","dtypep":"(PB)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(YP)","loc":"e,12:11,12:13","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q1","addr":"(ZP)","loc":"e,12:11,12:13","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(AQ)","loc":"e,18:32,18:33","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BQ)","loc":"e,18:32,18:33","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"SUB","name":"","addr":"(CQ)","loc":"e,18:32,18:33","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DQ)","loc":"e,12:14,12:16","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q2","addr":"(EQ)","loc":"e,12:14,12:16","dtypep":"(PB)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(FQ)","loc":"e,12:17,12:19","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.q3","addr":"(GQ)","loc":"e,12:17,12:19","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"res","addr":"(HQ)","loc":"e,10:10,10:13","dtypep":"(PB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(IQ)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(JQ)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h4","addr":"(KQ)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(LQ)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(MQ)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(NQ)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(OQ)","loc":"e,60:5,60:12", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PQ)","loc":"e,60:5,60:12","dtypep":"(WC)","funcName":"_nba_sequent__TOP__0","funcp":"(PO)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(QQ)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(RQ)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(SQ)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(TQ)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(UQ)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(VQ)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(WQ)","loc":"e,27:7,27:8", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XQ)","loc":"e,27:7,27:8","dtypep":"(WC)","funcName":"_nba_sequent__TOP__1","funcp":"(AP)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(YQ)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(ZQ)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(AR)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(BR)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CR)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(DR)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(ER)","loc":"e,37:7,37:8", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FR)","loc":"e,37:7,37:8","dtypep":"(WC)","funcName":"_nba_sequent__TOP__2","funcp":"(HP)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GR)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(HR)","loc":"e,9:8,9:9","dtypep":"(RE)", + "lhsp": [ + {"type":"CONST","name":"64'h3","addr":"(IR)","loc":"e,9:8,9:9","dtypep":"(RE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(JR)","loc":"e,9:8,9:9","dtypep":"(UE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KR)","loc":"e,9:8,9:9","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(LR)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(MR)","loc":"e,18:14,18:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NR)","loc":"e,18:14,18:15","dtypep":"(WC)","funcName":"_nba_comb__TOP__0","funcp":"(OP)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(OR)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(PR)","loc":"e,9:8,9:9","dtypep":"(JB)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(QR)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(RR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(SR)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_eval_triggers__act","funcp":"(KK)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(TR)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(UR)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(VR)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"RD","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(WR)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(XR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(YR)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(ZR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(AS)","loc":"a,0:0,0:0","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(BS)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"WR","varp":"(PR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(CS)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"RD","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(DS)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(ES)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(FS)","loc":"a,0:0,0:0","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(GS)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"WR","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(HS)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"RD","varp":"(IB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(IS)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JS)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_eval_act","funcp":"(OO)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(KS)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(LS)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(MS)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(NS)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(OS)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(PS)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QS)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"RD","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(RS)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(SS)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(TS)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(US)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VS)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(WS)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(XS)","loc":"a,0:0,0:0","dtypep":"(WC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(YS)","loc":"a,0:0,0:0","dtypep":"(OK)","access":"WR","varp":"(KB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(ZS)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(AT)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VicoIterCount","addr":"(BT)","loc":"e,9:8,9:9","dtypep":"(DB)","origName":"__VicoIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VicoContinue","addr":"(CT)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VicoContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaIterCount","addr":"(DT)","loc":"e,9:8,9:9","dtypep":"(DB)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(ET)","loc":"e,9:8,9:9","dtypep":"(W)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(FT)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(GT)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(HT)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(IT)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(JT)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoFirstIteration","addr":"(KT)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LT)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(MT)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(NT)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(CT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(OT)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(PT)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(CT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QT)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(RT)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ST)","loc":"a,0:0,0:0","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(TT)","loc":"a,0:0,0:0","dtypep":"(DB)","access":"RD","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(UT)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(VT)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(WT)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XT)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_dump_triggers__ico","funcp":"(XH)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(YT)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(ZT)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dedupe_clk_gate.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(AU)","loc":"a,0:0,0:0","shortText":"\"Input combinational region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(BU)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(CU)","loc":"e,9:8,9:9","dtypep":"(DB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DU)","loc":"e,9:8,9:9","dtypep":"(EC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(EU)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(FU)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"RD","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(GU)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HU)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(IU)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(JU)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(CT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(KU)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(LU)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__ico","funcp":"(WJ)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(MU)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(NU)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(OU)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(CT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(PU)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(QU)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoFirstIteration","addr":"(RU)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []}, + {"type":"ASSIGN","name":"","addr":"(SU)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(TU)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(UU)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(DT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VU)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(WU)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(XU)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(YU)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(ZU)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(AV)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(BV)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(CV)","loc":"a,0:0,0:0","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(DV)","loc":"a,0:0,0:0","dtypep":"(DB)","access":"RD","varp":"(DT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(EV)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(FV)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(GV)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HV)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_dump_triggers__nba","funcp":"(KN)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(IV)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(JV)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dedupe_clk_gate.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(KV)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(LV)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(MV)","loc":"e,9:8,9:9","dtypep":"(DB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NV)","loc":"e,9:8,9:9","dtypep":"(EC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(OV)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(PV)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"RD","varp":"(DT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(QV)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(DT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RV)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(SV)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(TV)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(UV)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(VV)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(WV)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XV)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(YV)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(ZV)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(AW)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(BW)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(CW)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(DW)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(EW)","loc":"a,0:0,0:0","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(FW)","loc":"a,0:0,0:0","dtypep":"(DB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(GW)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(HW)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(IW)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JW)","loc":"a,0:0,0:0","dtypep":"(WC)","funcName":"_dump_triggers__act","funcp":"(EM)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(KW)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(LW)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dedupe_clk_gate.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(MW)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(NW)","loc":"e,9:8,9:9","dtypep":"(DB)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(OW)","loc":"e,9:8,9:9","dtypep":"(DB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PW)","loc":"e,9:8,9:9","dtypep":"(EC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(QW)","loc":"e,9:8,9:9","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(RW)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(SW)","loc":"e,9:8,9:9","dtypep":"(DB)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TW)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(UW)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(VW)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(WW)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(XW)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__act","funcp":"(OR)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(YW)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(ZW)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(AX)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(BX)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(CX)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__nba","funcp":"(MS)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(DX)","loc":"e,9:8,9:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(EX)","loc":"e,9:8,9:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(FX)","loc":"e,9:8,9:9","dtypep":"(PB)","access":"WR","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(GX)","loc":"e,9:8,9:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(HX)","loc":"e,11:9,11:10", + "condp": [ + {"type":"AND","name":"","addr":"(IX)","loc":"e,11:9,11:10","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"d","addr":"(JX)","loc":"e,11:9,11:10","dtypep":"(J)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(KX)","loc":"e,11:9,11:10","dtypep":"(LX)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(MX)","loc":"e,11:9,11:10", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(NX)","loc":"e,11:9,11:10","shortText":"Verilated::overWidthError(\"d\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(OX)","loc":"e,11:14,11:17", + "condp": [ + {"type":"AND","name":"","addr":"(PX)","loc":"e,11:14,11:17","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(QX)","loc":"e,11:14,11:17","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(RX)","loc":"e,11:14,11:17","dtypep":"(LX)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(SX)","loc":"e,11:14,11:17", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(TX)","loc":"e,11:14,11:17","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(UX)","loc":"e,11:11,11:13", + "condp": [ + {"type":"AND","name":"","addr":"(VX)","loc":"e,11:11,11:13","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"en","addr":"(WX)","loc":"e,11:11,11:13","dtypep":"(J)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(XX)","loc":"e,11:11,11:13","dtypep":"(LX)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(YX)","loc":"e,11:11,11:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(ZX)","loc":"e,11:11,11:13","shortText":"Verilated::overWidthError(\"en\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(AY)","loc":"e,9:8,9:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(BY)","loc":"e,10:10,10:13", + "varrefp": [ + {"type":"VARREF","name":"res","addr":"(CY)","loc":"e,10:10,10:13","dtypep":"(J)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DY)","loc":"e,11:9,11:10", + "varrefp": [ + {"type":"VARREF","name":"d","addr":"(EY)","loc":"e,11:9,11:10","dtypep":"(J)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FY)","loc":"e,11:14,11:17", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(GY)","loc":"e,11:14,11:17","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HY)","loc":"e,11:11,11:13", + "varrefp": [ + {"type":"VARREF","name":"en","addr":"(IY)","loc":"e,11:11,11:13","dtypep":"(J)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JY)","loc":"e,12:8,12:10", + "varrefp": [ + {"type":"VARREF","name":"t.q0","addr":"(KY)","loc":"e,12:8,12:10","dtypep":"(J)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LY)","loc":"e,12:11,12:13", + "varrefp": [ + {"type":"VARREF","name":"t.q1","addr":"(MY)","loc":"e,12:11,12:13","dtypep":"(J)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NY)","loc":"e,12:14,12:16", + "varrefp": [ + {"type":"VARREF","name":"t.q2","addr":"(OY)","loc":"e,12:14,12:16","dtypep":"(J)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PY)","loc":"e,12:17,12:19", + "varrefp": [ + {"type":"VARREF","name":"t.q3","addr":"(QY)","loc":"e,12:17,12:19","dtypep":"(J)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RY)","loc":"e,24:8,24:19", + "varrefp": [ + {"type":"VARREF","name":"t.f0.gated_clock","addr":"(SY)","loc":"e,24:8,24:19","dtypep":"(J)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TY)","loc":"e,44:7,44:20", + "varrefp": [ + {"type":"VARREF","name":"t.f0.clock_gate.clken_latched","addr":"(UY)","loc":"e,44:7,44:20","dtypep":"(J)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VY)","loc":"e,34:8,34:19", + "varrefp": [ + {"type":"VARREF","name":"t.f2.gated_clock","addr":"(WY)","loc":"e,34:8,34:19","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XY)","loc":"e,56:7,56:14", + "varrefp": [ + {"type":"VARREF","name":"t.f2.clock_gate.clken_r","addr":"(YY)","loc":"e,56:7,56:14","dtypep":"(J)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZY)","loc":"e,9:8,9:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f0.gated_clock__0","addr":"(AZ)","loc":"e,9:8,9:9","dtypep":"(J)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BZ)","loc":"e,9:8,9:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.f2.gated_clock__0","addr":"(CZ)","loc":"e,9:8,9:9","dtypep":"(J)","access":"WR","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DZ)","loc":"e,9:8,9:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(EZ)","loc":"e,9:8,9:9","dtypep":"(J)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate__Syms.cpp","addr":"(FZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate__Syms.h","addr":"(GZ)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate.h","addr":"(HZ)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate.cpp","addr":"(IZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate_$root.h","addr":"(JZ)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate_$root__Slow.cpp","addr":"(KZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate_$root__DepSet_h66de482c__0__Slow.cpp","addr":"(LZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate_$root__DepSet_h3fe27919__0__Slow.cpp","addr":"(MZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate_$root__DepSet_h66de482c__0.cpp","addr":"(NZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dedupe_clk_gate/Vt_dedupe_clk_gate_$root__DepSet_h3fe27919__0.cpp","addr":"(OZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(WC)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(WC)","loc":"d,51:21,51:30","dtypep":"(WC)","generic":false}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(FB)","loc":"e,9:8,9:9","dtypep":"(FB)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(EC)","loc":"e,9:8,9:9","dtypep":"(EC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(UE)","loc":"e,9:8,9:9","dtypep":"(UE)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RE)","loc":"e,9:8,9:9","dtypep":"(RE)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(W)","loc":"e,9:8,9:9","dtypep":"(W)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(DB)","loc":"e,9:8,9:9","dtypep":"(DB)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(HB)","loc":"e,9:8,9:9","dtypep":"(HB)","keyword":"VlTriggerVec","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(JB)","loc":"e,9:8,9:9","dtypep":"(JB)","keyword":"VlTriggerVec","range":"2:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"e,26:20,26:31","dtypep":"(PB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(OK)","loc":"e,9:8,9:9","dtypep":"(OK)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LX)","loc":"e,11:9,11:10","dtypep":"(LX)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(PZ)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(QZ)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(PZ)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_dedupe_clk_gate.pl b/test_regress/t/t_dedupe_clk_gate.pl index 01b1afad8..a82d856ba 100755 --- a/test_regress/t/t_dedupe_clk_gate.pl +++ b/test_regress/t/t_dedupe_clk_gate.pl @@ -10,14 +10,14 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - verilator_flags2 => ["--stats"], + verilator_flags2 => ["--no-json-edit-nums", "--stats"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.f0.clock_gate.clken_latched","addr":"[^"]*","loc":"\w,44:[^"]*","dtypep":"\(\w+\)",.*"origName":"clken_latched",.*"isLatched":true,.*"dtypeName":"logic"/); file_grep($Self->{stats}, qr/Optimizations, Gate sigs deduped\s+(\d+)/i, 4); } diff --git a/test_regress/t/t_dist_error_format.pl b/test_regress/t/t_dist_error_format.pl index 30f8cebd4..dd0b32dfa 100755 --- a/test_regress/t/t_dist_error_format.pl +++ b/test_regress/t/t_dist_error_format.pl @@ -41,6 +41,7 @@ sub formats { $line =~ s/(\$display|\$write).*\".*%(Error|Warning)//; if ($line =~ /(Error|Warning)/ && $line !~ /^\s*name());"} + ]}, + {"type":"COMMENT","name":"Function: mon_scope_name","addr":"(QC)","loc":"e,92:7,92:21"}, + {"type":"STMTEXPR","name":"","addr":"(RC)","loc":"e,92:7,92:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(SC)","loc":"e,92:7,92:21","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_scope_name_TOP____024unit","funcp":"(TC)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(UC)","loc":"e,92:7,92:21","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(WC)","loc":"e,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(XC)","loc":"e,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(YC)","loc":"e,92:7,92:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(ZC)","loc":"e,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(AD)","loc":"e,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(BD)","loc":"e,92:7,92:21","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(CD)","loc":"e,92:7,92:21","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(ED)","loc":"e,92:7,92:21","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h5c","addr":"(FD)","loc":"e,92:7,92:21","dtypep":"(GD)"}, + {"type":"SFORMATF","name":"%m","addr":"(HD)","loc":"e,92:7,92:21","dtypep":"(MB)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(ID)","loc":"e,92:7,92:21","dtypep":"(VC)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(JD)","loc":"e,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(KD)","loc":"e,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(LD)","loc":"e,92:7,92:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(MD)","loc":"e,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(ND)","loc":"e,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(OD)","loc":"e,92:7,92:21","shortText":"__DOT__sub"} + ]} + ]} + ]} + ]}, + {"type":"UCSTMT","name":"","addr":"(PD)","loc":"e,94:7,94:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(QD)","loc":"e,94:10,94:36","shortText":"mon_register_a(\"in\", &"}, + {"type":"VARREF","name":"t.in","addr":"(RD)","loc":"e,94:38,94:40","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"TEXT","name":"","addr":"(SD)","loc":"e,94:42,94:53","shortText":", false);"} + ]}, + {"type":"UCSTMT","name":"","addr":"(TD)","loc":"e,95:7,95:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(UD)","loc":"e,95:10,95:38","shortText":"mon_register_a(\"fr_a\", &"}, + {"type":"VARREF","name":"t.sub.fr_a","addr":"(VD)","loc":"e,95:40,95:44","dtypep":"(S)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"TEXT","name":"","addr":"(WD)","loc":"e,95:46,95:56","shortText":", true);"} + ]}, + {"type":"COMMENT","name":"Function: mon_register_b","addr":"(XD)","loc":"e,97:7,97:21"}, + {"type":"STMTEXPR","name":"","addr":"(YD)","loc":"e,97:7,97:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZD)","loc":"e,97:7,97:21","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_register_b_TOP____024unit","funcp":"(AE)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(BE)","loc":"e,97:7,97:21","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(CE)","loc":"e,97:7,97:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(DE)","loc":"e,97:7,97:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(EE)","loc":"e,97:7,97:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(FE)","loc":"e,97:7,97:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(GE)","loc":"e,97:7,97:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(HE)","loc":"e,97:7,97:21","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(IE)","loc":"e,97:7,97:21","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JE)","loc":"e,97:7,97:21","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h61","addr":"(KE)","loc":"e,97:7,97:21","dtypep":"(GD)"}, + {"type":"CONST","name":"\\\"in\\\"","addr":"(LE)","loc":"e,97:22,97:26","dtypep":"(MB)"}, + {"type":"CONST","name":"32'sh0","addr":"(ME)","loc":"e,97:28,97:29","dtypep":"(EC)"} + ]} + ]}, + {"type":"COMMENT","name":"Function: mon_register_b","addr":"(NE)","loc":"e,98:7,98:21"}, + {"type":"STMTEXPR","name":"","addr":"(OE)","loc":"e,98:7,98:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PE)","loc":"e,98:7,98:21","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_register_b_TOP____024unit","funcp":"(AE)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(QE)","loc":"e,98:7,98:21","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(RE)","loc":"e,98:7,98:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(SE)","loc":"e,98:7,98:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(TE)","loc":"e,98:7,98:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(UE)","loc":"e,98:7,98:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(VE)","loc":"e,98:7,98:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(WE)","loc":"e,98:7,98:21","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(XE)","loc":"e,98:7,98:21","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(YE)","loc":"e,98:7,98:21","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h62","addr":"(ZE)","loc":"e,98:7,98:21","dtypep":"(GD)"}, + {"type":"CONST","name":"\\\"fr_b\\\"","addr":"(AF)","loc":"e,98:22,98:28","dtypep":"(MB)"}, + {"type":"CONST","name":"32'sh1","addr":"(BF)","loc":"e,98:30,98:31","dtypep":"(EC)"} + ]} + ]}, + {"type":"COMMENT","name":"Function: mon_register_done","addr":"(CF)","loc":"e,99:7,99:24"}, + {"type":"STMTEXPR","name":"","addr":"(DF)","loc":"e,99:7,99:24", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EF)","loc":"e,99:7,99:24","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_register_done_TOP____024unit","funcp":"(FF)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(GF)","loc":"e,99:7,99:24","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(HF)","loc":"e,99:7,99:24","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(IF)","loc":"e,99:7,99:24","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(JF)","loc":"e,99:7,99:24","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(KF)","loc":"e,99:7,99:24","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(LF)","loc":"e,99:7,99:24","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(MF)","loc":"e,99:7,99:24","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(NF)","loc":"e,99:7,99:24","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OF)","loc":"e,99:7,99:24","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h63","addr":"(PF)","loc":"e,99:7,99:24","dtypep":"(GD)"} + ]} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(QF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(RF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(SF)","loc":"e,9:8,9:9","dtypep":"(Y)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(TF)","loc":"e,9:8,9:9","dtypep":"(M)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(UF)","loc":"e,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(VF)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(WF)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XF)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(YF)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(ZF)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(AG)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BG)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(CG)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(DG)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(EG)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(GG)","loc":"a,0:0,0:0","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(HG)","loc":"a,0:0,0:0","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(IG)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(JG)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(KG)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(LG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MG)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__stl","funcp":"(NG)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(OG)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(PG)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dpi_var.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(QG)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(RG)","loc":"e,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(SG)","loc":"e,9:8,9:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TG)","loc":"e,9:8,9:9","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(UG)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(VG)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"RD","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(WG)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XG)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(YG)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(ZG)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(AH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(BH)","loc":"a,0:0,0:0","dtypep":"(LC)","funcName":"_eval_phase__stl","funcp":"(CH)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(DH)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(EH)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(FH)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(GH)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(HH)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(IH)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(JH)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(KH)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(LH)","loc":"e,9:8,9:9","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(MH)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(NH)","loc":"e,9:8,9:9","dtypep":"(GD)"}, + {"type":"CCAST","name":"","addr":"(OH)","loc":"e,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(PH)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(QH)","loc":"e,9:8,9:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RH)","loc":"e,9:8,9:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(SH)","loc":"e,9:8,9:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(TH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(UH)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__stl","funcp":"(NG)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(VH)","loc":"e,9:8,9:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(WH)","loc":"e,9:8,9:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(NG)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XH)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(YH)","loc":"e,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ZH)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(AI)","loc":"e,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BI)","loc":"e,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(CI)","loc":"e,9:8,9:9","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(DI)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(EI)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(FI)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(GI)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(HI)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(II)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(JI)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(KI)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LI)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_stl_sequent__TOP__0","addr":"(MI)","loc":"e,77:14,77:16","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNALIAS","name":"","addr":"(NI)","loc":"e,77:14,77:16","dtypep":"(S)", + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(OI)","loc":"e,23:8,23:11","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.sub.in","addr":"(PI)","loc":"e,77:14,77:16","dtypep":"(S)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(QI)","loc":"e,87:21,87:22","dtypep":"(S)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(RI)","loc":"e,87:26,87:27","dtypep":"(EC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SI)","loc":"e,87:28,87:29","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(TI)","loc":"e,87:28,87:29","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(UI)","loc":"e,19:12,19:14","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(VI)","loc":"e,22:12,22:18","dtypep":"(S)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(WI)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XI)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(YI)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(ZI)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(AJ)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(BJ)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(CJ)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(DJ)","loc":"e,77:14,77:16", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EJ)","loc":"e,77:14,77:16","dtypep":"(AC)","funcName":"_stl_sequent__TOP__0","funcp":"(MI)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(CH)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(FJ)","loc":"e,9:8,9:9","dtypep":"(M)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(GJ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HJ)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_triggers__stl","funcp":"(JH)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(IJ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JJ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(KJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(LJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"WR","varp":"(FJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(MJ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(NJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(FJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(OJ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PJ)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_stl","funcp":"(WI)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(QJ)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(RJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(FJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(SJ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(TJ)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(UJ)","loc":"e,9:8,9:9","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(VJ)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(XJ)","loc":"e,9:8,9:9","dtypep":"(GD)"}, + {"type":"AND","name":"","addr":"(YJ)","loc":"e,26:14,26:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZJ)","loc":"e,26:22,26:25","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(AK)","loc":"e,26:22,26:25","dtypep":"(LC)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(BK)","loc":"e,26:14,26:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CK)","loc":"e,26:14,26:21","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(DK)","loc":"e,26:14,26:21","dtypep":"(LC)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(EK)","loc":"e,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(FK)","loc":"e,9:8,9:9","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(GK)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h1","addr":"(HK)","loc":"e,9:8,9:9","dtypep":"(GD)"}, + {"type":"AND","name":"","addr":"(IK)","loc":"e,49:13,49:20","dtypep":"(LC)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(JK)","loc":"e,49:13,49:20","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KK)","loc":"e,13:10,13:13","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(LK)","loc":"e,13:10,13:13","dtypep":"(LC)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(MK)","loc":"e,49:13,49:20","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(NK)","loc":"e,49:13,49:20","dtypep":"(LC)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(OK)","loc":"e,26:22,26:25","dtypep":"(LC)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(PK)","loc":"e,26:22,26:25","dtypep":"(LC)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(QK)","loc":"e,26:22,26:25","dtypep":"(LC)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(RK)","loc":"e,9:8,9:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(SK)","loc":"e,9:8,9:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(TK)","loc":"e,9:8,9:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(UK)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VK)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__act","funcp":"(WK)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(XK)","loc":"e,9:8,9:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(YK)","loc":"e,9:8,9:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(WK)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ZK)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(AL)","loc":"e,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BL)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(CL)","loc":"e,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DL)","loc":"e,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(EL)","loc":"e,9:8,9:9","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FL)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(GL)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(HL)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(IL)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(JL)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(KL)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(LL)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(ML)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(NL)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(OL)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(PL)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(QL)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(RL)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SL)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TL)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(UL)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 1 is active: @(negedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(VL)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(WL)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(XL)","loc":"e,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(YL)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(ZL)","loc":"e,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AM)","loc":"e,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(BM)","loc":"e,9:8,9:9","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CM)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(DM)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(EM)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(FM)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(GM)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(HM)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(IM)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(JM)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(KM)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(LM)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(MM)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(NM)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(OM)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PM)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(QM)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(RM)","loc":"e,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 1 is active: @(negedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(SM)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(TM)","loc":"e,50:7,50:15","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(UM)","loc":"e,50:7,50:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VM)","loc":"e,50:7,50:15","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_eval_TOP____024unit","funcp":"(WM)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(XM)","loc":"e,50:7,50:15","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(YM)","loc":"e,50:7,50:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(ZM)","loc":"e,50:7,50:15","shortText":"__DOT__t"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(AN)","loc":"e,50:7,50:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(BN)","loc":"e,50:7,50:15","shortText":"__DOT__t"} + ]}, + {"type":"CEXPR","name":"","addr":"(CN)","loc":"e,50:7,50:15","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(DN)","loc":"e,50:7,50:15","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h32","addr":"(EN)","loc":"e,50:7,50:15","dtypep":"(GD)"} + ]} + ]}, + {"type":"ALWAYSPUBLIC","name":"","addr":"(FN)","loc":"e,78:20,78:48","sensesp": [], + "stmtsp": [ + {"type":"VARREF","name":"t.sub.fr_a","addr":"(GN)","loc":"e,78:20,78:48","dtypep":"(S)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"ALWAYSPUBLIC","name":"","addr":"(HN)","loc":"e,79:20,79:48","sensesp": [], + "stmtsp": [ + {"type":"VARREF","name":"t.sub.fr_b","addr":"(IN)","loc":"e,79:20,79:48","dtypep":"(S)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__1","addr":"(JN)","loc":"e,30:7,30:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(KN)","loc":"e,15:12,15:15","dtypep":"(Q)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(LN)","loc":"e,15:12,15:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(MN)","loc":"e,15:12,15:15","dtypep":"(Q)","access":"WR","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(NN)","loc":"e,30:7,30:10","dtypep":"(Q)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(ON)","loc":"e,30:7,30:10","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(PN)","loc":"e,30:7,30:10","dtypep":"(Q)","access":"WR","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(QN)","loc":"e,30:11,30:13","dtypep":"(Q)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(RN)","loc":"e,30:18,30:19","dtypep":"(Q)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SN)","loc":"e,30:20,30:21","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(TN)","loc":"e,30:20,30:21","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(UN)","loc":"e,30:14,30:17","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(VN)","loc":"e,30:7,30:10","dtypep":"(Q)","access":"WR","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(WN)","loc":"e,31:10,31:12","dtypep":"(S)", + "rhsp": [ + {"type":"OR","name":"","addr":"(XN)","loc":"e,31:22,31:23","dtypep":"(GD)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(YN)","loc":"e,31:22,31:23","dtypep":"(GD)", + "lhsp": [ + {"type":"VARREF","name":"t.in","addr":"(ZN)","loc":"e,31:14,31:16","dtypep":"(AO)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BO)","loc":"e,31:22,31:23","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CO)","loc":"e,31:36,31:37","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(DO)","loc":"e,31:36,31:37","dtypep":"(LC)"} + ], + "rhsp": [ + {"type":"REDXOR","name":"","addr":"(EO)","loc":"e,31:24,31:26","dtypep":"(LC)", + "lhsp": [ + {"type":"AND","name":"","addr":"(FO)","loc":"e,31:24,31:26","dtypep":"(GD)", + "lhsp": [ + {"type":"CONST","name":"32'h80000005","addr":"(GO)","loc":"e,31:24,31:26","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(HO)","loc":"e,31:24,31:26","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.in","addr":"(IO)","loc":"e,31:7,31:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JO)","loc":"e,32:7,32:9", + "condp": [ + {"type":"EQ","name":"","addr":"(KO)","loc":"e,32:14,32:16","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(LO)","loc":"e,32:16,32:17","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(MO)","loc":"e,32:11,32:14","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(NO)","loc":"e,34:13,34:15","dtypep":"(S)", + "rhsp": [ + {"type":"CONST","name":"32'hd70a4497","addr":"(OO)","loc":"e,34:16,34:28","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.in","addr":"(PO)","loc":"e,34:10,34:12","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(QO)","loc":"e,36:12,36:14", + "condp": [ + {"type":"LTES","name":"","addr":"(RO)","loc":"e,36:19,36:20","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sh3","addr":"(SO)","loc":"e,36:20,36:21","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(TO)","loc":"e,36:16,36:19","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(UO)","loc":"e,38:12,38:14", + "condp": [ + {"type":"GTS","name":"","addr":"(VO)","loc":"e,38:19,38:20","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sha","addr":"(WO)","loc":"e,38:20,38:22","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(XO)","loc":"e,38:16,38:19","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(YO)","loc":"e,39:10,39:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(ZO)","loc":"e,39:21,39:23","dtypep":"(LC)", + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(AP)","loc":"e,39:14,39:20","dtypep":"(S)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sub.fr_a","addr":"(BP)","loc":"e,39:24,39:28","dtypep":"(S)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(CP)","loc":"e,39:30,39:35"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(DP)","loc":"e,40:10,40:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(EP)","loc":"e,40:21,40:23","dtypep":"(LC)", + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(FP)","loc":"e,40:14,40:20","dtypep":"(S)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sub.fr_b","addr":"(GP)","loc":"e,40:24,40:28","dtypep":"(S)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(HP)","loc":"e,40:30,40:35"} + ],"elsesp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(IP)","loc":"e,42:12,42:14", + "condp": [ + {"type":"EQ","name":"","addr":"(JP)","loc":"e,42:19,42:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sha","addr":"(KP)","loc":"e,42:21,42:23","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(LP)","loc":"e,42:16,42:19","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(MP)","loc":"e,43:10,43:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(NP)","loc":"e,43:10,43:16","dtypep":"(MB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(OP)","loc":"e,44:10,44:17"} + ],"elsesp": []} + ]} + ],"elsesp": []} + ]}, + {"type":"ASSIGNPOST","name":"","addr":"(PP)","loc":"e,30:7,30:10","dtypep":"(Q)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(QP)","loc":"e,30:7,30:10","dtypep":"(Q)","access":"RD","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(RP)","loc":"e,30:7,30:10","dtypep":"(Q)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(SP)","loc":"e,77:14,77:16","dtypep":"(S)", + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(TP)","loc":"e,23:8,23:11","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.sub.in","addr":"(UP)","loc":"e,77:14,77:16","dtypep":"(S)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(VP)","loc":"e,87:21,87:22","dtypep":"(S)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(WP)","loc":"e,87:26,87:27","dtypep":"(EC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(XP)","loc":"e,87:28,87:29","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(YP)","loc":"e,87:28,87:29","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(ZP)","loc":"e,19:12,19:14","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(AQ)","loc":"e,22:12,22:18","dtypep":"(S)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(G)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(BQ)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(CQ)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(DQ)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(EQ)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(FQ)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(GQ)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(HQ)","loc":"e,50:7,50:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IQ)","loc":"e,50:7,50:15","dtypep":"(AC)","funcName":"_nba_sequent__TOP__0","funcp":"(TM)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JQ)","loc":"e,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(KQ)","loc":"e,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(LQ)","loc":"e,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(MQ)","loc":"e,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(NQ)","loc":"e,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(OQ)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(PQ)","loc":"e,30:7,30:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(QQ)","loc":"e,30:7,30:10","dtypep":"(AC)","funcName":"_nba_sequent__TOP__1","funcp":"(JN)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(RQ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(SQ)","loc":"e,9:8,9:9","dtypep":"(CB)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(TQ)","loc":"e,9:8,9:9","dtypep":"(M)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(UQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VQ)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_triggers__act","funcp":"(SJ)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(WQ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(XQ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(YQ)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(ZQ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"WR","varp":"(TQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(AR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(BR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(TQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(CR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(DR)","loc":"a,0:0,0:0","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(ER)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"WR","varp":"(SQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(GR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(HR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(IR)","loc":"a,0:0,0:0","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(JR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(KR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(LR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MR)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_act","funcp":"(SM)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(NR)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(OR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(TQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(PR)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(QR)","loc":"e,9:8,9:9","dtypep":"(M)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(RR)","loc":"a,0:0,0:0","dtypep":"(LC)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(SR)","loc":"a,0:0,0:0","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(TR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(UR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"WR","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(VR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(WR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(XR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(YR)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_nba","funcp":"(G)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(ZR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(AS)","loc":"a,0:0,0:0","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(BS)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(CS)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(DS)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(ES)","loc":"e,9:8,9:9","dtypep":"(Y)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(FS)","loc":"e,9:8,9:9","dtypep":"(M)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(GS)","loc":"e,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(HS)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(IS)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(JS)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(KS)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(LS)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(MS)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(NS)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(OS)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(PS)","loc":"a,0:0,0:0","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(QS)","loc":"a,0:0,0:0","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(RS)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(SS)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(TS)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(US)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VS)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__nba","funcp":"(VL)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(WS)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(XS)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dpi_var.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(YS)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(ZS)","loc":"e,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(AT)","loc":"e,9:8,9:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BT)","loc":"e,9:8,9:9","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(CT)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(DT)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"RD","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(ET)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(FT)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(GT)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(HT)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(IT)","loc":"e,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(JT)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(KT)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LT)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(MT)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(NT)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(OT)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(PT)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QT)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(RT)","loc":"a,0:0,0:0","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ST)","loc":"a,0:0,0:0","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(TT)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(UT)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(VT)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(WT)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XT)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__act","funcp":"(WK)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(YT)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(ZT)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dpi_var.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(AU)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(BU)","loc":"e,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(CU)","loc":"e,9:8,9:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DU)","loc":"e,9:8,9:9","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(EU)","loc":"e,9:8,9:9","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(FU)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(GU)","loc":"e,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HU)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(IU)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(JU)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(KU)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(LU)","loc":"a,0:0,0:0","dtypep":"(LC)","funcName":"_eval_phase__act","funcp":"(RQ)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(MU)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(NU)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(OU)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(PU)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(QU)","loc":"a,0:0,0:0","dtypep":"(LC)","funcName":"_eval_phase__nba","funcp":"(PR)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(RU)","loc":"e,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(SU)","loc":"e,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(TU)","loc":"e,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(UU)","loc":"e,9:8,9:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VU)","loc":"e,13:10,13:13", + "condp": [ + {"type":"AND","name":"","addr":"(WU)","loc":"e,13:10,13:13","dtypep":"(K)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(XU)","loc":"e,13:10,13:13","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(YU)","loc":"e,13:10,13:13","dtypep":"(ZU)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(AV)","loc":"e,13:10,13:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(BV)","loc":"e,13:10,13:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(CV)","loc":"e,9:8,9:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(DV)","loc":"e,13:10,13:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(EV)","loc":"e,13:10,13:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FV)","loc":"e,15:12,15:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(GV)","loc":"e,15:12,15:15","dtypep":"(Q)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HV)","loc":"e,19:12,19:14", + "varrefp": [ + {"type":"VARREF","name":"t.in","addr":"(IV)","loc":"e,19:12,19:14","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JV)","loc":"e,22:12,22:18", + "varrefp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(KV)","loc":"e,22:12,22:18","dtypep":"(S)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LV)","loc":"e,78:15,78:19", + "varrefp": [ + {"type":"VARREF","name":"t.sub.fr_a","addr":"(MV)","loc":"e,78:15,78:19","dtypep":"(S)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NV)","loc":"e,79:15,79:19", + "varrefp": [ + {"type":"VARREF","name":"t.sub.fr_b","addr":"(OV)","loc":"e,79:15,79:19","dtypep":"(S)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PV)","loc":"e,77:14,77:16", + "varrefp": [ + {"type":"VARREF","name":"t.sub.in","addr":"(QV)","loc":"e,77:14,77:16","dtypep":"(S)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RV)","loc":"e,9:8,9:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(SV)","loc":"e,9:8,9:9","dtypep":"(K)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"$unit","addr":"(TV)","loc":"a,0:0,0:0","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"$unit","addr":"(JB)","loc":"a,0:0,0:0","aboveScopep":"(HB)","aboveCellp":"(EB)","modp":"(E)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_scope_name_TOP__$unit","addr":"(TC)","loc":"e,56:38,56:52","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(UV)","loc":"e,56:38,56:52","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(WV)","loc":"e,56:38,56:52", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(XV)","loc":"e,56:38,56:52","dtypep":"(VV)","access":"WR","varp":"(UV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(YV)","loc":"e,56:38,56:52","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(AW)","loc":"e,56:38,56:52", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(BW)","loc":"e,56:38,56:52","dtypep":"(ZV)","access":"WR","varp":"(YV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(CW)","loc":"e,56:38,56:52","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(DW)","loc":"e,56:38,56:52", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(EW)","loc":"e,56:38,56:52","dtypep":"(S)","access":"WR","varp":"(CW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"formatted","addr":"(FW)","loc":"e,56:67,56:76","dtypep":"(MB)","origName":"formatted","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(GW)","loc":"e,56:67,56:76", + "varrefp": [ + {"type":"VARREF","name":"formatted","addr":"(HW)","loc":"e,56:67,56:76","dtypep":"(MB)","access":"WR","varp":"(FW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(IW)","loc":"e,56:67,56:76", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JW)","loc":"e,56:67,56:76","shortText":"const char* formatted__Vcvt;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(KW)","loc":"e,56:67,56:76", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(LW)","loc":"e,56:67,56:76","shortText":"for (size_t formatted__Vidx = 0; formatted__Vidx < 1; ++formatted__Vidx) formatted__Vcvt = formatted.c_str();..."} + ]}, + {"type":"CSTMT","name":"","addr":"(MW)","loc":"e,56:38,56:52", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(NW)","loc":"e,56:38,56:52","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(OW)","loc":"e,56:38,56:52", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PW)","loc":"e,56:38,56:52","dtypep":"(AC)","funcName":"mon_scope_name","funcp":"(KB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_register_b_TOP__$unit","addr":"(AE)","loc":"e,60:38,60:52","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(QW)","loc":"e,60:38,60:52","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(RW)","loc":"e,60:38,60:52", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(SW)","loc":"e,60:38,60:52","dtypep":"(VV)","access":"WR","varp":"(QW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(TW)","loc":"e,60:38,60:52","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(UW)","loc":"e,60:38,60:52", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(VW)","loc":"e,60:38,60:52","dtypep":"(ZV)","access":"WR","varp":"(TW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(WW)","loc":"e,60:38,60:52","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(XW)","loc":"e,60:38,60:52", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(YW)","loc":"e,60:38,60:52","dtypep":"(S)","access":"WR","varp":"(WW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"name","addr":"(ZW)","loc":"e,60:60,60:64","dtypep":"(MB)","origName":"name","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(AX)","loc":"e,60:60,60:64", + "varrefp": [ + {"type":"VARREF","name":"name","addr":"(BX)","loc":"e,60:60,60:64","dtypep":"(MB)","access":"WR","varp":"(ZW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"isOut","addr":"(CX)","loc":"e,60:70,60:75","dtypep":"(S)","origName":"isOut","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(DX)","loc":"e,60:70,60:75", + "varrefp": [ + {"type":"VARREF","name":"isOut","addr":"(EX)","loc":"e,60:70,60:75","dtypep":"(S)","access":"WR","varp":"(CX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(FX)","loc":"e,60:60,60:64", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(GX)","loc":"e,60:60,60:64","shortText":"const char* name__Vcvt;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(HX)","loc":"e,60:60,60:64", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(IX)","loc":"e,60:60,60:64","shortText":"for (size_t name__Vidx = 0; name__Vidx < 1; ++name__Vidx) name__Vcvt = name.c_str();..."} + ]}, + {"type":"CSTMT","name":"","addr":"(JX)","loc":"e,60:70,60:75", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(KX)","loc":"e,60:70,60:75","shortText":"int isOut__Vcvt;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(LX)","loc":"e,60:70,60:75", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(MX)","loc":"e,60:70,60:75","shortText":"for (size_t isOut__Vidx = 0; isOut__Vidx < 1; ++isOut__Vidx) isOut__Vcvt = isOut;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(NX)","loc":"e,60:38,60:52", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OX)","loc":"e,60:38,60:52","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(PX)","loc":"e,60:38,60:52", + "exprp": [ + {"type":"CCALL","name":"","addr":"(QX)","loc":"e,60:38,60:52","dtypep":"(AC)","funcName":"mon_register_b","funcp":"(PB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_register_done_TOP__$unit","addr":"(FF)","loc":"e,61:38,61:55","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(RX)","loc":"e,61:38,61:55","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(SX)","loc":"e,61:38,61:55", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(TX)","loc":"e,61:38,61:55","dtypep":"(VV)","access":"WR","varp":"(RX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(UX)","loc":"e,61:38,61:55","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(VX)","loc":"e,61:38,61:55", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(WX)","loc":"e,61:38,61:55","dtypep":"(ZV)","access":"WR","varp":"(UX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(XX)","loc":"e,61:38,61:55","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(YX)","loc":"e,61:38,61:55", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(ZX)","loc":"e,61:38,61:55","dtypep":"(S)","access":"WR","varp":"(XX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(AY)","loc":"e,61:38,61:55", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(BY)","loc":"e,61:38,61:55","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(CY)","loc":"e,61:38,61:55", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DY)","loc":"e,61:38,61:55","dtypep":"(AC)","funcName":"mon_register_done","funcp":"(WB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_eval_TOP__$unit","addr":"(WM)","loc":"e,62:38,62:46","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(EY)","loc":"e,62:38,62:46","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(FY)","loc":"e,62:38,62:46", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(GY)","loc":"e,62:38,62:46","dtypep":"(VV)","access":"WR","varp":"(EY)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(HY)","loc":"e,62:38,62:46","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(IY)","loc":"e,62:38,62:46", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(JY)","loc":"e,62:38,62:46","dtypep":"(ZV)","access":"WR","varp":"(HY)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(KY)","loc":"e,62:38,62:46","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(LY)","loc":"e,62:38,62:46", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(MY)","loc":"e,62:38,62:46","dtypep":"(S)","access":"WR","varp":"(KY)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(NY)","loc":"e,62:38,62:46", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OY)","loc":"e,62:38,62:46","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(PY)","loc":"e,62:38,62:46", + "exprp": [ + {"type":"CCALL","name":"","addr":"(QY)","loc":"e,62:38,62:46","dtypep":"(AC)","funcName":"mon_eval","funcp":"(IB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(RY)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var__Syms.cpp","addr":"(SY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var__Syms.h","addr":"(TY)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var__Dpi.h","addr":"(UY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var__Dpi.cpp","addr":"(VY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var.h","addr":"(WY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var.cpp","addr":"(XY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$root.h","addr":"(YY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$unit.h","addr":"(ZY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$root__Slow.cpp","addr":"(AZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$root__DepSet_h30181c4c__0__Slow.cpp","addr":"(BZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$root__DepSet_h08c9a290__0__Slow.cpp","addr":"(CZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$root__DepSet_h30181c4c__0.cpp","addr":"(DZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$root__DepSet_h08c9a290__0.cpp","addr":"(EZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$unit__Slow.cpp","addr":"(FZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$unit__DepSet_hee4ff719__0__Slow.cpp","addr":"(GZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var/Vt_dpi_var_$unit__DepSet_hca966b35__0.cpp","addr":"(HZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(AC)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,50:22,50:24","dtypep":"(K)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(AC)","loc":"d,51:21,51:30","dtypep":"(AC)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(MB)","loc":"d,156:10,156:16","dtypep":"(MB)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(VC)","loc":"e,50:7,50:15","dtypep":"(VC)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(Q)","loc":"e,15:4,15:11","dtypep":"(Q)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"int","addr":"(S)","loc":"e,19:4,19:7","dtypep":"(S)","keyword":"int","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(EC)","loc":"e,15:18,15:19","dtypep":"(EC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GD)","loc":"e,31:17,31:19","dtypep":"(GD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VerilatedScope*","addr":"(VV)","loc":"e,62:38,62:46","dtypep":"(VV)","keyword":"VerilatedScope*","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"char*","addr":"(ZV)","loc":"e,62:38,62:46","dtypep":"(ZV)","keyword":"char*","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(DD)","loc":"e,50:7,50:15","dtypep":"(DD)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(AB)","loc":"e,9:8,9:9","dtypep":"(AB)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(M)","loc":"e,9:8,9:9","dtypep":"(M)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(Y)","loc":"e,9:8,9:9","dtypep":"(Y)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(CB)","loc":"e,9:8,9:9","dtypep":"(CB)","keyword":"VlTriggerVec","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LC)","loc":"e,26:22,26:25","dtypep":"(LC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WJ)","loc":"e,9:8,9:9","dtypep":"(WJ)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(AO)","loc":"e,31:16,31:17","dtypep":"(AO)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(ZU)","loc":"e,13:10,13:13","dtypep":"(ZU)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(IZ)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(JZ)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(IZ)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_dpi_var.pl b/test_regress/t/t_dpi_var.pl index 85b491082..d2c38c1d8 100755 --- a/test_regress/t/t_dpi_var.pl +++ b/test_regress/t/t_dpi_var.pl @@ -9,19 +9,19 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 scenarios(simulator => 1); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( make_top_shell => 0, make_main => 0, - verilator_flags2 => ["-DATTRIBUTES --exe --no-l2name $Self->{t_dir}/t_dpi_var.cpp"], + verilator_flags2 => ["--no-json-edit-nums", "-DATTRIBUTES --exe --no-l2name $Self->{t_dir}/t_dpi_var.cpp"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"formatted",.*"loc":"e,56:[^"]*",.*"origName":"formatted",.*"direction":"INPUT",.*"dtypeName":"string",.*"attrSFormat":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.sub.in",.*"loc":"e,77:[^"]*",.*"origName":"in",.*"dtypeName":"int",.*"isSigUserRdPublic":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.sub.fr_a",.*"loc":"e,78:[^"]*",.*"origName":"fr_a",.*"dtypeName":"int",.*"isSigUserRdPublic":true,.*"isSigUserRWPublic":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.sub.fr_b",.*"loc":"e,79:[^"]*",.*"origName":"fr_b",.*"dtypeName":"int",.*"isSigUserRdPublic":true,.*"isSigUserRWPublic":true/); } execute( diff --git a/test_regress/t/t_dpi_var_vlt.out b/test_regress/t/t_dpi_var_vlt.out new file mode 100644 index 000000000..07d5e9631 --- /dev/null +++ b/test_regress/t/t_dpi_var_vlt.out @@ -0,0 +1,1451 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"(E)","stdPackagep":"UNLINKED","evalp":"(F)","evalNbap":"(G)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(H)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(I)","loc":"f,9:8,9:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(J)","loc":"f,13:10,13:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(L)","loc":"f,9:8,9:9","dtypep":"(M)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(N)","loc":"f,9:8,9:9","dtypep":"(K)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(O)","loc":"f,9:8,9:9","dtypep":"(M)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(P)","loc":"f,15:12,15:15","dtypep":"(Q)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.in","addr":"(R)","loc":"f,19:12,19:14","dtypep":"(S)","origName":"in","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.fr_chk","addr":"(T)","loc":"f,22:12,22:18","dtypep":"(S)","origName":"fr_chk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.sub.fr_a","addr":"(U)","loc":"f,82:15,82:19","dtypep":"(S)","origName":"fr_a","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.sub.fr_b","addr":"(V)","loc":"f,83:15,83:19","dtypep":"(S)","origName":"fr_b","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.sub.in","addr":"(W)","loc":"f,81:14,81:16","dtypep":"(S)","origName":"in","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(X)","loc":"f,9:8,9:9","dtypep":"(Y)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(Z)","loc":"f,9:8,9:9","dtypep":"(AB)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(BB)","loc":"f,9:8,9:9","dtypep":"(CB)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(DB)","loc":"f,9:8,9:9","dtypep":"(CB)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"$unit","addr":"(EB)","loc":"a,0:0,0:0","origName":"__024unit","recursive":false,"modp":"(E)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCIMPHDR","name":"","addr":"(FB)","loc":"f,71:20,73:1","shortText":"..."}, + {"type":"SCIMPHDR","name":"","addr":"(GB)","loc":"f,73:1,74:1","shortText":" void mon_register_a(const char* namep, void* sigp, bool isOut);..."}, + {"type":"TOPSCOPE","name":"","addr":"(H)","loc":"f,9:8,9:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(HB)","loc":"f,9:8,9:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(I)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"mon_eval","addr":"(IB)","loc":"f,62:38,62:46","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":true,"dpiImportWrapper":false,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"mon_scope_name","addr":"(KB)","loc":"f,58:38,58:52","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":true,"dpiImportWrapper":false,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"formatted","addr":"(LB)","loc":"f,58:67,58:76","dtypep":"(MB)","origName":"formatted","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(NB)","loc":"f,58:67,58:76", + "varrefp": [ + {"type":"VARREF","name":"formatted","addr":"(OB)","loc":"f,58:67,58:76","dtypep":"(MB)","access":"WR","varp":"(LB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"mon_register_b","addr":"(PB)","loc":"f,60:38,60:52","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":true,"dpiImportWrapper":false,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"name","addr":"(QB)","loc":"f,60:60,60:64","dtypep":"(MB)","origName":"name","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(RB)","loc":"f,60:60,60:64", + "varrefp": [ + {"type":"VARREF","name":"name","addr":"(SB)","loc":"f,60:60,60:64","dtypep":"(MB)","access":"WR","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"isOut","addr":"(TB)","loc":"f,60:70,60:75","dtypep":"(S)","origName":"isOut","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(UB)","loc":"f,60:70,60:75", + "varrefp": [ + {"type":"VARREF","name":"isOut","addr":"(VB)","loc":"f,60:70,60:75","dtypep":"(S)","access":"WR","varp":"(TB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"mon_register_done","addr":"(WB)","loc":"f,61:38,61:55","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":true,"dpiImportWrapper":false,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_static","addr":"(XB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(YB)","loc":"f,9:8,9:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZB)","loc":"f,9:8,9:9","dtypep":"(AC)","funcName":"_eval_static__TOP","funcp":"(BC)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_static__TOP","addr":"(BC)","loc":"f,9:8,9:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(CC)","loc":"f,15:18,15:19","dtypep":"(Q)", + "rhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(DC)","loc":"f,15:18,15:19","dtypep":"(EC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(FC)","loc":"f,15:18,15:19","dtypep":"(Q)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(GC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(HC)","loc":"f,9:8,9:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IC)","loc":"f,9:8,9:9","dtypep":"(AC)","funcName":"_eval_initial__TOP","funcp":"(JC)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(KC)","loc":"f,26:22,26:25","dtypep":"(LC)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(MC)","loc":"f,26:22,26:25","dtypep":"(LC)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(NC)","loc":"f,26:22,26:25","dtypep":"(LC)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(JC)","loc":"f,9:8,9:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"UCSTMT","name":"","addr":"(OC)","loc":"f,91:7,91:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(PC)","loc":"f,91:10,91:41","shortText":"mon_class_name(this->name());"} + ]}, + {"type":"COMMENT","name":"Function: mon_scope_name","addr":"(QC)","loc":"f,92:7,92:21"}, + {"type":"STMTEXPR","name":"","addr":"(RC)","loc":"f,92:7,92:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(SC)","loc":"f,92:7,92:21","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_scope_name_TOP____024unit","funcp":"(TC)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(UC)","loc":"f,92:7,92:21","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(WC)","loc":"f,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(XC)","loc":"f,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(YC)","loc":"f,92:7,92:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(ZC)","loc":"f,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(AD)","loc":"f,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(BD)","loc":"f,92:7,92:21","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(CD)","loc":"f,92:7,92:21","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(ED)","loc":"f,92:7,92:21","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h5c","addr":"(FD)","loc":"f,92:7,92:21","dtypep":"(GD)"}, + {"type":"SFORMATF","name":"%m","addr":"(HD)","loc":"f,92:7,92:21","dtypep":"(MB)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(ID)","loc":"f,92:7,92:21","dtypep":"(VC)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(JD)","loc":"f,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(KD)","loc":"f,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(LD)","loc":"f,92:7,92:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(MD)","loc":"f,92:7,92:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(ND)","loc":"f,92:7,92:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(OD)","loc":"f,92:7,92:21","shortText":"__DOT__sub"} + ]} + ]} + ]} + ]}, + {"type":"UCSTMT","name":"","addr":"(PD)","loc":"f,94:7,94:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(QD)","loc":"f,94:10,94:36","shortText":"mon_register_a(\"in\", &"}, + {"type":"VARREF","name":"t.in","addr":"(RD)","loc":"f,94:38,94:40","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"TEXT","name":"","addr":"(SD)","loc":"f,94:42,94:53","shortText":", false);"} + ]}, + {"type":"UCSTMT","name":"","addr":"(TD)","loc":"f,95:7,95:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(UD)","loc":"f,95:10,95:38","shortText":"mon_register_a(\"fr_a\", &"}, + {"type":"VARREF","name":"t.sub.fr_a","addr":"(VD)","loc":"f,95:40,95:44","dtypep":"(S)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"TEXT","name":"","addr":"(WD)","loc":"f,95:46,95:56","shortText":", true);"} + ]}, + {"type":"COMMENT","name":"Function: mon_register_b","addr":"(XD)","loc":"f,97:7,97:21"}, + {"type":"STMTEXPR","name":"","addr":"(YD)","loc":"f,97:7,97:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZD)","loc":"f,97:7,97:21","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_register_b_TOP____024unit","funcp":"(AE)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(BE)","loc":"f,97:7,97:21","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(CE)","loc":"f,97:7,97:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(DE)","loc":"f,97:7,97:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(EE)","loc":"f,97:7,97:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(FE)","loc":"f,97:7,97:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(GE)","loc":"f,97:7,97:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(HE)","loc":"f,97:7,97:21","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(IE)","loc":"f,97:7,97:21","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JE)","loc":"f,97:7,97:21","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h61","addr":"(KE)","loc":"f,97:7,97:21","dtypep":"(GD)"}, + {"type":"CONST","name":"\\\"in\\\"","addr":"(LE)","loc":"f,97:22,97:26","dtypep":"(MB)"}, + {"type":"CONST","name":"32'sh0","addr":"(ME)","loc":"f,97:28,97:29","dtypep":"(EC)"} + ]} + ]}, + {"type":"COMMENT","name":"Function: mon_register_b","addr":"(NE)","loc":"f,98:7,98:21"}, + {"type":"STMTEXPR","name":"","addr":"(OE)","loc":"f,98:7,98:21", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PE)","loc":"f,98:7,98:21","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_register_b_TOP____024unit","funcp":"(AE)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(QE)","loc":"f,98:7,98:21","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(RE)","loc":"f,98:7,98:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(SE)","loc":"f,98:7,98:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(TE)","loc":"f,98:7,98:21","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(UE)","loc":"f,98:7,98:21","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(VE)","loc":"f,98:7,98:21","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(WE)","loc":"f,98:7,98:21","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(XE)","loc":"f,98:7,98:21","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(YE)","loc":"f,98:7,98:21","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h62","addr":"(ZE)","loc":"f,98:7,98:21","dtypep":"(GD)"}, + {"type":"CONST","name":"\\\"fr_b\\\"","addr":"(AF)","loc":"f,98:22,98:28","dtypep":"(MB)"}, + {"type":"CONST","name":"32'sh1","addr":"(BF)","loc":"f,98:30,98:31","dtypep":"(EC)"} + ]} + ]}, + {"type":"COMMENT","name":"Function: mon_register_done","addr":"(CF)","loc":"f,99:7,99:24"}, + {"type":"STMTEXPR","name":"","addr":"(DF)","loc":"f,99:7,99:24", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EF)","loc":"f,99:7,99:24","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_register_done_TOP____024unit","funcp":"(FF)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(GF)","loc":"f,99:7,99:24","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(HF)","loc":"f,99:7,99:24","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(IF)","loc":"f,99:7,99:24","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(JF)","loc":"f,99:7,99:24","shortText":"__DOT__sub"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(KF)","loc":"f,99:7,99:24","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(LF)","loc":"f,99:7,99:24","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(MF)","loc":"f,99:7,99:24","shortText":"__DOT__sub"} + ]}, + {"type":"CEXPR","name":"","addr":"(NF)","loc":"f,99:7,99:24","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OF)","loc":"f,99:7,99:24","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h63","addr":"(PF)","loc":"f,99:7,99:24","dtypep":"(GD)"} + ]} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(QF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(RF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(SF)","loc":"f,9:8,9:9","dtypep":"(Y)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(TF)","loc":"f,9:8,9:9","dtypep":"(M)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(UF)","loc":"f,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(VF)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(WF)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XF)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(YF)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(ZF)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(AG)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BG)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(CG)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(DG)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(EG)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(GG)","loc":"a,0:0,0:0","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(HG)","loc":"a,0:0,0:0","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(IG)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(JG)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(KG)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(LG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MG)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__stl","funcp":"(NG)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(OG)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(PG)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dpi_var.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(QG)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(RG)","loc":"f,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(SG)","loc":"f,9:8,9:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TG)","loc":"f,9:8,9:9","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(UG)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(VG)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"RD","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(WG)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(SF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XG)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(YG)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(ZG)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(AH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(BH)","loc":"a,0:0,0:0","dtypep":"(LC)","funcName":"_eval_phase__stl","funcp":"(CH)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(DH)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(EH)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(FH)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(TF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(GH)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(HH)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(IH)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(JH)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(KH)","loc":"f,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(LH)","loc":"f,9:8,9:9","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(MH)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(NH)","loc":"f,9:8,9:9","dtypep":"(GD)"}, + {"type":"CCAST","name":"","addr":"(OH)","loc":"f,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(PH)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(QH)","loc":"f,9:8,9:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RH)","loc":"f,9:8,9:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(SH)","loc":"f,9:8,9:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(TH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(UH)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__stl","funcp":"(NG)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(VH)","loc":"f,9:8,9:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(WH)","loc":"f,9:8,9:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(NG)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XH)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(YH)","loc":"f,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ZH)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(AI)","loc":"f,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BI)","loc":"f,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(CI)","loc":"f,9:8,9:9","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(DI)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(EI)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(FI)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(GI)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(HI)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(II)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(JI)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(KI)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LI)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_stl_sequent__TOP__0","addr":"(MI)","loc":"f,81:14,81:16","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNALIAS","name":"","addr":"(NI)","loc":"f,81:14,81:16","dtypep":"(S)", + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(OI)","loc":"f,23:8,23:11","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.sub.in","addr":"(PI)","loc":"f,81:14,81:16","dtypep":"(S)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(QI)","loc":"f,87:21,87:22","dtypep":"(S)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(RI)","loc":"f,87:26,87:27","dtypep":"(EC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SI)","loc":"f,87:28,87:29","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(TI)","loc":"f,87:28,87:29","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(UI)","loc":"f,19:12,19:14","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(VI)","loc":"f,22:12,22:18","dtypep":"(S)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(WI)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XI)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(YI)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(ZI)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(AJ)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(BJ)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(CJ)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(DJ)","loc":"f,81:14,81:16", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EJ)","loc":"f,81:14,81:16","dtypep":"(AC)","funcName":"_stl_sequent__TOP__0","funcp":"(MI)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(CH)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(FJ)","loc":"f,9:8,9:9","dtypep":"(M)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(GJ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HJ)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_triggers__stl","funcp":"(JH)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(IJ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JJ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(KJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(LJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"WR","varp":"(FJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(MJ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(NJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(FJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(OJ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PJ)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_stl","funcp":"(WI)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(QJ)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(RJ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(FJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(SJ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(TJ)","loc":"f,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(UJ)","loc":"f,9:8,9:9","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(VJ)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(XJ)","loc":"f,9:8,9:9","dtypep":"(GD)"}, + {"type":"AND","name":"","addr":"(YJ)","loc":"f,26:14,26:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZJ)","loc":"f,26:22,26:25","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(AK)","loc":"f,26:22,26:25","dtypep":"(LC)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(BK)","loc":"f,26:14,26:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CK)","loc":"f,26:14,26:21","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(DK)","loc":"f,26:14,26:21","dtypep":"(LC)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(EK)","loc":"f,9:8,9:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(FK)","loc":"f,9:8,9:9","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(GK)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h1","addr":"(HK)","loc":"f,9:8,9:9","dtypep":"(GD)"}, + {"type":"AND","name":"","addr":"(IK)","loc":"f,49:13,49:20","dtypep":"(LC)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(JK)","loc":"f,49:13,49:20","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KK)","loc":"f,13:10,13:13","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(LK)","loc":"f,13:10,13:13","dtypep":"(LC)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(MK)","loc":"f,49:13,49:20","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(NK)","loc":"f,49:13,49:20","dtypep":"(LC)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(OK)","loc":"f,26:22,26:25","dtypep":"(LC)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(PK)","loc":"f,26:22,26:25","dtypep":"(LC)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(QK)","loc":"f,26:22,26:25","dtypep":"(LC)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(RK)","loc":"f,9:8,9:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(SK)","loc":"f,9:8,9:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(TK)","loc":"f,9:8,9:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(UK)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VK)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__act","funcp":"(WK)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(XK)","loc":"f,9:8,9:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(YK)","loc":"f,9:8,9:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(WK)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ZK)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(AL)","loc":"f,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BL)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(CL)","loc":"f,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DL)","loc":"f,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(EL)","loc":"f,9:8,9:9","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FL)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(GL)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(HL)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(IL)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(JL)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(KL)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(LL)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(ML)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(NL)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(OL)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(PL)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(QL)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(RL)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SL)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TL)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(UL)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 1 is active: @(negedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(VL)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(WL)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(XL)","loc":"f,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(YL)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(ZL)","loc":"f,9:8,9:9","dtypep":"(LC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AM)","loc":"f,9:8,9:9","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(BM)","loc":"f,9:8,9:9","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CM)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(DM)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(EM)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(FM)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(GM)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(HM)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(IM)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(JM)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(KM)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(LM)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(MM)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(NM)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(OM)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PM)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(QM)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(RM)","loc":"f,9:8,9:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 1 is active: @(negedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(SM)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(TM)","loc":"f,50:7,50:15","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(UM)","loc":"f,50:7,50:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VM)","loc":"f,50:7,50:15","dtypep":"(AC)","funcName":"__Vdpiimwrap_mon_eval_TOP____024unit","funcp":"(WM)", + "argsp": [ + {"type":"SCOPENAME","name":"","addr":"(XM)","loc":"f,50:7,50:15","dtypep":"(VC)","dpiExport":false,"forFormat":false, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(YM)","loc":"f,50:7,50:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(ZM)","loc":"f,50:7,50:15","shortText":"__DOT__t"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(AN)","loc":"f,50:7,50:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(BN)","loc":"f,50:7,50:15","shortText":"__DOT__t"} + ]}, + {"type":"CEXPR","name":"","addr":"(CN)","loc":"f,50:7,50:15","dtypep":"(DD)", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(DN)","loc":"f,50:7,50:15","shortText":"\"t/t_dpi_var.v\""} + ]}, + {"type":"CONST","name":"32'h32","addr":"(EN)","loc":"f,50:7,50:15","dtypep":"(GD)"} + ]} + ]}, + {"type":"ALWAYSPUBLIC","name":"","addr":"(FN)","loc":"f,82:15,82:19","sensesp": [], + "stmtsp": [ + {"type":"VARREF","name":"t.sub.fr_a","addr":"(GN)","loc":"f,82:15,82:19","dtypep":"(S)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"ALWAYSPUBLIC","name":"","addr":"(HN)","loc":"f,83:15,83:19","sensesp": [], + "stmtsp": [ + {"type":"VARREF","name":"t.sub.fr_b","addr":"(IN)","loc":"f,83:15,83:19","dtypep":"(S)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__1","addr":"(JN)","loc":"f,30:7,30:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(KN)","loc":"f,15:12,15:15","dtypep":"(Q)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(LN)","loc":"f,15:12,15:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(MN)","loc":"f,15:12,15:15","dtypep":"(Q)","access":"WR","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(NN)","loc":"f,30:7,30:10","dtypep":"(Q)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(ON)","loc":"f,30:7,30:10","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(PN)","loc":"f,30:7,30:10","dtypep":"(Q)","access":"WR","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(QN)","loc":"f,30:11,30:13","dtypep":"(Q)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(RN)","loc":"f,30:18,30:19","dtypep":"(Q)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SN)","loc":"f,30:20,30:21","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(TN)","loc":"f,30:20,30:21","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(UN)","loc":"f,30:14,30:17","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(VN)","loc":"f,30:7,30:10","dtypep":"(Q)","access":"WR","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(WN)","loc":"f,31:10,31:12","dtypep":"(S)", + "rhsp": [ + {"type":"OR","name":"","addr":"(XN)","loc":"f,31:22,31:23","dtypep":"(GD)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(YN)","loc":"f,31:22,31:23","dtypep":"(GD)", + "lhsp": [ + {"type":"VARREF","name":"t.in","addr":"(ZN)","loc":"f,31:14,31:16","dtypep":"(AO)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BO)","loc":"f,31:22,31:23","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CO)","loc":"f,31:36,31:37","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(DO)","loc":"f,31:36,31:37","dtypep":"(LC)"} + ], + "rhsp": [ + {"type":"REDXOR","name":"","addr":"(EO)","loc":"f,31:24,31:26","dtypep":"(LC)", + "lhsp": [ + {"type":"AND","name":"","addr":"(FO)","loc":"f,31:24,31:26","dtypep":"(GD)", + "lhsp": [ + {"type":"CONST","name":"32'h80000005","addr":"(GO)","loc":"f,31:24,31:26","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(HO)","loc":"f,31:24,31:26","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.in","addr":"(IO)","loc":"f,31:7,31:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JO)","loc":"f,32:7,32:9", + "condp": [ + {"type":"EQ","name":"","addr":"(KO)","loc":"f,32:14,32:16","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(LO)","loc":"f,32:16,32:17","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(MO)","loc":"f,32:11,32:14","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(NO)","loc":"f,34:13,34:15","dtypep":"(S)", + "rhsp": [ + {"type":"CONST","name":"32'hd70a4497","addr":"(OO)","loc":"f,34:16,34:28","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.in","addr":"(PO)","loc":"f,34:10,34:12","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(QO)","loc":"f,36:12,36:14", + "condp": [ + {"type":"LTES","name":"","addr":"(RO)","loc":"f,36:19,36:20","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sh3","addr":"(SO)","loc":"f,36:20,36:21","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(TO)","loc":"f,36:16,36:19","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(UO)","loc":"f,38:12,38:14", + "condp": [ + {"type":"GTS","name":"","addr":"(VO)","loc":"f,38:19,38:20","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sha","addr":"(WO)","loc":"f,38:20,38:22","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(XO)","loc":"f,38:16,38:19","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(YO)","loc":"f,39:10,39:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(ZO)","loc":"f,39:21,39:23","dtypep":"(LC)", + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(AP)","loc":"f,39:14,39:20","dtypep":"(S)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sub.fr_a","addr":"(BP)","loc":"f,39:24,39:28","dtypep":"(S)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(CP)","loc":"f,39:30,39:35"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(DP)","loc":"f,40:10,40:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(EP)","loc":"f,40:21,40:23","dtypep":"(LC)", + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(FP)","loc":"f,40:14,40:20","dtypep":"(S)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sub.fr_b","addr":"(GP)","loc":"f,40:24,40:28","dtypep":"(S)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(HP)","loc":"f,40:30,40:35"} + ],"elsesp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(IP)","loc":"f,42:12,42:14", + "condp": [ + {"type":"EQ","name":"","addr":"(JP)","loc":"f,42:19,42:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'sha","addr":"(KP)","loc":"f,42:21,42:23","dtypep":"(EC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(LP)","loc":"f,42:16,42:19","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(MP)","loc":"f,43:10,43:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(NP)","loc":"f,43:10,43:16","dtypep":"(MB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(OP)","loc":"f,44:10,44:17"} + ],"elsesp": []} + ]} + ],"elsesp": []} + ]}, + {"type":"ASSIGNPOST","name":"","addr":"(PP)","loc":"f,30:7,30:10","dtypep":"(Q)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(QP)","loc":"f,30:7,30:10","dtypep":"(Q)","access":"RD","varp":"(KN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(RP)","loc":"f,30:7,30:10","dtypep":"(Q)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(SP)","loc":"f,81:14,81:16","dtypep":"(S)", + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(TP)","loc":"f,23:8,23:11","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.sub.in","addr":"(UP)","loc":"f,81:14,81:16","dtypep":"(S)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(VP)","loc":"f,87:21,87:22","dtypep":"(S)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(WP)","loc":"f,87:26,87:27","dtypep":"(EC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(XP)","loc":"f,87:28,87:29","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(YP)","loc":"f,87:28,87:29","dtypep":"(EC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.in","addr":"(ZP)","loc":"f,19:12,19:14","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(AQ)","loc":"f,22:12,22:18","dtypep":"(S)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(G)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(BQ)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(CQ)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(DQ)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(EQ)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(FQ)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(GQ)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(HQ)","loc":"f,50:7,50:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IQ)","loc":"f,50:7,50:15","dtypep":"(AC)","funcName":"_nba_sequent__TOP__0","funcp":"(TM)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JQ)","loc":"f,9:8,9:9", + "condp": [ + {"type":"AND","name":"","addr":"(KQ)","loc":"f,9:8,9:9","dtypep":"(DD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(LQ)","loc":"f,9:8,9:9","dtypep":"(DD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(MQ)","loc":"f,9:8,9:9","dtypep":"(VC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(NQ)","loc":"f,9:8,9:9","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(OQ)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(PQ)","loc":"f,30:7,30:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(QQ)","loc":"f,30:7,30:10","dtypep":"(AC)","funcName":"_nba_sequent__TOP__1","funcp":"(JN)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(RQ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(SQ)","loc":"f,9:8,9:9","dtypep":"(CB)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(TQ)","loc":"f,9:8,9:9","dtypep":"(M)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(UQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VQ)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_triggers__act","funcp":"(SJ)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(WQ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(XQ)","loc":"a,0:0,0:0","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(YQ)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(ZQ)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"WR","varp":"(TQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(AR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(BR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(TQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(CR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(DR)","loc":"a,0:0,0:0","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(ER)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"WR","varp":"(SQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(GR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(HR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(IR)","loc":"a,0:0,0:0","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(JR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(KR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(LR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MR)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_act","funcp":"(SM)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(NR)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(OR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(TQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(PR)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(QR)","loc":"f,9:8,9:9","dtypep":"(M)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(RR)","loc":"a,0:0,0:0","dtypep":"(LC)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(SR)","loc":"a,0:0,0:0","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(TR)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(UR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"WR","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(VR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(WR)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(XR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(YR)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_eval_nba","funcp":"(G)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(ZR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(AS)","loc":"a,0:0,0:0","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(BS)","loc":"a,0:0,0:0","dtypep":"(WJ)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(CS)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(DS)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(QR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(HB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(ES)","loc":"f,9:8,9:9","dtypep":"(Y)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(FS)","loc":"f,9:8,9:9","dtypep":"(M)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(GS)","loc":"f,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(HS)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(IS)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(JS)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(KS)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(LS)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(MS)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(NS)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(OS)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(PS)","loc":"a,0:0,0:0","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(QS)","loc":"a,0:0,0:0","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(RS)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(SS)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(TS)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(US)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VS)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__nba","funcp":"(VL)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(WS)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(XS)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dpi_var.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(YS)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(ZS)","loc":"f,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(AT)","loc":"f,9:8,9:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BT)","loc":"f,9:8,9:9","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(CT)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(DT)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"RD","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(ET)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(FT)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(GT)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(HT)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(IT)","loc":"f,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(JT)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(KT)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LT)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(MT)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(NT)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(OT)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(PT)","loc":"a,0:0,0:0","dtypep":"(LC)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QT)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(RT)","loc":"a,0:0,0:0","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ST)","loc":"a,0:0,0:0","dtypep":"(GD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(TT)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(UT)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(VT)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(WT)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XT)","loc":"a,0:0,0:0","dtypep":"(AC)","funcName":"_dump_triggers__act","funcp":"(WK)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(YT)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(ZT)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_dpi_var.v\", 9, \"\", "}, + {"type":"TEXT","name":"","addr":"(AU)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(BU)","loc":"f,9:8,9:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(CU)","loc":"f,9:8,9:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DU)","loc":"f,9:8,9:9","dtypep":"(GD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(EU)","loc":"f,9:8,9:9","dtypep":"(GD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(FU)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(GU)","loc":"f,9:8,9:9","dtypep":"(Y)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HU)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(IU)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(JU)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(KU)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(LU)","loc":"a,0:0,0:0","dtypep":"(LC)","funcName":"_eval_phase__act","funcp":"(RQ)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(MU)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(NU)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(OU)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(PU)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(QU)","loc":"a,0:0,0:0","dtypep":"(LC)","funcName":"_eval_phase__nba","funcp":"(PR)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(RU)","loc":"f,9:8,9:9","dtypep":"(LC)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(SU)","loc":"f,9:8,9:9","dtypep":"(LC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(TU)","loc":"f,9:8,9:9","dtypep":"(LC)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(UU)","loc":"f,9:8,9:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VU)","loc":"f,13:10,13:13", + "condp": [ + {"type":"AND","name":"","addr":"(WU)","loc":"f,13:10,13:13","dtypep":"(K)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(XU)","loc":"f,13:10,13:13","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(YU)","loc":"f,13:10,13:13","dtypep":"(ZU)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(AV)","loc":"f,13:10,13:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(BV)","loc":"f,13:10,13:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(CV)","loc":"f,9:8,9:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(DV)","loc":"f,13:10,13:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(EV)","loc":"f,13:10,13:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FV)","loc":"f,15:12,15:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(GV)","loc":"f,15:12,15:15","dtypep":"(Q)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HV)","loc":"f,19:12,19:14", + "varrefp": [ + {"type":"VARREF","name":"t.in","addr":"(IV)","loc":"f,19:12,19:14","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JV)","loc":"f,22:12,22:18", + "varrefp": [ + {"type":"VARREF","name":"t.fr_chk","addr":"(KV)","loc":"f,22:12,22:18","dtypep":"(S)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LV)","loc":"f,82:15,82:19", + "varrefp": [ + {"type":"VARREF","name":"t.sub.fr_a","addr":"(MV)","loc":"f,82:15,82:19","dtypep":"(S)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NV)","loc":"f,83:15,83:19", + "varrefp": [ + {"type":"VARREF","name":"t.sub.fr_b","addr":"(OV)","loc":"f,83:15,83:19","dtypep":"(S)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PV)","loc":"f,81:14,81:16", + "varrefp": [ + {"type":"VARREF","name":"t.sub.in","addr":"(QV)","loc":"f,81:14,81:16","dtypep":"(S)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RV)","loc":"f,9:8,9:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(SV)","loc":"f,9:8,9:9","dtypep":"(K)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"$unit","addr":"(TV)","loc":"a,0:0,0:0","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"$unit","addr":"(JB)","loc":"a,0:0,0:0","aboveScopep":"(HB)","aboveCellp":"(EB)","modp":"(E)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_scope_name_TOP__$unit","addr":"(TC)","loc":"f,58:38,58:52","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(UV)","loc":"f,58:38,58:52","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(WV)","loc":"f,58:38,58:52", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(XV)","loc":"f,58:38,58:52","dtypep":"(VV)","access":"WR","varp":"(UV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(YV)","loc":"f,58:38,58:52","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(AW)","loc":"f,58:38,58:52", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(BW)","loc":"f,58:38,58:52","dtypep":"(ZV)","access":"WR","varp":"(YV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(CW)","loc":"f,58:38,58:52","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(DW)","loc":"f,58:38,58:52", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(EW)","loc":"f,58:38,58:52","dtypep":"(S)","access":"WR","varp":"(CW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"formatted","addr":"(FW)","loc":"f,58:67,58:76","dtypep":"(MB)","origName":"formatted","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(GW)","loc":"f,58:67,58:76", + "varrefp": [ + {"type":"VARREF","name":"formatted","addr":"(HW)","loc":"f,58:67,58:76","dtypep":"(MB)","access":"WR","varp":"(FW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(IW)","loc":"f,58:67,58:76", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JW)","loc":"f,58:67,58:76","shortText":"const char* formatted__Vcvt;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(KW)","loc":"f,58:67,58:76", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(LW)","loc":"f,58:67,58:76","shortText":"for (size_t formatted__Vidx = 0; formatted__Vidx < 1; ++formatted__Vidx) formatted__Vcvt = formatted.c_str();..."} + ]}, + {"type":"CSTMT","name":"","addr":"(MW)","loc":"f,58:38,58:52", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(NW)","loc":"f,58:38,58:52","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(OW)","loc":"f,58:38,58:52", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PW)","loc":"f,58:38,58:52","dtypep":"(AC)","funcName":"mon_scope_name","funcp":"(KB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_register_b_TOP__$unit","addr":"(AE)","loc":"f,60:38,60:52","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(QW)","loc":"f,60:38,60:52","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(RW)","loc":"f,60:38,60:52", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(SW)","loc":"f,60:38,60:52","dtypep":"(VV)","access":"WR","varp":"(QW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(TW)","loc":"f,60:38,60:52","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(UW)","loc":"f,60:38,60:52", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(VW)","loc":"f,60:38,60:52","dtypep":"(ZV)","access":"WR","varp":"(TW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(WW)","loc":"f,60:38,60:52","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(XW)","loc":"f,60:38,60:52", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(YW)","loc":"f,60:38,60:52","dtypep":"(S)","access":"WR","varp":"(WW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"name","addr":"(ZW)","loc":"f,60:60,60:64","dtypep":"(MB)","origName":"name","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(AX)","loc":"f,60:60,60:64", + "varrefp": [ + {"type":"VARREF","name":"name","addr":"(BX)","loc":"f,60:60,60:64","dtypep":"(MB)","access":"WR","varp":"(ZW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"isOut","addr":"(CX)","loc":"f,60:70,60:75","dtypep":"(S)","origName":"isOut","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(DX)","loc":"f,60:70,60:75", + "varrefp": [ + {"type":"VARREF","name":"isOut","addr":"(EX)","loc":"f,60:70,60:75","dtypep":"(S)","access":"WR","varp":"(CX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(FX)","loc":"f,60:60,60:64", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(GX)","loc":"f,60:60,60:64","shortText":"const char* name__Vcvt;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(HX)","loc":"f,60:60,60:64", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(IX)","loc":"f,60:60,60:64","shortText":"for (size_t name__Vidx = 0; name__Vidx < 1; ++name__Vidx) name__Vcvt = name.c_str();..."} + ]}, + {"type":"CSTMT","name":"","addr":"(JX)","loc":"f,60:70,60:75", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(KX)","loc":"f,60:70,60:75","shortText":"int isOut__Vcvt;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(LX)","loc":"f,60:70,60:75", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(MX)","loc":"f,60:70,60:75","shortText":"for (size_t isOut__Vidx = 0; isOut__Vidx < 1; ++isOut__Vidx) isOut__Vcvt = isOut;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(NX)","loc":"f,60:38,60:52", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OX)","loc":"f,60:38,60:52","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(PX)","loc":"f,60:38,60:52", + "exprp": [ + {"type":"CCALL","name":"","addr":"(QX)","loc":"f,60:38,60:52","dtypep":"(AC)","funcName":"mon_register_b","funcp":"(PB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_register_done_TOP__$unit","addr":"(FF)","loc":"f,61:38,61:55","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(RX)","loc":"f,61:38,61:55","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(SX)","loc":"f,61:38,61:55", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(TX)","loc":"f,61:38,61:55","dtypep":"(VV)","access":"WR","varp":"(RX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(UX)","loc":"f,61:38,61:55","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(VX)","loc":"f,61:38,61:55", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(WX)","loc":"f,61:38,61:55","dtypep":"(ZV)","access":"WR","varp":"(UX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(XX)","loc":"f,61:38,61:55","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(YX)","loc":"f,61:38,61:55", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(ZX)","loc":"f,61:38,61:55","dtypep":"(S)","access":"WR","varp":"(XX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(AY)","loc":"f,61:38,61:55", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(BY)","loc":"f,61:38,61:55","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(CY)","loc":"f,61:38,61:55", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DY)","loc":"f,61:38,61:55","dtypep":"(AC)","funcName":"mon_register_done","funcp":"(WB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"__Vdpiimwrap_mon_eval_TOP__$unit","addr":"(WM)","loc":"f,62:38,62:46","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":true,"dpiContext":true,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(JB)", + "argsp": [ + {"type":"VAR","name":"__Vscopep","addr":"(EY)","loc":"f,62:38,62:46","dtypep":"(VV)","origName":"__Vscopep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(FY)","loc":"f,62:38,62:46", + "varrefp": [ + {"type":"VARREF","name":"__Vscopep","addr":"(GY)","loc":"f,62:38,62:46","dtypep":"(VV)","access":"WR","varp":"(EY)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vfilenamep","addr":"(HY)","loc":"f,62:38,62:46","dtypep":"(ZV)","origName":"__Vfilenamep","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(IY)","loc":"f,62:38,62:46", + "varrefp": [ + {"type":"VARREF","name":"__Vfilenamep","addr":"(JY)","loc":"f,62:38,62:46","dtypep":"(ZV)","access":"WR","varp":"(HY)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vlineno","addr":"(KY)","loc":"f,62:38,62:46","dtypep":"(S)","origName":"__Vlineno","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(LY)","loc":"f,62:38,62:46", + "varrefp": [ + {"type":"VARREF","name":"__Vlineno","addr":"(MY)","loc":"f,62:38,62:46","dtypep":"(S)","access":"WR","varp":"(KY)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"initsp": [], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(NY)","loc":"f,62:38,62:46", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(OY)","loc":"f,62:38,62:46","shortText":"Verilated::dpiContext(__Vscopep, __Vfilenamep, __Vlineno);..."} + ]}, + {"type":"STMTEXPR","name":"","addr":"(PY)","loc":"f,62:38,62:46", + "exprp": [ + {"type":"CCALL","name":"","addr":"(QY)","loc":"f,62:38,62:46","dtypep":"(AC)","funcName":"mon_eval","funcp":"(IB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(RY)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt__Syms.cpp","addr":"(SY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt__Syms.h","addr":"(TY)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt__Dpi.h","addr":"(UY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt__Dpi.cpp","addr":"(VY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt.h","addr":"(WY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt.cpp","addr":"(XY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$root.h","addr":"(YY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$unit.h","addr":"(ZY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$root__Slow.cpp","addr":"(AZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$root__DepSet_h0a8a96be__0__Slow.cpp","addr":"(BZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$root__DepSet_h81e6ecb0__0__Slow.cpp","addr":"(CZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$root__DepSet_h0a8a96be__0.cpp","addr":"(DZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$root__DepSet_h81e6ecb0__0.cpp","addr":"(EZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$unit__Slow.cpp","addr":"(FZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$unit__DepSet_h81468a10__0__Slow.cpp","addr":"(GZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_dpi_var_vlt/Vt_dpi_var_vlt_$unit__DepSet_h0a6aebde__0.cpp","addr":"(HZ)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(AC)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,50:22,50:24","dtypep":"(K)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(AC)","loc":"d,51:21,51:30","dtypep":"(AC)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(MB)","loc":"d,156:10,156:16","dtypep":"(MB)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(VC)","loc":"f,50:7,50:15","dtypep":"(VC)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(Q)","loc":"f,15:4,15:11","dtypep":"(Q)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"int","addr":"(S)","loc":"f,19:4,19:7","dtypep":"(S)","keyword":"int","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(EC)","loc":"f,15:18,15:19","dtypep":"(EC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GD)","loc":"f,31:17,31:19","dtypep":"(GD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VerilatedScope*","addr":"(VV)","loc":"f,62:38,62:46","dtypep":"(VV)","keyword":"VerilatedScope*","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"char*","addr":"(ZV)","loc":"f,62:38,62:46","dtypep":"(ZV)","keyword":"char*","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(DD)","loc":"f,50:7,50:15","dtypep":"(DD)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(AB)","loc":"f,9:8,9:9","dtypep":"(AB)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(M)","loc":"f,9:8,9:9","dtypep":"(M)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(Y)","loc":"f,9:8,9:9","dtypep":"(Y)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(CB)","loc":"f,9:8,9:9","dtypep":"(CB)","keyword":"VlTriggerVec","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LC)","loc":"f,26:22,26:25","dtypep":"(LC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WJ)","loc":"f,9:8,9:9","dtypep":"(WJ)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(AO)","loc":"f,31:16,31:17","dtypep":"(AO)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(ZU)","loc":"f,13:10,13:13","dtypep":"(ZU)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(IZ)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(JZ)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(IZ)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_dpi_var_vlt.pl b/test_regress/t/t_dpi_var_vlt.pl index bf71f4bf1..1962e20ba 100755 --- a/test_regress/t/t_dpi_var_vlt.pl +++ b/test_regress/t/t_dpi_var_vlt.pl @@ -11,19 +11,19 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_dpi_var.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( make_top_shell => 0, make_main => 0, - verilator_flags2 => ["--exe --no-l2name $Self->{t_dir}/t_dpi_var.vlt $Self->{t_dir}/t_dpi_var.cpp"], + verilator_flags2 => ["--no-json-edit-nums", "--exe --no-l2name $Self->{t_dir}/t_dpi_var.vlt $Self->{t_dir}/t_dpi_var.cpp"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"formatted","addr":"[^"]*","loc":"f,58:[^"]*",.*"origName":"formatted",.*"direction":"INPUT",.*"dtypeName":"string",.*"attrSFormat":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.sub.in","addr":"[^"]*","loc":"f,81:[^"]*",.*"origName":"in",.*"dtypeName":"int",.*"isSigUserRdPublic":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.sub.fr_a","addr":"[^"]*","loc":"f,82:[^"]*",.*"origName":"fr_a",.*"dtypeName":"int",.*"isSigUserRdPublic":true,.*"isSigUserRWPublic":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.sub.fr_b","addr":"[^"]*","loc":"f,83:[^"]*",.*"origName":"fr_b",.*"dtypeName":"int",.*"isSigUserRdPublic":true,.*"isSigUserRWPublic":true/); } execute( diff --git a/test_regress/t/t_flag_noop_bad.out b/test_regress/t/t_flag_noop_bad.out index 74b28bf7a..1a162234c 100644 --- a/test_regress/t/t_flag_noop_bad.out +++ b/test_regress/t/t_flag_noop_bad.out @@ -1 +1 @@ -%Error: verilator: Need --binary, --cc, --sc, --dpi-hdr-only, --lint-only, --xml-only or --E option +%Error: verilator: Need --binary, --cc, --sc, --dpi-hdr-only, --lint-only, --xml-only, --json-only or --E option diff --git a/test_regress/t/t_flag_only_bad.out b/test_regress/t/t_flag_only_bad.out index ae416db51..fd923b3da 100755 --- a/test_regress/t/t_flag_only_bad.out +++ b/test_regress/t/t_flag_only_bad.out @@ -1,2 +1,2 @@ -%Error: The following cannot be used together: --binary, -E, --dpi-hdr-only, --lint-only, --xml-only. Suggest see manual +%Error: The following cannot be used together: --binary, -E, --dpi-hdr-only, --lint-only, --xml-only, --json-only. Suggest see manual %Error: Exiting due to diff --git a/test_regress/t/t_flag_only_bad.pl b/test_regress/t/t_flag_only_bad.pl index c3650bf1e..aa2276bc0 100755 --- a/test_regress/t/t_flag_only_bad.pl +++ b/test_regress/t/t_flag_only_bad.pl @@ -12,7 +12,7 @@ scenarios(vlt => 1); top_filename("t/t_flag_main.v"); lint( - verilator_flags2 => ["--binary -E --dpi-hdr-only --lint-only --xml-only -Wall"], + verilator_flags2 => ["--binary -E --dpi-hdr-only --lint-only --xml-only --json-only -Wall"], fails => 1, expect_filename => $Self->{golden_filename}, ); diff --git a/test_regress/t/t_flag_only_bad2.out b/test_regress/t/t_flag_only_bad2.out index f67b538ce..c5e6130a7 100755 --- a/test_regress/t/t_flag_only_bad2.out +++ b/test_regress/t/t_flag_only_bad2.out @@ -1,2 +1,2 @@ -%Error: The following cannot be used together: --build, -E, --dpi-hdr-only, --lint-only, --xml-only. Suggest see manual +%Error: The following cannot be used together: --build, -E, --dpi-hdr-only, --lint-only, --xml-only, --json-only. Suggest see manual %Error: Exiting due to diff --git a/test_regress/t/t_flag_only_bad2.pl b/test_regress/t/t_flag_only_bad2.pl index 54cc461d0..0d33fbf71 100755 --- a/test_regress/t/t_flag_only_bad2.pl +++ b/test_regress/t/t_flag_only_bad2.pl @@ -12,7 +12,7 @@ scenarios(vlt => 1); top_filename("t/t_flag_main.v"); lint( - verilator_flags2 => ["--build -E -Wno-fatal --dpi-hdr-only --lint-only --xml-only"], + verilator_flags2 => ["--build -E -Wno-fatal --dpi-hdr-only --lint-only --xml-only --json-only"], fails => 1, expect_filename => $Self->{golden_filename}, ); diff --git a/test_regress/t/t_flag_only_bad3.out b/test_regress/t/t_flag_only_bad3.out index de3cf93a8..6c2eabca6 100755 --- a/test_regress/t/t_flag_only_bad3.out +++ b/test_regress/t/t_flag_only_bad3.out @@ -1,2 +1,2 @@ -%Error: The following cannot be used together: --dpi-hdr-only, --lint-only, --xml-only. Suggest see manual +%Error: The following cannot be used together: --dpi-hdr-only, --lint-only, --xml-only, --json-only. Suggest see manual %Error: Exiting due to diff --git a/test_regress/t/t_flag_only_bad3.pl b/test_regress/t/t_flag_only_bad3.pl index 68e326b8d..d01fc2b88 100755 --- a/test_regress/t/t_flag_only_bad3.pl +++ b/test_regress/t/t_flag_only_bad3.pl @@ -12,9 +12,7 @@ scenarios(vlt => 1); top_filename("t/t_flag_main.v"); lint( - verilator_make_cmake => 0, - verilator_make_gmake => 0, - verilator_flags2 => ["-Wall --lint-only -Wno-fatal --dpi-hdr-only --xml-only"], + verilator_flags2 => ["-Wall --lint-only -Wno-fatal --dpi-hdr-only --xml-only --json-only"], fails => 1, expect_filename => $Self->{golden_filename}, ); diff --git a/test_regress/t/t_func_dotted_inl0.out b/test_regress/t/t_func_dotted_inl0.out new file mode 100644 index 000000000..e0c5eb316 --- /dev/null +++ b/test_regress/t/t_func_dotted_inl0.out @@ -0,0 +1,750 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"(E)","stdPackagep":"UNLINKED","evalp":"(F)","evalNbap":"(G)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(H)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(I)","loc":"e,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(J)","loc":"e,22:10,22:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(L)","loc":"e,7:8,7:9","dtypep":"(K)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(M)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(O)","loc":"e,7:8,7:9","dtypep":"(P)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(Q)","loc":"e,7:8,7:9","dtypep":"(R)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(S)","loc":"e,7:8,7:9","dtypep":"(R)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"t","addr":"(T)","loc":"e,7:8,7:9","origName":"t","recursive":false,"modp":"(U)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(H)","loc":"e,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(V)","loc":"e,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(I)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(W)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(X)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(Y)","loc":"e,7:8,7:9","dtypep":"(Z)","funcName":"_eval_static__TOP__t","funcp":"(AB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(BB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(CB)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DB)","loc":"e,7:8,7:9","dtypep":"(Z)","funcName":"_eval_initial__TOP__t","funcp":"(EB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(FB)","loc":"e,87:12,87:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GB)","loc":"e,87:12,87:15","dtypep":"(Z)","funcName":"_eval_initial__TOP__t__ma0__mb0","funcp":"(HB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(IB)","loc":"e,103:15,103:18", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JB)","loc":"e,103:15,103:18","dtypep":"(Z)","funcName":"_eval_initial__TOP__t__ma0__mb0__mc0","funcp":"(KB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(LB)","loc":"e,104:15,104:18", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MB)","loc":"e,104:15,104:18","dtypep":"(Z)","funcName":"_eval_initial__TOP__t__ma0__mb0__mc1","funcp":"(NB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(OB)","loc":"e,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(QB)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(RB)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(SB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(TB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(UB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(VB)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(WB)","loc":"e,7:8,7:9","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XB)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(YB)","loc":"e,7:8,7:9","dtypep":"(ZB)"}, + {"type":"AND","name":"","addr":"(AC)","loc":"e,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BC)","loc":"e,27:22,27:25","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(CC)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(DC)","loc":"e,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EC)","loc":"e,27:14,27:21","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(FC)","loc":"e,27:14,27:21","dtypep":"(PB)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(GC)","loc":"e,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(HC)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(IC)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(JC)","loc":"e,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(KC)","loc":"e,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(LC)","loc":"e,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(MC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_dump_triggers__act","funcp":"(OC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(PC)","loc":"e,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(QC)","loc":"e,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(OC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(RC)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(SC)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(TC)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(UC)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VC)","loc":"e,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(WC)","loc":"e,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XC)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(YC)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ZC)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(AD)","loc":"e,7:8,7:9","dtypep":"(BD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(CD)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(DD)","loc":"e,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FD)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(GD)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(HD)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(ID)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(JD)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(KD)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(LD)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(MD)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ND)","loc":"e,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(OD)","loc":"e,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PD)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(QD)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(RD)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(SD)","loc":"e,7:8,7:9","dtypep":"(BD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(TD)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(UD)","loc":"e,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(VD)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(WD)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(XD)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(YD)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(G)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ZD)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(AE)","loc":"e,7:8,7:9","dtypep":"(BD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(BE)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(CE)","loc":"e,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(DE)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(EE)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(FE)","loc":"e,28:7,28:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GE)","loc":"e,28:7,28:10","dtypep":"(Z)","funcName":"_nba_sequent__TOP__t__0","funcp":"(HE)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(IE)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(JE)","loc":"e,7:8,7:9","dtypep":"(R)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(KE)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(LE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ME)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_eval_triggers__act","funcp":"(UB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(NE)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(OE)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(PE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(QE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(KE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(RE)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(SE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(KE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(TE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(UE)","loc":"a,0:0,0:0","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(VE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(JE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(WE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(XE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(YE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(ZE)","loc":"a,0:0,0:0","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(AF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(BF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(CF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DF)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_eval_act","funcp":"(YD)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(EF)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(FF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(KE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(GF)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(HF)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(IF)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JF)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(LF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(HF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(MF)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(NF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(OF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PF)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_eval_nba","funcp":"(G)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(QF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(RF)","loc":"a,0:0,0:0","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(SF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(TF)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(UF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(VF)","loc":"e,7:8,7:9","dtypep":"(P)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(WF)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(XF)","loc":"e,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(YF)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(ZF)","loc":"e,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(AG)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BG)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(CG)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(DG)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(EG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(GG)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(HG)","loc":"a,0:0,0:0","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(IG)","loc":"a,0:0,0:0","dtypep":"(P)","access":"RD","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(JG)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(KG)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(LG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MG)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_dump_triggers__nba","funcp":"(ID)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(NG)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(OG)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(PG)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(QG)","loc":"e,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(RG)","loc":"e,7:8,7:9","dtypep":"(P)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SG)","loc":"e,7:8,7:9","dtypep":"(ZB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(TG)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(UG)","loc":"e,7:8,7:9","dtypep":"(P)","access":"RD","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(VG)","loc":"e,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(WG)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(XG)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(YG)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZG)","loc":"e,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(AH)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(BH)","loc":"e,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(CH)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(DH)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(EH)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(FH)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(GH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(HH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(IH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(JH)","loc":"a,0:0,0:0","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(KH)","loc":"a,0:0,0:0","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(LH)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(MH)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(NH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(OH)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_dump_triggers__act","funcp":"(OC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(PH)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(QH)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(RH)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(SH)","loc":"e,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(TH)","loc":"e,7:8,7:9","dtypep":"(P)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UH)","loc":"e,7:8,7:9","dtypep":"(ZB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(VH)","loc":"e,7:8,7:9","dtypep":"(ZB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(WH)","loc":"e,7:8,7:9","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(XH)","loc":"e,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(YH)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(ZH)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(AI)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(BI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(CI)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__act","funcp":"(IE)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(DI)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(EI)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(FI)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(GI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(HI)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__nba","funcp":"(GF)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(II)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(JI)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(KI)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(LI)","loc":"e,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MI)","loc":"e,22:10,22:13", + "condp": [ + {"type":"AND","name":"","addr":"(NI)","loc":"e,22:10,22:13","dtypep":"(K)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(OI)","loc":"e,22:10,22:13","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(PI)","loc":"e,22:10,22:13","dtypep":"(QI)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(RI)","loc":"e,22:10,22:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(SI)","loc":"e,22:10,22:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(TI)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(UI)","loc":"e,22:10,22:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(VI)","loc":"e,22:10,22:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(WI)","loc":"e,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(XI)","loc":"e,7:8,7:9","dtypep":"(K)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"t","addr":"(YI)","loc":"e,7:8,7:9","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"t","addr":"(U)","loc":"e,7:8,7:9","origName":"t","level":2,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(ZI)","loc":"e,22:10,22:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"cyc","addr":"(AJ)","loc":"e,23:12,23:15","dtypep":"(BJ)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"ma0","addr":"(CJ)","loc":"e,14:7,14:10","origName":"ma0","recursive":false,"modp":"(DJ)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t","addr":"(EJ)","loc":"e,7:8,7:9","aboveScopep":"(V)","aboveCellp":"(T)","modp":"(U)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_static__TOP__t","addr":"(AB)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EJ)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(FJ)","loc":"e,23:16,23:17","dtypep":"(BJ)", + "rhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(GJ)","loc":"e,23:16,23:17","dtypep":"(HJ)"} + ], + "lhsp": [ + {"type":"VARREF","name":"cyc","addr":"(IJ)","loc":"e,23:16,23:17","dtypep":"(BJ)","access":"WR","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t","addr":"(EB)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EJ)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: f","addr":"(JJ)","loc":"e,16:25,16:26"}, + {"type":"DISPLAY","name":"","addr":"(KJ)","loc":"e,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(LJ)","loc":"e,154:7,154:15","dtypep":"(MJ)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(NJ)","loc":"e,154:7,154:15","dtypep":"(ED)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(OJ)","loc":"e,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(PJ)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(QJ)","loc":"e,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(RJ)","loc":"e,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(SJ)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []}, + {"type":"COMMENT","name":"Function: f","addr":"(TJ)","loc":"e,17:25,17:26"}, + {"type":"DISPLAY","name":"","addr":"(UJ)","loc":"e,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(VJ)","loc":"e,154:7,154:15","dtypep":"(MJ)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(WJ)","loc":"e,154:7,154:15","dtypep":"(ED)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(XJ)","loc":"e,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(YJ)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(ZJ)","loc":"e,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(AK)","loc":"e,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(BK)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t__0","addr":"(HE)","loc":"e,28:7,28:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EJ)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__cyc","addr":"(CK)","loc":"e,23:12,23:15","dtypep":"(BJ)","origName":"__Vdly__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(DK)","loc":"e,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(EK)","loc":"e,23:12,23:15","dtypep":"(BJ)","access":"WR","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(FK)","loc":"e,28:7,28:10","dtypep":"(BJ)", + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(GK)","loc":"e,28:7,28:10","dtypep":"(BJ)","access":"RD","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(HK)","loc":"e,28:7,28:10","dtypep":"(BJ)","access":"WR","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(IK)","loc":"e,28:11,28:13","dtypep":"(BJ)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(JK)","loc":"e,28:18,28:19","dtypep":"(BJ)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KK)","loc":"e,28:20,28:21","dtypep":"(ZB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(LK)","loc":"e,28:20,28:21","dtypep":"(HJ)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(MK)","loc":"e,28:14,28:17","dtypep":"(BJ)","access":"RD","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(NK)","loc":"e,28:7,28:10","dtypep":"(BJ)","access":"WR","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(OK)","loc":"e,48:7,48:9", + "condp": [ + {"type":"EQ","name":"","addr":"(PK)","loc":"e,48:14,48:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'sh9","addr":"(QK)","loc":"e,48:16,48:17","dtypep":"(HJ)"} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(RK)","loc":"e,48:11,48:14","dtypep":"(BJ)","access":"RD","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(SK)","loc":"e,49:10,49:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(TK)","loc":"e,49:10,49:16","dtypep":"(MJ)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(UK)","loc":"e,50:10,50:17"} + ],"elsesp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(VK)","loc":"e,28:7,28:10","dtypep":"(BJ)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(WK)","loc":"e,28:7,28:10","dtypep":"(BJ)","access":"RD","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"cyc","addr":"(XK)","loc":"e,28:7,28:10","dtypep":"(BJ)","access":"WR","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(YK)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(ZK)","loc":"e,22:10,22:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(AL)","loc":"e,22:10,22:13","dtypep":"(K)","access":"WR","varp":"(ZI)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BL)","loc":"e,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"cyc","addr":"(CL)","loc":"e,23:12,23:15","dtypep":"(BJ)","access":"WR","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"ma","addr":"(DL)","loc":"e,14:7,14:10","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"ma","addr":"(DJ)","loc":"e,84:8,84:10","origName":"ma","level":3,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CELL","name":"mb0","addr":"(EL)","loc":"e,87:12,87:15","origName":"mb0","recursive":false,"modp":"(FL)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.ma0","addr":"(GL)","loc":"e,14:7,14:10","aboveScopep":"(EJ)","aboveCellp":"(CJ)","modp":"(DJ)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(HL)","loc":"e,84:8,84:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CUSE","name":"mb","addr":"(IL)","loc":"e,87:12,87:15","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(JL)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mb","addr":"(FL)","loc":"e,99:8,99:10","origName":"mb","level":4,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CELL","name":"mc0","addr":"(KL)","loc":"e,103:15,103:18","origName":"mc0","recursive":false,"modp":"(LL)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"CELL","name":"mc1","addr":"(ML)","loc":"e,104:15,104:18","origName":"mc1","recursive":false,"modp":"(NL)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.ma0.mb0","addr":"(OL)","loc":"e,87:12,87:15","aboveScopep":"(GL)","aboveCellp":"(EL)","modp":"(FL)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t__ma0__mb0","addr":"(HB)","loc":"e,87:12,87:15","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(OL)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(PL)","loc":"e,118:11,118:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(QL)","loc":"e,119:8,119:17"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(RL)","loc":"e,120:11,120:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(SL)","loc":"e,121:11,121:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(TL)","loc":"e,121:26,121:33"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(UL)","loc":"e,122:8,122:17"}, + {"type":"COMMENT","name":"Function: getName","addr":"(VL)","loc":"e,122:23,122:30"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(WL)","loc":"e,123:11,123:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(XL)","loc":"e,123:26,123:33"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(YL)","loc":"e,99:8,99:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CUSE","name":"mc","addr":"(ZL)","loc":"e,103:15,103:18","useType":"INT_FWD"}, + {"type":"CUSE","name":"mc__PB1","addr":"(AM)","loc":"e,104:15,104:18","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"mc","addr":"(LL)","loc":"e,127:8,127:10","origName":"mc","level":5,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"t.ma0.mb0.mc0","addr":"(BM)","loc":"e,103:15,103:18","aboveScopep":"(OL)","aboveCellp":"(KL)","modp":"(LL)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t__ma0__mb0__mc0","addr":"(KB)","loc":"e,103:15,103:18","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BM)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(CM)","loc":"e,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(DM)","loc":"e,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(EM)","loc":"e,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(FM)","loc":"e,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(GM)","loc":"e,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(HM)","loc":"e,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(IM)","loc":"e,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(JM)","loc":"e,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(KM)","loc":"e,147:24,147:31"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(LM)","loc":"e,127:8,127:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mc__PB1","addr":"(NL)","loc":"e,127:8,127:10","origName":"mc","level":5,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"t.ma0.mb0.mc1","addr":"(MM)","loc":"e,104:15,104:18","aboveScopep":"(OL)","aboveCellp":"(ML)","modp":"(NL)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t__ma0__mb0__mc1","addr":"(NB)","loc":"e,104:15,104:18","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(MM)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(NM)","loc":"e,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(OM)","loc":"e,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(PM)","loc":"e,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(QM)","loc":"e,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(RM)","loc":"e,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(SM)","loc":"e,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(TM)","loc":"e,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(UM)","loc":"e,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(VM)","loc":"e,147:24,147:31"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(WM)","loc":"e,127:8,127:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0__Syms.cpp","addr":"(XM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0__Syms.h","addr":"(YM)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0__Dpi.h","addr":"(ZM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0__Dpi.cpp","addr":"(AN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0.h","addr":"(BN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0.cpp","addr":"(CN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$root.h","addr":"(DN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_t.h","addr":"(EN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_ma.h","addr":"(FN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$unit.h","addr":"(GN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mb.h","addr":"(HN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mc.h","addr":"(IN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mc__PB1.h","addr":"(JN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$root__Slow.cpp","addr":"(KN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$root__DepSet_h6215c190__0__Slow.cpp","addr":"(LN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$root__DepSet_h586f35ff__0__Slow.cpp","addr":"(MN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$root__DepSet_h6215c190__0.cpp","addr":"(NN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$root__DepSet_h586f35ff__0.cpp","addr":"(ON)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_t__Slow.cpp","addr":"(PN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_t__DepSet_h27c1b740__0__Slow.cpp","addr":"(QN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_t__DepSet_h9e22e7cf__0__Slow.cpp","addr":"(RN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_t__DepSet_h9e22e7cf__0.cpp","addr":"(SN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_ma__Slow.cpp","addr":"(TN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_ma__DepSet_hf93a4d29__0__Slow.cpp","addr":"(UN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$unit__Slow.cpp","addr":"(VN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_$unit__DepSet_ha3252cf0__0__Slow.cpp","addr":"(WN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mb__Slow.cpp","addr":"(XN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mb__DepSet_h3e326005__0__Slow.cpp","addr":"(YN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mc__Slow.cpp","addr":"(ZN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mc__DepSet_h399b0f83__0__Slow.cpp","addr":"(AO)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mc__PB1__Slow.cpp","addr":"(BO)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0/Vt_func_dotted_inl0_mc__PB1__DepSet_h6c06caa2__0__Slow.cpp","addr":"(CO)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(Z)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,50:22,50:24","dtypep":"(K)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(Z)","loc":"d,51:21,51:30","dtypep":"(Z)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(MJ)","loc":"d,156:10,156:16","dtypep":"(MJ)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(ED)","loc":"e,154:7,154:15","dtypep":"(ED)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(BJ)","loc":"e,23:4,23:11","dtypep":"(BJ)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(ZB)","loc":"e,25:20,25:27","dtypep":"(ZB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(HJ)","loc":"e,23:16,23:17","dtypep":"(HJ)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(R)","loc":"e,7:8,7:9","dtypep":"(R)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BD)","loc":"e,7:8,7:9","dtypep":"(BD)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(N)","loc":"e,7:8,7:9","dtypep":"(N)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(P)","loc":"e,7:8,7:9","dtypep":"(P)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"e,27:22,27:25","dtypep":"(PB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(QI)","loc":"e,22:10,22:13","dtypep":"(QI)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(DO)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(EO)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(DO)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_func_dotted_inl0.pl b/test_regress/t/t_func_dotted_inl0.pl index 62d4078a8..488f844c4 100755 --- a/test_regress/t/t_func_dotted_inl0.pl +++ b/test_regress/t/t_func_dotted_inl0.pl @@ -11,17 +11,17 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_func_dotted.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ['+define+ATTRIBUTES', '+define+NOUSE_INLINE',], + v_flags2 => ['--no-json-edit-nums', '+define+ATTRIBUTES', '+define+NOUSE_INLINE',], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"MODULE","name":"ma",.*"loc":"e,84:[^"]*","origName":"ma",.*,"modPublic":true/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"mb",.*"loc":"e,99:[^"]*","origName":"mb",.*"modPublic":true/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"mc","addr":"[^"]*","loc":"e,127:[^"]*","origName":"mc",.*"modPublic":true/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"mc__PB1","addr":"[^"]*","loc":"e,127:[^"]*","origName":"mc",.*"modPublic":true/); } execute( diff --git a/test_regress/t/t_func_dotted_inl0_vlt.out b/test_regress/t/t_func_dotted_inl0_vlt.out new file mode 100644 index 000000000..ab70bbffd --- /dev/null +++ b/test_regress/t/t_func_dotted_inl0_vlt.out @@ -0,0 +1,750 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"(E)","stdPackagep":"UNLINKED","evalp":"(F)","evalNbap":"(G)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(H)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(I)","loc":"f,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(J)","loc":"f,22:10,22:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(L)","loc":"f,7:8,7:9","dtypep":"(K)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(M)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(O)","loc":"f,7:8,7:9","dtypep":"(P)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(Q)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(S)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"t","addr":"(T)","loc":"f,7:8,7:9","origName":"t","recursive":false,"modp":"(U)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(H)","loc":"f,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(V)","loc":"f,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(I)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(W)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(X)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(Y)","loc":"f,7:8,7:9","dtypep":"(Z)","funcName":"_eval_static__TOP__t","funcp":"(AB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(BB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(CB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DB)","loc":"f,7:8,7:9","dtypep":"(Z)","funcName":"_eval_initial__TOP__t","funcp":"(EB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(FB)","loc":"f,87:12,87:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GB)","loc":"f,87:12,87:15","dtypep":"(Z)","funcName":"_eval_initial__TOP__t__ma0__mb0","funcp":"(HB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(IB)","loc":"f,103:15,103:18", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JB)","loc":"f,103:15,103:18","dtypep":"(Z)","funcName":"_eval_initial__TOP__t__ma0__mb0__mc0","funcp":"(KB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(LB)","loc":"f,104:15,104:18", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MB)","loc":"f,104:15,104:18","dtypep":"(Z)","funcName":"_eval_initial__TOP__t__ma0__mb0__mc1","funcp":"(NB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(OB)","loc":"f,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(QB)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(RB)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(SB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(TB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(UB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(VB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(WB)","loc":"f,7:8,7:9","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XB)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(YB)","loc":"f,7:8,7:9","dtypep":"(ZB)"}, + {"type":"AND","name":"","addr":"(AC)","loc":"f,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BC)","loc":"f,27:22,27:25","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(CC)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(DC)","loc":"f,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EC)","loc":"f,27:14,27:21","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(FC)","loc":"f,27:14,27:21","dtypep":"(PB)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(GC)","loc":"f,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(HC)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(IC)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(JC)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(KC)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(LC)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(MC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_dump_triggers__act","funcp":"(OC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(PC)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(QC)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(OC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(RC)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(SC)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(TC)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(UC)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VC)","loc":"f,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(WC)","loc":"f,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XC)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(YC)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ZC)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(AD)","loc":"f,7:8,7:9","dtypep":"(BD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(CD)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(DD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FD)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(GD)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(HD)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(ID)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(JD)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(KD)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(LD)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(MD)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ND)","loc":"f,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(OD)","loc":"f,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PD)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(QD)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(RD)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(SD)","loc":"f,7:8,7:9","dtypep":"(BD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(TD)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(UD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(VD)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(WD)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(XD)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(YD)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(G)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ZD)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(AE)","loc":"f,7:8,7:9","dtypep":"(BD)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(BE)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(CE)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(DE)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(EE)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(FE)","loc":"f,28:7,28:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GE)","loc":"f,28:7,28:10","dtypep":"(Z)","funcName":"_nba_sequent__TOP__t__0","funcp":"(HE)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(IE)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(JE)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(KE)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(LE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ME)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_eval_triggers__act","funcp":"(UB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(NE)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(OE)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(PE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(QE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(KE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(RE)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(SE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(KE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(TE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(UE)","loc":"a,0:0,0:0","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(VE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(JE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(WE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(XE)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(YE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(ZE)","loc":"a,0:0,0:0","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(AF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(BF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(CF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DF)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_eval_act","funcp":"(YD)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(EF)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(FF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(KE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(GF)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(HF)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(IF)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JF)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(LF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(HF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(MF)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(NF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(OF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PF)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_eval_nba","funcp":"(G)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(QF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(RF)","loc":"a,0:0,0:0","dtypep":"(Z)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(SF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(TF)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(UF)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(V)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(VF)","loc":"f,7:8,7:9","dtypep":"(P)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(WF)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(XF)","loc":"f,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(YF)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(ZF)","loc":"f,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(AG)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BG)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(CG)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(DG)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(EG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(GG)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(HG)","loc":"a,0:0,0:0","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(IG)","loc":"a,0:0,0:0","dtypep":"(P)","access":"RD","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(JG)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(KG)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(LG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MG)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_dump_triggers__nba","funcp":"(ID)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(NG)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(OG)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(PG)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(QG)","loc":"f,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(RG)","loc":"f,7:8,7:9","dtypep":"(P)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SG)","loc":"f,7:8,7:9","dtypep":"(ZB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(TG)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(UG)","loc":"f,7:8,7:9","dtypep":"(P)","access":"RD","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(VG)","loc":"f,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(VF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(WG)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(XG)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(YG)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZG)","loc":"f,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(AH)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(BH)","loc":"f,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(CH)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(DH)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(EH)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(FH)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(GH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(HH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(IH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(JH)","loc":"a,0:0,0:0","dtypep":"(ZB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(KH)","loc":"a,0:0,0:0","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(LH)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(MH)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(NH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(OH)","loc":"a,0:0,0:0","dtypep":"(Z)","funcName":"_dump_triggers__act","funcp":"(OC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(PH)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(QH)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(RH)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(SH)","loc":"f,7:8,7:9","dtypep":"(P)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(TH)","loc":"f,7:8,7:9","dtypep":"(P)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UH)","loc":"f,7:8,7:9","dtypep":"(ZB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(VH)","loc":"f,7:8,7:9","dtypep":"(ZB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(WH)","loc":"f,7:8,7:9","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(XH)","loc":"f,7:8,7:9","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(YH)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(ZH)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(AI)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(BI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(CI)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__act","funcp":"(IE)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(DI)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(EI)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(FI)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(GI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(HI)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__nba","funcp":"(GF)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(II)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(JI)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(KI)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(WF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(LI)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MI)","loc":"f,22:10,22:13", + "condp": [ + {"type":"AND","name":"","addr":"(NI)","loc":"f,22:10,22:13","dtypep":"(K)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(OI)","loc":"f,22:10,22:13","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(PI)","loc":"f,22:10,22:13","dtypep":"(QI)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(RI)","loc":"f,22:10,22:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(SI)","loc":"f,22:10,22:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(TI)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(UI)","loc":"f,22:10,22:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(VI)","loc":"f,22:10,22:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(WI)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(XI)","loc":"f,7:8,7:9","dtypep":"(K)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"t","addr":"(YI)","loc":"f,7:8,7:9","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"t","addr":"(U)","loc":"f,7:8,7:9","origName":"t","level":2,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(ZI)","loc":"f,22:10,22:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"cyc","addr":"(AJ)","loc":"f,23:12,23:15","dtypep":"(BJ)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"ma0","addr":"(CJ)","loc":"f,14:7,14:10","origName":"ma0","recursive":false,"modp":"(DJ)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t","addr":"(EJ)","loc":"f,7:8,7:9","aboveScopep":"(V)","aboveCellp":"(T)","modp":"(U)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_static__TOP__t","addr":"(AB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EJ)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(FJ)","loc":"f,23:16,23:17","dtypep":"(BJ)", + "rhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(GJ)","loc":"f,23:16,23:17","dtypep":"(HJ)"} + ], + "lhsp": [ + {"type":"VARREF","name":"cyc","addr":"(IJ)","loc":"f,23:16,23:17","dtypep":"(BJ)","access":"WR","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t","addr":"(EB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EJ)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: f","addr":"(JJ)","loc":"f,16:25,16:26"}, + {"type":"DISPLAY","name":"","addr":"(KJ)","loc":"f,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(LJ)","loc":"f,154:7,154:15","dtypep":"(MJ)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(NJ)","loc":"f,154:7,154:15","dtypep":"(ED)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(OJ)","loc":"f,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(PJ)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(QJ)","loc":"f,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(RJ)","loc":"f,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(SJ)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []}, + {"type":"COMMENT","name":"Function: f","addr":"(TJ)","loc":"f,17:25,17:26"}, + {"type":"DISPLAY","name":"","addr":"(UJ)","loc":"f,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(VJ)","loc":"f,154:7,154:15","dtypep":"(MJ)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(WJ)","loc":"f,154:7,154:15","dtypep":"(ED)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(XJ)","loc":"f,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(YJ)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(ZJ)","loc":"f,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(AK)","loc":"f,154:7,154:15","shortText":"__DOT__TOP.t.ma0"}, + {"type":"TEXT","name":"","addr":"(BK)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t__0","addr":"(HE)","loc":"f,28:7,28:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EJ)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__cyc","addr":"(CK)","loc":"f,23:12,23:15","dtypep":"(BJ)","origName":"__Vdly__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(DK)","loc":"f,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(EK)","loc":"f,23:12,23:15","dtypep":"(BJ)","access":"WR","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(FK)","loc":"f,28:7,28:10","dtypep":"(BJ)", + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(GK)","loc":"f,28:7,28:10","dtypep":"(BJ)","access":"RD","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(HK)","loc":"f,28:7,28:10","dtypep":"(BJ)","access":"WR","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(IK)","loc":"f,28:11,28:13","dtypep":"(BJ)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(JK)","loc":"f,28:18,28:19","dtypep":"(BJ)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KK)","loc":"f,28:20,28:21","dtypep":"(ZB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(LK)","loc":"f,28:20,28:21","dtypep":"(HJ)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(MK)","loc":"f,28:14,28:17","dtypep":"(BJ)","access":"RD","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(NK)","loc":"f,28:7,28:10","dtypep":"(BJ)","access":"WR","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(OK)","loc":"f,48:7,48:9", + "condp": [ + {"type":"EQ","name":"","addr":"(PK)","loc":"f,48:14,48:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'sh9","addr":"(QK)","loc":"f,48:16,48:17","dtypep":"(HJ)"} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(RK)","loc":"f,48:11,48:14","dtypep":"(BJ)","access":"RD","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(SK)","loc":"f,49:10,49:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(TK)","loc":"f,49:10,49:16","dtypep":"(MJ)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(UK)","loc":"f,50:10,50:17"} + ],"elsesp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(VK)","loc":"f,28:7,28:10","dtypep":"(BJ)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(WK)","loc":"f,28:7,28:10","dtypep":"(BJ)","access":"RD","varp":"(CK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"cyc","addr":"(XK)","loc":"f,28:7,28:10","dtypep":"(BJ)","access":"WR","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(YK)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(ZK)","loc":"f,22:10,22:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(AL)","loc":"f,22:10,22:13","dtypep":"(K)","access":"WR","varp":"(ZI)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BL)","loc":"f,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"cyc","addr":"(CL)","loc":"f,23:12,23:15","dtypep":"(BJ)","access":"WR","varp":"(AJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"ma","addr":"(DL)","loc":"f,14:7,14:10","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"ma","addr":"(DJ)","loc":"f,84:8,84:10","origName":"ma","level":3,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CELL","name":"mb0","addr":"(EL)","loc":"f,87:12,87:15","origName":"mb0","recursive":false,"modp":"(FL)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.ma0","addr":"(GL)","loc":"f,14:7,14:10","aboveScopep":"(EJ)","aboveCellp":"(CJ)","modp":"(DJ)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(HL)","loc":"f,84:8,84:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CUSE","name":"mb","addr":"(IL)","loc":"f,87:12,87:15","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(JL)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mb","addr":"(FL)","loc":"f,99:8,99:10","origName":"mb","level":4,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CELL","name":"mc0","addr":"(KL)","loc":"f,103:15,103:18","origName":"mc0","recursive":false,"modp":"(LL)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"CELL","name":"mc1","addr":"(ML)","loc":"f,104:15,104:18","origName":"mc1","recursive":false,"modp":"(NL)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.ma0.mb0","addr":"(OL)","loc":"f,87:12,87:15","aboveScopep":"(GL)","aboveCellp":"(EL)","modp":"(FL)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t__ma0__mb0","addr":"(HB)","loc":"f,87:12,87:15","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(OL)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(PL)","loc":"f,118:11,118:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(QL)","loc":"f,119:8,119:17"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(RL)","loc":"f,120:11,120:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(SL)","loc":"f,121:11,121:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(TL)","loc":"f,121:26,121:33"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(UL)","loc":"f,122:8,122:17"}, + {"type":"COMMENT","name":"Function: getName","addr":"(VL)","loc":"f,122:23,122:30"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(WL)","loc":"f,123:11,123:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(XL)","loc":"f,123:26,123:33"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(YL)","loc":"f,99:8,99:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CUSE","name":"mc","addr":"(ZL)","loc":"f,103:15,103:18","useType":"INT_FWD"}, + {"type":"CUSE","name":"mc__PB1","addr":"(AM)","loc":"f,104:15,104:18","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"mc","addr":"(LL)","loc":"f,127:8,127:10","origName":"mc","level":5,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"t.ma0.mb0.mc0","addr":"(BM)","loc":"f,103:15,103:18","aboveScopep":"(OL)","aboveCellp":"(KL)","modp":"(LL)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t__ma0__mb0__mc0","addr":"(KB)","loc":"f,103:15,103:18","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BM)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(CM)","loc":"f,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(DM)","loc":"f,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(EM)","loc":"f,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(FM)","loc":"f,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(GM)","loc":"f,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(HM)","loc":"f,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(IM)","loc":"f,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(JM)","loc":"f,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(KM)","loc":"f,147:24,147:31"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(LM)","loc":"f,127:8,127:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mc__PB1","addr":"(NL)","loc":"f,127:8,127:10","origName":"mc","level":5,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"t.ma0.mb0.mc1","addr":"(MM)","loc":"f,104:15,104:18","aboveScopep":"(OL)","aboveCellp":"(ML)","modp":"(NL)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t__ma0__mb0__mc1","addr":"(NB)","loc":"f,104:15,104:18","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(MM)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(NM)","loc":"f,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(OM)","loc":"f,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(PM)","loc":"f,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(QM)","loc":"f,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(RM)","loc":"f,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(SM)","loc":"f,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(TM)","loc":"f,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(UM)","loc":"f,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(VM)","loc":"f,147:24,147:31"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(WM)","loc":"f,127:8,127:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt__Syms.cpp","addr":"(XM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt__Syms.h","addr":"(YM)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt__Dpi.h","addr":"(ZM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt__Dpi.cpp","addr":"(AN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt.h","addr":"(BN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt.cpp","addr":"(CN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$root.h","addr":"(DN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_t.h","addr":"(EN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_ma.h","addr":"(FN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$unit.h","addr":"(GN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mb.h","addr":"(HN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mc.h","addr":"(IN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mc__PB1.h","addr":"(JN)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$root__Slow.cpp","addr":"(KN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$root__DepSet_h4b0b09a8__0__Slow.cpp","addr":"(LN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$root__DepSet_h40015385__0__Slow.cpp","addr":"(MN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$root__DepSet_h4b0b09a8__0.cpp","addr":"(NN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$root__DepSet_h40015385__0.cpp","addr":"(ON)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_t__Slow.cpp","addr":"(PN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_t__DepSet_h620a6b84__0__Slow.cpp","addr":"(QN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_t__DepSet_h6900b5a9__0__Slow.cpp","addr":"(RN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_t__DepSet_h6900b5a9__0.cpp","addr":"(SN)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_ma__Slow.cpp","addr":"(TN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_ma__DepSet_hcc56128e__0__Slow.cpp","addr":"(UN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$unit__Slow.cpp","addr":"(VN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_$unit__DepSet_hb06c9dab__0__Slow.cpp","addr":"(WN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mb__Slow.cpp","addr":"(XN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mb__DepSet_h1be3630b__0__Slow.cpp","addr":"(YN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mc__Slow.cpp","addr":"(ZN)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mc__DepSet_h7aa410d7__0__Slow.cpp","addr":"(AO)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mc__PB1__Slow.cpp","addr":"(BO)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl0_vlt/Vt_func_dotted_inl0_vlt_mc__PB1__DepSet_h1c393686__0__Slow.cpp","addr":"(CO)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(Z)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,50:22,50:24","dtypep":"(K)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(Z)","loc":"d,51:21,51:30","dtypep":"(Z)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(MJ)","loc":"d,156:10,156:16","dtypep":"(MJ)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(ED)","loc":"f,154:7,154:15","dtypep":"(ED)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(BJ)","loc":"f,23:4,23:11","dtypep":"(BJ)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(ZB)","loc":"f,25:20,25:27","dtypep":"(ZB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(HJ)","loc":"f,23:16,23:17","dtypep":"(HJ)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(R)","loc":"f,7:8,7:9","dtypep":"(R)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BD)","loc":"f,7:8,7:9","dtypep":"(BD)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(N)","loc":"f,7:8,7:9","dtypep":"(N)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(P)","loc":"f,7:8,7:9","dtypep":"(P)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"f,27:22,27:25","dtypep":"(PB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(QI)","loc":"f,22:10,22:13","dtypep":"(QI)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(DO)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(EO)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(DO)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_func_dotted_inl0_vlt.pl b/test_regress/t/t_func_dotted_inl0_vlt.pl index 403177acf..8818d3996 100755 --- a/test_regress/t/t_func_dotted_inl0_vlt.pl +++ b/test_regress/t/t_func_dotted_inl0_vlt.pl @@ -11,17 +11,17 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_func_dotted.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ["$Self->{t_dir}/t_func_dotted_inl0.vlt"], + v_flags2 => ["--no-json-edit-nums", "$Self->{t_dir}/t_func_dotted_inl0.vlt"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"MODULE","name":"ma",.*"loc":"f,84:[^"]*",.*"origName":"ma",.*"modPublic":true/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"mb",.*"loc":"f,99:[^"]*",.*"origName":"mb",.*"modPublic":true/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"mc",.*"loc":"f,127:[^"]*",.*"origName":"mc",.*"modPublic":true/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"mc__PB1",.*"loc":"f,127:[^"]*",.*"origName":"mc",.*"modPublic":true/); } execute( diff --git a/test_regress/t/t_func_dotted_inl1.pl b/test_regress/t/t_func_dotted_inl1.pl index 755d44d31..ca0ff2614 100755 --- a/test_regress/t/t_func_dotted_inl1.pl +++ b/test_regress/t/t_func_dotted_inl1.pl @@ -11,16 +11,16 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_func_dotted.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ['+define+ATTRIBUTES', '+define+USE_INLINE',], + v_flags2 => ["--no-json-edit-nums", '+define+ATTRIBUTES', '+define+USE_INLINE',], ); if ($Self->{vlt_all}) { - file_grep_not("$out_filename", qr/ma0/i); - file_grep_not("$out_filename", qr/mb0/i); - file_grep_not("$out_filename", qr/mc0/i); + file_grep_not("$out_filename", qr/"ma0"/i); + file_grep_not("$out_filename", qr/"mb0"/i); + file_grep_not("$out_filename", qr/"mc0"/i); } execute( diff --git a/test_regress/t/t_func_dotted_inl1_vlt.pl b/test_regress/t/t_func_dotted_inl1_vlt.pl index 731245f96..794527a9d 100755 --- a/test_regress/t/t_func_dotted_inl1_vlt.pl +++ b/test_regress/t/t_func_dotted_inl1_vlt.pl @@ -11,16 +11,16 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_func_dotted.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ["t/t_func_dotted_inl1.vlt",], + v_flags2 => ["--no-json-edit-nums", "t/t_func_dotted_inl1.vlt",], ); if ($Self->{vlt_all}) { - file_grep_not("$out_filename", qr/ma0/i); - file_grep_not("$out_filename", qr/mb0/i); - file_grep_not("$out_filename", qr/mc0/i); + file_grep_not("$out_filename", qr/"ma0"/i); + file_grep_not("$out_filename", qr/"mb0"/i); + file_grep_not("$out_filename", qr/"mc0"/i); } execute( diff --git a/test_regress/t/t_func_dotted_inl2.out b/test_regress/t/t_func_dotted_inl2.out new file mode 100644 index 000000000..17219d041 --- /dev/null +++ b/test_regress/t/t_func_dotted_inl2.out @@ -0,0 +1,693 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"(E)","stdPackagep":"UNLINKED","evalp":"(F)","evalNbap":"(G)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(H)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(I)","loc":"e,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(J)","loc":"e,22:10,22:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(L)","loc":"e,7:8,7:9","dtypep":"(K)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(M)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(O)","loc":"e,23:12,23:15","dtypep":"(P)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(Q)","loc":"e,7:8,7:9","dtypep":"(R)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(S)","loc":"e,7:8,7:9","dtypep":"(T)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(U)","loc":"e,7:8,7:9","dtypep":"(T)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"t.ma0.mb0","addr":"(V)","loc":"e,87:12,87:15","origName":"mb0","recursive":false,"modp":"(W)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(H)","loc":"e,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(X)","loc":"e,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(I)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(Y)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(Z)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AB)","loc":"e,7:8,7:9","dtypep":"(BB)","funcName":"_eval_static__TOP","funcp":"(CB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_static__TOP","addr":"(CB)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(DB)","loc":"e,23:16,23:17","dtypep":"(P)", + "rhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(EB)","loc":"e,23:16,23:17","dtypep":"(FB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(GB)","loc":"e,23:16,23:17","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(HB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(IB)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JB)","loc":"e,7:8,7:9","dtypep":"(BB)","funcName":"_eval_initial__TOP","funcp":"(KB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(LB)","loc":"e,87:12,87:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MB)","loc":"e,87:12,87:15","dtypep":"(BB)","funcName":"_eval_initial__TOP__t__DOT__ma0__DOT__mb0","funcp":"(NB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(OB)","loc":"e,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(QB)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(RB)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(KB)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: f","addr":"(SB)","loc":"e,16:25,16:26"}, + {"type":"DISPLAY","name":"","addr":"(TB)","loc":"e,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(UB)","loc":"e,154:7,154:15","dtypep":"(VB)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(WB)","loc":"e,154:7,154:15","dtypep":"(XB)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(YB)","loc":"e,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(ZB)","loc":"e,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(AC)","loc":"e,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(BC)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(CC)","loc":"e,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(DC)","loc":"e,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(EC)","loc":"e,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(FC)","loc":"e,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(GC)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []}, + {"type":"COMMENT","name":"Function: f","addr":"(HC)","loc":"e,17:25,17:26"}, + {"type":"DISPLAY","name":"","addr":"(IC)","loc":"e,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(JC)","loc":"e,154:7,154:15","dtypep":"(VB)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(KC)","loc":"e,154:7,154:15","dtypep":"(XB)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(LC)","loc":"e,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(MC)","loc":"e,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(NC)","loc":"e,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(OC)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(PC)","loc":"e,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(QC)","loc":"e,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(RC)","loc":"e,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(SC)","loc":"e,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(TC)","loc":"e,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(UC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(VC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(WC)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(XC)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(YC)","loc":"e,7:8,7:9","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(ZC)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(AD)","loc":"e,7:8,7:9","dtypep":"(BD)"}, + {"type":"AND","name":"","addr":"(CD)","loc":"e,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DD)","loc":"e,27:22,27:25","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(ED)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(FD)","loc":"e,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(GD)","loc":"e,27:14,27:21","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(HD)","loc":"e,27:14,27:21","dtypep":"(PB)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(ID)","loc":"e,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(JD)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(KD)","loc":"e,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(LD)","loc":"e,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(MD)","loc":"e,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(ND)","loc":"e,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(OD)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PD)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_dump_triggers__act","funcp":"(QD)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(RD)","loc":"e,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(SD)","loc":"e,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(QD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(TD)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(UD)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(VD)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(WD)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(XD)","loc":"e,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(YD)","loc":"e,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(ZD)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(AE)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(BE)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(CE)","loc":"e,7:8,7:9","dtypep":"(DE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(EE)","loc":"e,7:8,7:9","dtypep":"(DE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(FE)","loc":"e,7:8,7:9","dtypep":"(XB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(GE)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(HE)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(IE)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(JE)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(KE)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(LE)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ME)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(NE)","loc":"e,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(OE)","loc":"e,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(PE)","loc":"e,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QE)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(RE)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(SE)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(TE)","loc":"e,7:8,7:9","dtypep":"(DE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(UE)","loc":"e,7:8,7:9","dtypep":"(DE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(VE)","loc":"e,7:8,7:9","dtypep":"(XB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(WE)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(XE)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(YE)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(ZE)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(AF)","loc":"e,28:7,28:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(BF)","loc":"e,23:12,23:15","dtypep":"(P)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(CF)","loc":"e,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(DF)","loc":"e,23:12,23:15","dtypep":"(P)","access":"WR","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(EF)","loc":"e,28:7,28:10","dtypep":"(P)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(FF)","loc":"e,28:7,28:10","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(GF)","loc":"e,28:7,28:10","dtypep":"(P)","access":"WR","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(HF)","loc":"e,28:11,28:13","dtypep":"(P)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(IF)","loc":"e,28:18,28:19","dtypep":"(P)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JF)","loc":"e,28:20,28:21","dtypep":"(BD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(KF)","loc":"e,28:20,28:21","dtypep":"(FB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(LF)","loc":"e,28:14,28:17","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(MF)","loc":"e,28:7,28:10","dtypep":"(P)","access":"WR","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(NF)","loc":"e,48:7,48:9", + "condp": [ + {"type":"EQ","name":"","addr":"(OF)","loc":"e,48:14,48:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'sh9","addr":"(PF)","loc":"e,48:16,48:17","dtypep":"(FB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(QF)","loc":"e,48:11,48:14","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(RF)","loc":"e,49:10,49:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(SF)","loc":"e,49:10,49:16","dtypep":"(VB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(TF)","loc":"e,50:10,50:17"} + ],"elsesp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(UF)","loc":"e,28:7,28:10","dtypep":"(P)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(VF)","loc":"e,28:7,28:10","dtypep":"(P)","access":"RD","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(WF)","loc":"e,28:7,28:10","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(G)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XF)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(YF)","loc":"e,7:8,7:9","dtypep":"(DE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(ZF)","loc":"e,7:8,7:9","dtypep":"(DE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(AG)","loc":"e,7:8,7:9","dtypep":"(XB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(BG)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(CG)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(DG)","loc":"e,28:7,28:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EG)","loc":"e,28:7,28:10","dtypep":"(BB)","funcName":"_nba_sequent__TOP__0","funcp":"(AF)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(FG)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(GG)","loc":"e,7:8,7:9","dtypep":"(T)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(HG)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(IG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JG)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_eval_triggers__act","funcp":"(WC)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(KG)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(LG)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(MG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(NG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(HG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(OG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(PG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(QG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(RG)","loc":"a,0:0,0:0","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(SG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(GG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(TG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(UG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(VG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(WG)","loc":"a,0:0,0:0","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(XG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(YG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(ZG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AH)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_eval_act","funcp":"(ZE)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(BH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(CH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(DH)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(EH)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(FH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(IH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(EH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(KH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(EH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(LH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MH)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_eval_nba","funcp":"(G)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(NH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(OH)","loc":"a,0:0,0:0","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(QH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(RH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(EH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(SH)","loc":"e,7:8,7:9","dtypep":"(R)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(TH)","loc":"e,7:8,7:9","dtypep":"(N)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(UH)","loc":"e,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(VH)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(WH)","loc":"e,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XH)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(YH)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(ZH)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(AI)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(BI)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(CI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(DI)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(EI)","loc":"a,0:0,0:0","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(FI)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(GI)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(HI)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(II)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JI)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_dump_triggers__nba","funcp":"(JE)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(KI)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(LI)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(MI)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(NI)","loc":"e,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(OI)","loc":"e,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PI)","loc":"e,7:8,7:9","dtypep":"(BD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(QI)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(RI)","loc":"e,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(SI)","loc":"e,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TI)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(UI)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(VI)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(WI)","loc":"e,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(XI)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(YI)","loc":"e,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZI)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(AJ)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(BJ)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(CJ)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(DJ)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(EJ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(FJ)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(GJ)","loc":"a,0:0,0:0","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(HJ)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(IJ)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(JJ)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(KJ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(LJ)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_dump_triggers__act","funcp":"(QD)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(MJ)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(NJ)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(OJ)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(PJ)","loc":"e,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(QJ)","loc":"e,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RJ)","loc":"e,7:8,7:9","dtypep":"(BD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(SJ)","loc":"e,7:8,7:9","dtypep":"(BD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(TJ)","loc":"e,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(UJ)","loc":"e,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VJ)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(WJ)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(XJ)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(YJ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ZJ)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__act","funcp":"(FG)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(AK)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BK)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(CK)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(DK)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(EK)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__nba","funcp":"(DH)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(FK)","loc":"e,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(GK)","loc":"e,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(HK)","loc":"e,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(IK)","loc":"e,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(JK)","loc":"e,22:10,22:13", + "condp": [ + {"type":"AND","name":"","addr":"(KK)","loc":"e,22:10,22:13","dtypep":"(K)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(LK)","loc":"e,22:10,22:13","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(MK)","loc":"e,22:10,22:13","dtypep":"(NK)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(OK)","loc":"e,22:10,22:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(PK)","loc":"e,22:10,22:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(QK)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(RK)","loc":"e,22:10,22:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(SK)","loc":"e,22:10,22:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TK)","loc":"e,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(UK)","loc":"e,23:12,23:15","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VK)","loc":"e,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(WK)","loc":"e,7:8,7:9","dtypep":"(K)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"mb","addr":"(XK)","loc":"e,87:12,87:15","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(YK)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mb","addr":"(W)","loc":"e,99:8,99:10","origName":"mb","level":4,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"t.ma0.mb0","addr":"(ZK)","loc":"e,87:12,87:15","aboveScopep":"(X)","aboveCellp":"(V)","modp":"(W)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t.ma0.mb0","addr":"(NB)","loc":"e,87:12,87:15","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(ZK)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(AL)","loc":"e,118:11,118:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(BL)","loc":"e,119:8,119:17"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(CL)","loc":"e,120:11,120:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(DL)","loc":"e,121:11,121:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(EL)","loc":"e,121:26,121:33"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(FL)","loc":"e,122:8,122:17"}, + {"type":"COMMENT","name":"Function: getName","addr":"(GL)","loc":"e,122:23,122:30"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(HL)","loc":"e,123:11,123:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(IL)","loc":"e,123:26,123:33"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(JL)","loc":"e,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(KL)","loc":"e,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(LL)","loc":"e,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(ML)","loc":"e,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(NL)","loc":"e,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(OL)","loc":"e,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(PL)","loc":"e,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(QL)","loc":"e,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(RL)","loc":"e,147:24,147:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(SL)","loc":"e,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(TL)","loc":"e,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(UL)","loc":"e,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(VL)","loc":"e,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(WL)","loc":"e,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(XL)","loc":"e,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(YL)","loc":"e,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(ZL)","loc":"e,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(AM)","loc":"e,147:24,147:31"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(BM)","loc":"e,99:8,99:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2__Syms.cpp","addr":"(CM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2__Syms.h","addr":"(DM)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2.h","addr":"(EM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2.cpp","addr":"(FM)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$root.h","addr":"(GM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$unit.h","addr":"(HM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_mb.h","addr":"(IM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$root__Slow.cpp","addr":"(JM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$root__DepSet_hfe3fbcf1__0__Slow.cpp","addr":"(KM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$root__DepSet_hc7543dab__0__Slow.cpp","addr":"(LM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$root__DepSet_hfe3fbcf1__0.cpp","addr":"(MM)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$root__DepSet_hc7543dab__0.cpp","addr":"(NM)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$unit__Slow.cpp","addr":"(OM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_$unit__DepSet_hf1a5ce9e__0__Slow.cpp","addr":"(PM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_mb__Slow.cpp","addr":"(QM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2/Vt_func_dotted_inl2_mb__DepSet_h340bfa86__0__Slow.cpp","addr":"(RM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(BB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,50:22,50:24","dtypep":"(K)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(BB)","loc":"d,51:21,51:30","dtypep":"(BB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(VB)","loc":"d,156:10,156:16","dtypep":"(VB)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(XB)","loc":"e,154:7,154:15","dtypep":"(XB)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(P)","loc":"e,23:4,23:11","dtypep":"(P)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BD)","loc":"e,25:20,25:27","dtypep":"(BD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(FB)","loc":"e,23:16,23:17","dtypep":"(FB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(T)","loc":"e,7:8,7:9","dtypep":"(T)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(DE)","loc":"e,7:8,7:9","dtypep":"(DE)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(N)","loc":"e,7:8,7:9","dtypep":"(N)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(R)","loc":"e,7:8,7:9","dtypep":"(R)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"e,27:22,27:25","dtypep":"(PB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NK)","loc":"e,22:10,22:13","dtypep":"(NK)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(SM)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(TM)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(SM)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_func_dotted_inl2.pl b/test_regress/t/t_func_dotted_inl2.pl index 4e61437e7..f68e21290 100755 --- a/test_regress/t/t_func_dotted_inl2.pl +++ b/test_regress/t/t_func_dotted_inl2.pl @@ -11,15 +11,15 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_func_dotted.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ['+define+ATTRIBUTES', '+define+USE_INLINE_MID',], + v_flags2 => ["--no-json-edit-nums", '+define+ATTRIBUTES', '+define+USE_INLINE_MID',], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + my $modp = (file_grep("$out_filename", qr/{"type":"MODULE","name":"mb","addr":"([^"]*)","loc":"e,99:[^"]*",.*"origName":"mb"/))[0]; + file_grep("$out_filename", qr/{"type":"CELL","name":"t.ma0.mb0","addr":"[^"]*","loc":"e,87:[^"]*",.*"origName":"mb0",.*"modp":"([^"]*)"/, $modp); } execute( diff --git a/test_regress/t/t_func_dotted_inl2_vlt.out b/test_regress/t/t_func_dotted_inl2_vlt.out new file mode 100644 index 000000000..4ec54e2bf --- /dev/null +++ b/test_regress/t/t_func_dotted_inl2_vlt.out @@ -0,0 +1,693 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"(E)","stdPackagep":"UNLINKED","evalp":"(F)","evalNbap":"(G)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(H)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(I)","loc":"f,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(J)","loc":"f,22:10,22:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(L)","loc":"f,7:8,7:9","dtypep":"(K)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(M)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(O)","loc":"f,23:12,23:15","dtypep":"(P)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(Q)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(S)","loc":"f,7:8,7:9","dtypep":"(T)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(U)","loc":"f,7:8,7:9","dtypep":"(T)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"t.ma0.mb0","addr":"(V)","loc":"f,87:12,87:15","origName":"mb0","recursive":false,"modp":"(W)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(H)","loc":"f,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(X)","loc":"f,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(I)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(Y)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(Z)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AB)","loc":"f,7:8,7:9","dtypep":"(BB)","funcName":"_eval_static__TOP","funcp":"(CB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_static__TOP","addr":"(CB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(DB)","loc":"f,23:16,23:17","dtypep":"(P)", + "rhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(EB)","loc":"f,23:16,23:17","dtypep":"(FB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(GB)","loc":"f,23:16,23:17","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(HB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(IB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JB)","loc":"f,7:8,7:9","dtypep":"(BB)","funcName":"_eval_initial__TOP","funcp":"(KB)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(LB)","loc":"f,87:12,87:15", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MB)","loc":"f,87:12,87:15","dtypep":"(BB)","funcName":"_eval_initial__TOP__t__DOT__ma0__DOT__mb0","funcp":"(NB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(OB)","loc":"f,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(QB)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(RB)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(KB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: f","addr":"(SB)","loc":"f,16:25,16:26"}, + {"type":"DISPLAY","name":"","addr":"(TB)","loc":"f,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(UB)","loc":"f,154:7,154:15","dtypep":"(VB)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(WB)","loc":"f,154:7,154:15","dtypep":"(XB)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(YB)","loc":"f,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(ZB)","loc":"f,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(AC)","loc":"f,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(BC)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(CC)","loc":"f,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(DC)","loc":"f,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(EC)","loc":"f,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(FC)","loc":"f,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(GC)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []}, + {"type":"COMMENT","name":"Function: f","addr":"(HC)","loc":"f,17:25,17:26"}, + {"type":"DISPLAY","name":"","addr":"(IC)","loc":"f,154:7,154:15", + "fmtp": [ + {"type":"SFORMATF","name":"%m","addr":"(JC)","loc":"f,154:7,154:15","dtypep":"(VB)","exprsp": [], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(KC)","loc":"f,154:7,154:15","dtypep":"(XB)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(LC)","loc":"f,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(MC)","loc":"f,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(NC)","loc":"f,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(OC)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"}, + {"type":"TEXT","name":"","addr":"(PC)","loc":"f,154:7,154:15","shortText":"__DOT__f"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(QC)","loc":"f,154:7,154:15","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(RC)","loc":"f,154:7,154:15","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(SC)","loc":"f,154:7,154:15","shortText":"__DOT__ma0"}, + {"type":"TEXT","name":"","addr":"(TC)","loc":"f,154:7,154:15","shortText":"__DOT__u_b__BRA__0__KET__"} + ]} + ]} + ],"filep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(UC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(VC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(WC)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(XC)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(YC)","loc":"f,7:8,7:9","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(ZC)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(AD)","loc":"f,7:8,7:9","dtypep":"(BD)"}, + {"type":"AND","name":"","addr":"(CD)","loc":"f,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DD)","loc":"f,27:22,27:25","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(ED)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(FD)","loc":"f,27:14,27:21","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(GD)","loc":"f,27:14,27:21","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(HD)","loc":"f,27:14,27:21","dtypep":"(PB)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(ID)","loc":"f,27:22,27:25","dtypep":"(PB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(JD)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(KD)","loc":"f,27:22,27:25","dtypep":"(PB)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(LD)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(MD)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(ND)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(OD)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PD)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_dump_triggers__act","funcp":"(QD)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(RD)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(SD)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(QD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(TD)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(UD)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(VD)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(WD)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(XD)","loc":"f,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(YD)","loc":"f,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(ZD)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(AE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(BE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(CE)","loc":"f,7:8,7:9","dtypep":"(DE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(EE)","loc":"f,7:8,7:9","dtypep":"(DE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(FE)","loc":"f,7:8,7:9","dtypep":"(XB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(GE)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(HE)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(IE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(JE)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(KE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(LE)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ME)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(NE)","loc":"f,7:8,7:9","dtypep":"(PB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(OE)","loc":"f,7:8,7:9","dtypep":"(PB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(PE)","loc":"f,7:8,7:9","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QE)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(RE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(SE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(TE)","loc":"f,7:8,7:9","dtypep":"(DE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(UE)","loc":"f,7:8,7:9","dtypep":"(DE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(VE)","loc":"f,7:8,7:9","dtypep":"(XB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(WE)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(XE)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(YE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(ZE)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(AF)","loc":"f,28:7,28:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(BF)","loc":"f,23:12,23:15","dtypep":"(P)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(CF)","loc":"f,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(DF)","loc":"f,23:12,23:15","dtypep":"(P)","access":"WR","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(EF)","loc":"f,28:7,28:10","dtypep":"(P)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(FF)","loc":"f,28:7,28:10","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(GF)","loc":"f,28:7,28:10","dtypep":"(P)","access":"WR","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(HF)","loc":"f,28:11,28:13","dtypep":"(P)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(IF)","loc":"f,28:18,28:19","dtypep":"(P)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JF)","loc":"f,28:20,28:21","dtypep":"(BD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(KF)","loc":"f,28:20,28:21","dtypep":"(FB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(LF)","loc":"f,28:14,28:17","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(MF)","loc":"f,28:7,28:10","dtypep":"(P)","access":"WR","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(NF)","loc":"f,48:7,48:9", + "condp": [ + {"type":"EQ","name":"","addr":"(OF)","loc":"f,48:14,48:16","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'sh9","addr":"(PF)","loc":"f,48:16,48:17","dtypep":"(FB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(QF)","loc":"f,48:11,48:14","dtypep":"(P)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(RF)","loc":"f,49:10,49:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(SF)","loc":"f,49:10,49:16","dtypep":"(VB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(TF)","loc":"f,50:10,50:17"} + ],"elsesp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(UF)","loc":"f,28:7,28:10","dtypep":"(P)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(VF)","loc":"f,28:7,28:10","dtypep":"(P)","access":"RD","varp":"(BF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(WF)","loc":"f,28:7,28:10","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(G)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XF)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(YF)","loc":"f,7:8,7:9","dtypep":"(DE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(ZF)","loc":"f,7:8,7:9","dtypep":"(DE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(AG)","loc":"f,7:8,7:9","dtypep":"(XB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(BG)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(CG)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(DG)","loc":"f,28:7,28:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EG)","loc":"f,28:7,28:10","dtypep":"(BB)","funcName":"_nba_sequent__TOP__0","funcp":"(AF)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(FG)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(GG)","loc":"f,7:8,7:9","dtypep":"(T)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(HG)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(IG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JG)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_eval_triggers__act","funcp":"(WC)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(KG)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(LG)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(MG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(NG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(HG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(OG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(PG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(QG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(RG)","loc":"a,0:0,0:0","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(SG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(GG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(TG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(UG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(VG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(WG)","loc":"a,0:0,0:0","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(XG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(YG)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(ZG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AH)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_eval_act","funcp":"(ZE)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(BH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(CH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(HG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(DH)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(EH)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(FH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GH)","loc":"a,0:0,0:0","dtypep":"(PB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(IH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(EH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(KH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(EH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(LH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MH)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_eval_nba","funcp":"(G)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(NH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(OH)","loc":"a,0:0,0:0","dtypep":"(BB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(QH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(RH)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(EH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(X)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(SH)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(TH)","loc":"f,7:8,7:9","dtypep":"(N)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(UH)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(VH)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(WH)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XH)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(YH)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(ZH)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(AI)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(BI)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(CI)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(DI)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(EI)","loc":"a,0:0,0:0","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(FI)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(GI)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(HI)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(II)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JI)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_dump_triggers__nba","funcp":"(JE)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(KI)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(LI)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(MI)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(NI)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(OI)","loc":"f,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PI)","loc":"f,7:8,7:9","dtypep":"(BD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(QI)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(RI)","loc":"f,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(SI)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(SH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TI)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(UI)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(VI)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(WI)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(XI)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(YI)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZI)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(AJ)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(BJ)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(CJ)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(DJ)","loc":"a,0:0,0:0","dtypep":"(PB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(EJ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(FJ)","loc":"a,0:0,0:0","dtypep":"(PB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(GJ)","loc":"a,0:0,0:0","dtypep":"(BD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(HJ)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(IJ)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(JJ)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(KJ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(LJ)","loc":"a,0:0,0:0","dtypep":"(BB)","funcName":"_dump_triggers__act","funcp":"(QD)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(MJ)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(NJ)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_func_dotted.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(OJ)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(PJ)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(QJ)","loc":"f,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RJ)","loc":"f,7:8,7:9","dtypep":"(BD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(SJ)","loc":"f,7:8,7:9","dtypep":"(BD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(TJ)","loc":"f,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(UJ)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VJ)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(WJ)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(XJ)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(YJ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ZJ)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__act","funcp":"(FG)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(AK)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BK)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(CK)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(DK)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(EK)","loc":"a,0:0,0:0","dtypep":"(PB)","funcName":"_eval_phase__nba","funcp":"(DH)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(FK)","loc":"f,7:8,7:9","dtypep":"(PB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(GK)","loc":"f,7:8,7:9","dtypep":"(PB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(HK)","loc":"f,7:8,7:9","dtypep":"(PB)","access":"WR","varp":"(TH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(IK)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(JK)","loc":"f,22:10,22:13", + "condp": [ + {"type":"AND","name":"","addr":"(KK)","loc":"f,22:10,22:13","dtypep":"(K)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(LK)","loc":"f,22:10,22:13","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(MK)","loc":"f,22:10,22:13","dtypep":"(NK)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(OK)","loc":"f,22:10,22:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(PK)","loc":"f,22:10,22:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(QK)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(RK)","loc":"f,22:10,22:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(SK)","loc":"f,22:10,22:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TK)","loc":"f,23:12,23:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(UK)","loc":"f,23:12,23:15","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VK)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(WK)","loc":"f,7:8,7:9","dtypep":"(K)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"mb","addr":"(XK)","loc":"f,87:12,87:15","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(YK)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mb","addr":"(W)","loc":"f,99:8,99:10","origName":"mb","level":4,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"t.ma0.mb0","addr":"(ZK)","loc":"f,87:12,87:15","aboveScopep":"(X)","aboveCellp":"(V)","modp":"(W)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t.ma0.mb0","addr":"(NB)","loc":"f,87:12,87:15","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(ZK)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: checkName","addr":"(AL)","loc":"f,118:11,118:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(BL)","loc":"f,119:8,119:17"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(CL)","loc":"f,120:11,120:20"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(DL)","loc":"f,121:11,121:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(EL)","loc":"f,121:26,121:33"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(FL)","loc":"f,122:8,122:17"}, + {"type":"COMMENT","name":"Function: getName","addr":"(GL)","loc":"f,122:23,122:30"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(HL)","loc":"f,123:11,123:20"}, + {"type":"COMMENT","name":"Function: getName","addr":"(IL)","loc":"f,123:26,123:33"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(JL)","loc":"f,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(KL)","loc":"f,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(LL)","loc":"f,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(ML)","loc":"f,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(NL)","loc":"f,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(OL)","loc":"f,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(PL)","loc":"f,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(QL)","loc":"f,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(RL)","loc":"f,147:24,147:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(SL)","loc":"f,142:10,142:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(TL)","loc":"f,143:10,143:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(UL)","loc":"f,144:10,144:19"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(VL)","loc":"f,145:10,145:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(WL)","loc":"f,145:24,145:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(XL)","loc":"f,146:10,146:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(YL)","loc":"f,146:24,146:31"}, + {"type":"COMMENT","name":"Function: checkName","addr":"(ZL)","loc":"f,147:10,147:19"}, + {"type":"COMMENT","name":"Function: getName","addr":"(AM)","loc":"f,147:24,147:31"} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(BM)","loc":"f,99:8,99:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt__Syms.cpp","addr":"(CM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt__Syms.h","addr":"(DM)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt.h","addr":"(EM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt.cpp","addr":"(FM)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$root.h","addr":"(GM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$unit.h","addr":"(HM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_mb.h","addr":"(IM)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$root__Slow.cpp","addr":"(JM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$root__DepSet_h5b2a2806__0__Slow.cpp","addr":"(KM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$root__DepSet_h2b0cebbf__0__Slow.cpp","addr":"(LM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$root__DepSet_h5b2a2806__0.cpp","addr":"(MM)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$root__DepSet_h2b0cebbf__0.cpp","addr":"(NM)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$unit__Slow.cpp","addr":"(OM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_$unit__DepSet_h65aefc73__0__Slow.cpp","addr":"(PM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_mb__Slow.cpp","addr":"(QM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_func_dotted_inl2_vlt/Vt_func_dotted_inl2_vlt_mb__DepSet_h81fa91ac__0__Slow.cpp","addr":"(RM)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(BB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,50:22,50:24","dtypep":"(K)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(BB)","loc":"d,51:21,51:30","dtypep":"(BB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(VB)","loc":"d,156:10,156:16","dtypep":"(VB)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(XB)","loc":"f,154:7,154:15","dtypep":"(XB)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(P)","loc":"f,23:4,23:11","dtypep":"(P)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BD)","loc":"f,25:20,25:27","dtypep":"(BD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(FB)","loc":"f,23:16,23:17","dtypep":"(FB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(T)","loc":"f,7:8,7:9","dtypep":"(T)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(DE)","loc":"f,7:8,7:9","dtypep":"(DE)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(N)","loc":"f,7:8,7:9","dtypep":"(N)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(R)","loc":"f,7:8,7:9","dtypep":"(R)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"f,27:22,27:25","dtypep":"(PB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NK)","loc":"f,22:10,22:13","dtypep":"(NK)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(SM)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(TM)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(SM)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_func_dotted_inl2_vlt.pl b/test_regress/t/t_func_dotted_inl2_vlt.pl index 2d58fd95d..10d0a48cd 100755 --- a/test_regress/t/t_func_dotted_inl2_vlt.pl +++ b/test_regress/t/t_func_dotted_inl2_vlt.pl @@ -11,15 +11,15 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_func_dotted.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ["t/t_func_dotted_inl2.vlt",], + v_flags2 => ["--no-json-edit-nums", "t/t_func_dotted_inl2.vlt",], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + my $modp = (file_grep("$out_filename", qr/{"type":"MODULE","name":"mb","addr":"([^"]*)","loc":"f,99:[^"]*",.*"origName":"mb"/))[0]; + file_grep("$out_filename", qr/{"type":"CELL","name":"t.ma0.mb0","addr":"[^"]*","loc":"f,87:[^"]*",.*"origName":"mb0",.*"modp":"([^"]*)"/, $modp); } execute( diff --git a/test_regress/t/t_inst_tree_inl0_pub0.out b/test_regress/t/t_inst_tree_inl0_pub0.out new file mode 100644 index 000000000..4e6f952cd --- /dev/null +++ b/test_regress/t/t_inst_tree_inl0_pub0.out @@ -0,0 +1,1456 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"f,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(I)","loc":"f,12:10,12:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.printclk","addr":"(K)","loc":"f,16:12,16:20","dtypep":"(J)","origName":"printclk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.a","addr":"(L)","loc":"f,20:14,20:15","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(N)","loc":"f,7:8,7:9","dtypep":"(O)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(P)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(Q)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__t__DOT__printclk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(R)","loc":"f,7:8,7:9","dtypep":"(O)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(S)","loc":"f,13:12,13:15","dtypep":"(T)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(U)","loc":"f,7:8,7:9","dtypep":"(V)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(W)","loc":"f,7:8,7:9","dtypep":"(X)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(Y)","loc":"f,7:8,7:9","dtypep":"(Z)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(AB)","loc":"f,7:8,7:9","dtypep":"(Z)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"t.u","addr":"(BB)","loc":"f,23:7,23:8","origName":"u","recursive":false,"modp":"(CB)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"f,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(DB)","loc":"f,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(EB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(FB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(GB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HB)","loc":"f,7:8,7:9","dtypep":"(IB)","funcName":"_eval_initial__TOP","funcp":"(JB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(KB)","loc":"f,25:22,25:25","dtypep":"(LB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(MB)","loc":"f,25:22,25:25","dtypep":"(LB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(NB)","loc":"f,25:22,25:25","dtypep":"(LB)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(OB)","loc":"f,53:22,53:30","dtypep":"(LB)", + "rhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(PB)","loc":"f,53:22,53:30","dtypep":"(LB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(QB)","loc":"f,53:22,53:30","dtypep":"(LB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(JB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(RB)","loc":"f,13:28,13:29","dtypep":"(T)", + "rhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(SB)","loc":"f,13:29,13:30","dtypep":"(TB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(UB)","loc":"f,13:25,13:28","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(VB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(WB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(XB)","loc":"f,7:8,7:9","dtypep":"(V)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(YB)","loc":"f,7:8,7:9","dtypep":"(O)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(ZB)","loc":"f,7:8,7:9","dtypep":"(V)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(AC)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(CC)","loc":"f,7:8,7:9","dtypep":"(V)","access":"WR","varp":"(XB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(DC)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(EC)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(FC)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(GC)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(HC)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(IC)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(JC)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(KC)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(LC)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(MC)","loc":"a,0:0,0:0","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(OC)","loc":"a,0:0,0:0","dtypep":"(V)","access":"RD","varp":"(XB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(PC)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(QC)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(RC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(SC)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_dump_triggers__stl","funcp":"(TC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(UC)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(VC)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(WC)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(XC)","loc":"f,7:8,7:9","dtypep":"(V)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(YC)","loc":"f,7:8,7:9","dtypep":"(V)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZC)","loc":"f,7:8,7:9","dtypep":"(BC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AD)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(BD)","loc":"f,7:8,7:9","dtypep":"(V)","access":"RD","varp":"(XB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(CD)","loc":"f,7:8,7:9","dtypep":"(V)","access":"WR","varp":"(XB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(DD)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(ED)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(FD)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(GD)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(HD)","loc":"a,0:0,0:0","dtypep":"(LB)","funcName":"_eval_phase__stl","funcp":"(ID)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(JD)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(KD)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(LD)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(MD)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(ND)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(OD)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(PD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(QD)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(RD)","loc":"f,7:8,7:9","dtypep":"(IB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(SD)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TD)","loc":"f,7:8,7:9","dtypep":"(BC)"}, + {"type":"CCAST","name":"","addr":"(UD)","loc":"f,7:8,7:9","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(VD)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(WD)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(XD)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(YD)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(ZD)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AE)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_dump_triggers__stl","funcp":"(TC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(BE)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(CE)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(TC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(DE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(EE)","loc":"f,7:8,7:9","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(FE)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(GE)","loc":"f,7:8,7:9","dtypep":"(LB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HE)","loc":"f,7:8,7:9","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(IE)","loc":"f,7:8,7:9","dtypep":"(LB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(JE)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(KE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(LE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(ME)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(OE)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(PE)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(RE)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(SE)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(TE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(UE)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(WE)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(XE)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(YE)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(ZE)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(AF)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(BF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u0__0","funcp":"(DF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(EF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(HF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(JF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(KF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u1__u1__0","funcp":"(LF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(MF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u0__0","funcp":"(DF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(OF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(QF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(RF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(SF)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TF)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u1__u1__0","funcp":"(LF)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(ID)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(UF)","loc":"f,7:8,7:9","dtypep":"(O)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(VF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(WF)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_eval_triggers__stl","funcp":"(PD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(XF)","loc":"a,0:0,0:0","dtypep":"(LB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(YF)","loc":"a,0:0,0:0","dtypep":"(LB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(ZF)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(AG)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"WR","varp":"(UF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(BG)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(CG)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(UF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(DG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EG)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_eval_stl","funcp":"(UE)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(FG)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(GG)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(UF)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(HG)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(IG)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(JG)","loc":"f,7:8,7:9","dtypep":"(IB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(KG)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(MG)","loc":"f,7:8,7:9","dtypep":"(BC)"}, + {"type":"AND","name":"","addr":"(NG)","loc":"f,25:14,25:21","dtypep":"(LB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(OG)","loc":"f,25:22,25:25","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(PG)","loc":"f,25:22,25:25","dtypep":"(LB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(QG)","loc":"f,25:14,25:21","dtypep":"(LB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RG)","loc":"f,25:14,25:21","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(SG)","loc":"f,25:14,25:21","dtypep":"(LB)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(TG)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(UG)","loc":"f,7:8,7:9","dtypep":"(IB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(VG)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h1","addr":"(WG)","loc":"f,7:8,7:9","dtypep":"(BC)"}, + {"type":"AND","name":"","addr":"(XG)","loc":"f,53:14,53:21","dtypep":"(LB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YG)","loc":"f,53:22,53:30","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(ZG)","loc":"f,53:22,53:30","dtypep":"(LB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(AH)","loc":"f,53:14,53:21","dtypep":"(LB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BH)","loc":"f,53:14,53:21","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(CH)","loc":"f,53:14,53:21","dtypep":"(LB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(DH)","loc":"f,25:22,25:25","dtypep":"(LB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(EH)","loc":"f,25:22,25:25","dtypep":"(LB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(FH)","loc":"f,25:22,25:25","dtypep":"(LB)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(GH)","loc":"f,53:22,53:30","dtypep":"(LB)", + "rhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(HH)","loc":"f,53:22,53:30","dtypep":"(LB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(IH)","loc":"f,53:22,53:30","dtypep":"(LB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(JH)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(KH)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(LH)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(MH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NH)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_dump_triggers__act","funcp":"(OH)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(PH)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(QH)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(OH)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(RH)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(SH)","loc":"f,7:8,7:9","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(TH)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(UH)","loc":"f,7:8,7:9","dtypep":"(LB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VH)","loc":"f,7:8,7:9","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(WH)","loc":"f,7:8,7:9","dtypep":"(LB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XH)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(YH)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ZH)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(AI)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(BI)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(CI)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(DI)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(EI)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(FI)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GI)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(HI)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(II)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(JI)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(KI)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(LI)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(MI)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 1 is active: @(posedge t.printclk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(NI)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(OI)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(PI)","loc":"f,7:8,7:9","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(QI)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(RI)","loc":"f,7:8,7:9","dtypep":"(LB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SI)","loc":"f,7:8,7:9","dtypep":"(LB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(TI)","loc":"f,7:8,7:9","dtypep":"(LB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(UI)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(VI)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(WI)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(XI)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(YI)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(ZI)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(AJ)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(BJ)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(CJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(DJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(EJ)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(FJ)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(GJ)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HJ)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(IJ)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(JJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 1 is active: @(posedge t.printclk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(KJ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(LJ)","loc":"f,53:32,53:38","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"DISPLAY","name":"","addr":"(MJ)","loc":"f,53:32,53:38", + "fmtp": [ + {"type":"SFORMATF","name":"[%0t] %m: Clocked\\n","addr":"(NJ)","loc":"f,53:32,53:38","dtypep":"(OJ)", + "exprsp": [ + {"type":"TIME","name":"","addr":"(PJ)","loc":"f,53:62,53:67","dtypep":"(QE)","timeunit":"1ps"} + ], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(QJ)","loc":"f,53:32,53:38","dtypep":"(QE)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(RJ)","loc":"f,53:32,53:38","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(SJ)","loc":"f,53:32,53:38","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(TJ)","loc":"f,53:32,53:38","shortText":"__DOT__ps"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(UJ)","loc":"f,53:32,53:38","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(VJ)","loc":"f,53:32,53:38","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(WJ)","loc":"f,53:32,53:38","shortText":"__DOT__ps"} + ]} + ]} + ],"filep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__1","addr":"(XJ)","loc":"f,28:10,28:13","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(YJ)","loc":"f,13:12,13:15","dtypep":"(T)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(ZJ)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(AK)","loc":"f,13:12,13:15","dtypep":"(T)","access":"WR","varp":"(YJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(BK)","loc":"f,28:10,28:13","dtypep":"(T)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(CK)","loc":"f,28:10,28:13","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(DK)","loc":"f,28:10,28:13","dtypep":"(T)","access":"WR","varp":"(YJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(EK)","loc":"f,26:16,26:18","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(FK)","loc":"f,26:19,26:20","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(GK)","loc":"f,26:7,26:15","dtypep":"(LB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(HK)","loc":"f,27:7,27:9", + "condp": [ + {"type":"NEQ","name":"","addr":"(IK)","loc":"f,27:14,27:16","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(JK)","loc":"f,27:16,27:17","dtypep":"(TB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(KK)","loc":"f,27:11,27:14","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(LK)","loc":"f,28:14,28:16","dtypep":"(T)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(MK)","loc":"f,28:21,28:22","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NK)","loc":"f,28:23,28:24","dtypep":"(BC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(OK)","loc":"f,28:23,28:24","dtypep":"(TB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(PK)","loc":"f,28:17,28:20","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(QK)","loc":"f,28:10,28:13","dtypep":"(T)","access":"WR","varp":"(YJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(RK)","loc":"f,29:10,29:12", + "condp": [ + {"type":"EQ","name":"","addr":"(SK)","loc":"f,29:17,29:19","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(TK)","loc":"f,29:19,29:20","dtypep":"(TB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(UK)","loc":"f,29:14,29:17","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(VK)","loc":"f,30:22,30:24","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(WK)","loc":"f,30:25,30:29","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(XK)","loc":"f,30:13,30:21","dtypep":"(LB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(YK)","loc":"f,32:10,32:12", + "condp": [ + {"type":"EQ","name":"","addr":"(ZK)","loc":"f,32:17,32:19","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'sh2","addr":"(AL)","loc":"f,32:19,32:20","dtypep":"(TB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(BL)","loc":"f,32:14,32:17","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(CL)","loc":"f,33:15,33:17","dtypep":"(DL)", + "rhsp": [ + {"type":"CONST","name":"8'h1","addr":"(EL)","loc":"f,33:18,33:22","dtypep":"(DL)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(FL)","loc":"f,33:13,33:14","dtypep":"(DL)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GL)","loc":"f,35:10,35:12", + "condp": [ + {"type":"EQ","name":"","addr":"(HL)","loc":"f,35:17,35:19","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'sh3","addr":"(IL)","loc":"f,35:19,35:20","dtypep":"(TB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(JL)","loc":"f,35:14,35:17","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(KL)","loc":"f,36:13,36:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(LL)","loc":"f,36:19,36:22","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"8'hf8","addr":"(ML)","loc":"f,36:23,36:28","dtypep":"(DL)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(NL)","loc":"f,58:17,58:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(OL)","loc":"f,58:17,58:18","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(PL)","loc":"f,58:17,58:18","dtypep":"(DL)", + "lhsp": [ + {"type":"ADD","name":"","addr":"(QL)","loc":"f,64:17,64:18","dtypep":"(DL)", + "lhsp": [ + {"type":"ADD","name":"","addr":"(RL)","loc":"f,71:17,71:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SL)","loc":"f,70:15,70:17","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(TL)","loc":"f,70:15,70:17","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VL)","loc":"f,70:30,70:32","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(WL)","loc":"f,70:30,70:32","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XL)","loc":"f,71:17,71:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YL)","loc":"f,70:15,70:17","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(ZL)","loc":"f,70:15,70:17","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(AM)","loc":"f,70:30,70:32","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(BM)","loc":"f,70:30,70:32","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CM)","loc":"f,64:17,64:18","dtypep":"(DL)", + "lhsp": [ + {"type":"ADD","name":"","addr":"(DM)","loc":"f,71:17,71:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EM)","loc":"f,70:15,70:17","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(FM)","loc":"f,70:15,70:17","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(GM)","loc":"f,70:30,70:32","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(HM)","loc":"f,70:30,70:32","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(IM)","loc":"f,71:17,71:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JM)","loc":"f,70:15,70:17","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(KM)","loc":"f,70:15,70:17","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LM)","loc":"f,70:30,70:32","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(MM)","loc":"f,70:30,70:32","dtypep":"(DL)","access":"RD","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(NM)","loc":"f,36:30,36:35"} + ],"elsesp": []}, + {"type":"DISPLAY","name":"","addr":"(OM)","loc":"f,43:13,43:19", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(PM)","loc":"f,43:13,43:19","dtypep":"(OJ)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(QM)","loc":"f,44:13,44:20"} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(RM)","loc":"f,28:10,28:13","dtypep":"(T)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(SM)","loc":"f,28:10,28:13","dtypep":"(T)","access":"RD","varp":"(YJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(TM)","loc":"f,28:10,28:13","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(UM)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(VM)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(WM)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(XM)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(YM)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(ZM)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(AN)","loc":"f,53:32,53:38", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BN)","loc":"f,53:32,53:38","dtypep":"(IB)","funcName":"_nba_sequent__TOP__0","funcp":"(LJ)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(CN)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(DN)","loc":"f,7:8,7:9","dtypep":"(NE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(EN)","loc":"f,7:8,7:9","dtypep":"(NE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(FN)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(GN)","loc":"f,7:8,7:9","dtypep":"(LG)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(HN)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(IN)","loc":"f,28:10,28:13", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JN)","loc":"f,28:10,28:13","dtypep":"(IB)","funcName":"_nba_sequent__TOP__1","funcp":"(XJ)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(KN)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(LN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u0__0","funcp":"(DF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(MN)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(ON)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(QN)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(RN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u1__u1__0","funcp":"(LF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(SN)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u0__0","funcp":"(DF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(UN)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(WN)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u0__u1__0","funcp":"(GF)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(YN)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZN)","loc":"f,78:13,78:14","dtypep":"(IB)","funcName":"_nba_sequent__TOP__t__DOT__u__u0__u1__u1__0","funcp":"(LF)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(AO)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(BO)","loc":"f,7:8,7:9","dtypep":"(Z)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(CO)","loc":"f,7:8,7:9","dtypep":"(O)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(DO)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EO)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_eval_triggers__act","funcp":"(HG)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(FO)","loc":"a,0:0,0:0","dtypep":"(LB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GO)","loc":"a,0:0,0:0","dtypep":"(LB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(HO)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(IO)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"WR","varp":"(CO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JO)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(KO)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(CO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(LO)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(MO)","loc":"a,0:0,0:0","dtypep":"(IB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(NO)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"WR","varp":"(BO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(OO)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PO)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(QO)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(RO)","loc":"a,0:0,0:0","dtypep":"(IB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(SO)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(TO)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(UO)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VO)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_eval_act","funcp":"(KJ)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(WO)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(XO)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(CO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(YO)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(ZO)","loc":"f,7:8,7:9","dtypep":"(O)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(AP)","loc":"a,0:0,0:0","dtypep":"(LB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(BP)","loc":"a,0:0,0:0","dtypep":"(LB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CP)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(DP)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"WR","varp":"(ZO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(EP)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(FP)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(ZO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(GP)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HP)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(IP)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(JP)","loc":"a,0:0,0:0","dtypep":"(IB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KP)","loc":"a,0:0,0:0","dtypep":"(LG)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(LP)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(MP)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(ZO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(DB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(NP)","loc":"f,7:8,7:9","dtypep":"(V)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(OP)","loc":"f,7:8,7:9","dtypep":"(O)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(PP)","loc":"f,7:8,7:9","dtypep":"(V)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(QP)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(RP)","loc":"f,7:8,7:9","dtypep":"(V)","access":"WR","varp":"(NP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SP)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(TP)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(UP)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(OP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(VP)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(WP)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(OP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XP)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(YP)","loc":"a,0:0,0:0","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ZP)","loc":"a,0:0,0:0","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(AQ)","loc":"a,0:0,0:0","dtypep":"(V)","access":"RD","varp":"(NP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(BQ)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(CQ)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(DQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EQ)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_dump_triggers__nba","funcp":"(NI)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(FQ)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(GQ)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(HQ)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(IQ)","loc":"f,7:8,7:9","dtypep":"(V)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(JQ)","loc":"f,7:8,7:9","dtypep":"(V)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KQ)","loc":"f,7:8,7:9","dtypep":"(BC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(LQ)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(MQ)","loc":"f,7:8,7:9","dtypep":"(V)","access":"RD","varp":"(NP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(NQ)","loc":"f,7:8,7:9","dtypep":"(V)","access":"WR","varp":"(NP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(OQ)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(PQ)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(QQ)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(OP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RQ)","loc":"f,7:8,7:9","dtypep":"(V)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(SQ)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(TQ)","loc":"f,7:8,7:9","dtypep":"(V)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(UQ)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(VQ)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(WQ)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(XQ)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(YQ)","loc":"a,0:0,0:0","dtypep":"(LB)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ZQ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(AR)","loc":"a,0:0,0:0","dtypep":"(LB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(BR)","loc":"a,0:0,0:0","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(CR)","loc":"a,0:0,0:0","dtypep":"(V)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(DR)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(ER)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(FR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GR)","loc":"a,0:0,0:0","dtypep":"(IB)","funcName":"_dump_triggers__act","funcp":"(OH)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(HR)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(IR)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(JR)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(KR)","loc":"f,7:8,7:9","dtypep":"(V)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(LR)","loc":"f,7:8,7:9","dtypep":"(V)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MR)","loc":"f,7:8,7:9","dtypep":"(BC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(NR)","loc":"f,7:8,7:9","dtypep":"(BC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(OR)","loc":"f,7:8,7:9","dtypep":"(V)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(PR)","loc":"f,7:8,7:9","dtypep":"(V)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(QR)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(RR)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(SR)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(TR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(UR)","loc":"a,0:0,0:0","dtypep":"(LB)","funcName":"_eval_phase__act","funcp":"(AO)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(VR)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(WR)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(XR)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(YR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ZR)","loc":"a,0:0,0:0","dtypep":"(LB)","funcName":"_eval_phase__nba","funcp":"(YO)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(AS)","loc":"f,7:8,7:9","dtypep":"(LB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BS)","loc":"f,7:8,7:9","dtypep":"(LB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(CS)","loc":"f,7:8,7:9","dtypep":"(LB)","access":"WR","varp":"(OP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(DS)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ES)","loc":"f,12:10,12:13", + "condp": [ + {"type":"AND","name":"","addr":"(FS)","loc":"f,12:10,12:13","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(GS)","loc":"f,12:10,12:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(HS)","loc":"f,12:10,12:13","dtypep":"(M)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(IS)","loc":"f,12:10,12:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JS)","loc":"f,12:10,12:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(KS)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(LS)","loc":"f,12:10,12:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(MS)","loc":"f,12:10,12:13","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NS)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(OS)","loc":"f,13:12,13:15","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PS)","loc":"f,16:12,16:20", + "varrefp": [ + {"type":"VARREF","name":"t.printclk","addr":"(QS)","loc":"f,16:12,16:20","dtypep":"(J)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RS)","loc":"f,20:14,20:15", + "varrefp": [ + {"type":"VARREF","name":"t.a","addr":"(SS)","loc":"f,20:14,20:15","dtypep":"(M)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TS)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(US)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VS)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(WS)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"l1","addr":"(XS)","loc":"f,23:7,23:8","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"l1","addr":"(CB)","loc":"f,56:8,56:10","origName":"l1","level":3,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"a","addr":"(YS)","loc":"f,56:24,56:25","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"z","addr":"(ZS)","loc":"f,56:40,56:41","dtypep":"(M)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"u0","addr":"(AT)","loc":"f,59:7,59:9","origName":"u0","recursive":false,"modp":"(BT)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"CELL","name":"u1","addr":"(CT)","loc":"f,59:24,59:26","origName":"u1","recursive":false,"modp":"(BT)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.u","addr":"(DT)","loc":"f,23:7,23:8","aboveScopep":"(DB)","aboveCellp":"(BB)","modp":"(CB)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(ET)","loc":"f,56:8,56:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(FT)","loc":"f,56:24,56:25", + "varrefp": [ + {"type":"VARREF","name":"a","addr":"(GT)","loc":"f,56:24,56:25","dtypep":"(M)","access":"WR","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HT)","loc":"f,56:40,56:41", + "varrefp": [ + {"type":"VARREF","name":"z","addr":"(IT)","loc":"f,56:40,56:41","dtypep":"(M)","access":"WR","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"l2","addr":"(JT)","loc":"f,59:7,59:9","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"l2","addr":"(BT)","loc":"f,62:8,62:10","origName":"l2","level":4,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"a","addr":"(KT)","loc":"f,62:24,62:25","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"z","addr":"(LT)","loc":"f,62:40,62:41","dtypep":"(M)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"u0","addr":"(MT)","loc":"f,66:7,66:9","origName":"u0","recursive":false,"modp":"(NT)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"CELL","name":"u1","addr":"(OT)","loc":"f,66:24,66:26","origName":"u1","recursive":false,"modp":"(NT)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.u.u0","addr":"(PT)","loc":"f,59:7,59:9","aboveScopep":"(DT)","aboveCellp":"(AT)","modp":"(BT)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1","addr":"(QT)","loc":"f,59:24,59:26","aboveScopep":"(DT)","aboveCellp":"(CT)","modp":"(BT)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(RT)","loc":"f,62:8,62:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(ST)","loc":"f,62:24,62:25", + "varrefp": [ + {"type":"VARREF","name":"a","addr":"(TT)","loc":"f,62:24,62:25","dtypep":"(M)","access":"WR","varp":"(KT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(UT)","loc":"f,62:40,62:41", + "varrefp": [ + {"type":"VARREF","name":"z","addr":"(VT)","loc":"f,62:40,62:41","dtypep":"(M)","access":"WR","varp":"(LT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"l3","addr":"(WT)","loc":"f,66:7,66:9","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"l3","addr":"(NT)","loc":"f,69:8,69:10","origName":"l3","level":5,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"a","addr":"(XT)","loc":"f,69:24,69:25","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"z","addr":"(YT)","loc":"f,69:40,69:41","dtypep":"(M)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"u0","addr":"(ZT)","loc":"f,73:7,73:9","origName":"u0","recursive":false,"modp":"(AU)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"CELL","name":"u1","addr":"(BU)","loc":"f,73:24,73:26","origName":"u1","recursive":false,"modp":"(AU)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.u.u0.u0","addr":"(CU)","loc":"f,66:7,66:9","aboveScopep":"(PT)","aboveCellp":"(MT)","modp":"(NT)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u1","addr":"(DU)","loc":"f,66:24,66:26","aboveScopep":"(PT)","aboveCellp":"(OT)","modp":"(NT)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u0","addr":"(EU)","loc":"f,66:7,66:9","aboveScopep":"(QT)","aboveCellp":"(MT)","modp":"(NT)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u1","addr":"(FU)","loc":"f,66:24,66:26","aboveScopep":"(QT)","aboveCellp":"(OT)","modp":"(NT)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(GU)","loc":"f,69:8,69:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(HU)","loc":"f,69:24,69:25", + "varrefp": [ + {"type":"VARREF","name":"a","addr":"(IU)","loc":"f,69:24,69:25","dtypep":"(M)","access":"WR","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JU)","loc":"f,69:40,69:41", + "varrefp": [ + {"type":"VARREF","name":"z","addr":"(KU)","loc":"f,69:40,69:41","dtypep":"(M)","access":"WR","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"l4","addr":"(LU)","loc":"f,73:7,73:9","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"l4","addr":"(AU)","loc":"f,76:8,76:10","origName":"l4","level":6,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"a","addr":"(MU)","loc":"f,76:24,76:25","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"z","addr":"(UL)","loc":"f,76:40,76:41","dtypep":"(M)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"u0","addr":"(NU)","loc":"f,80:12,80:14","origName":"u0","recursive":false,"modp":"(OU)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"CELL","name":"u1","addr":"(PU)","loc":"f,80:34,80:36","origName":"u1","recursive":false,"modp":"(QU)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t.u.u0.u0.u0","addr":"(RU)","loc":"f,73:7,73:9","aboveScopep":"(CU)","aboveCellp":"(ZT)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u0.u1","addr":"(SU)","loc":"f,73:24,73:26","aboveScopep":"(CU)","aboveCellp":"(BU)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u1.u0","addr":"(TU)","loc":"f,73:7,73:9","aboveScopep":"(DU)","aboveCellp":"(ZT)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u1.u1","addr":"(UU)","loc":"f,73:24,73:26","aboveScopep":"(DU)","aboveCellp":"(BU)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u0.u0","addr":"(VU)","loc":"f,73:7,73:9","aboveScopep":"(EU)","aboveCellp":"(ZT)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u0.u1","addr":"(WU)","loc":"f,73:24,73:26","aboveScopep":"(EU)","aboveCellp":"(BU)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u1.u0","addr":"(XU)","loc":"f,73:7,73:9","aboveScopep":"(FU)","aboveCellp":"(ZT)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u1.u1","addr":"(YU)","loc":"f,73:24,73:26","aboveScopep":"(FU)","aboveCellp":"(BU)","modp":"(AU)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t.u__u0__u0__u0__0","addr":"(DF)","loc":"f,78:13,78:14","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(RU)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(ZU)","loc":"f,78:13,78:14","dtypep":"(DL)", + "rhsp": [ + {"type":"AND","name":"","addr":"(AV)","loc":"f,78:17,78:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(BV)","loc":"f,78:17,78:18","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CV)","loc":"f,78:17,78:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DV)","loc":"f,79:22,79:26","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(EV)","loc":"f,79:22,79:26","dtypep":"(DL)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(FV)","loc":"f,79:21,79:22","dtypep":"(DL)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(GV)","loc":"f,23:10,23:11","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HV)","loc":"f,20:14,20:15","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(IV)","loc":"f,20:14,20:15","dtypep":"(DL)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(JV)","loc":"f,23:10,23:11","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KV)","loc":"f,20:14,20:15","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(LV)","loc":"f,20:14,20:15","dtypep":"(DL)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(MV)","loc":"f,76:40,76:41","dtypep":"(DL)","access":"WR","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t.u__u0__u0__u1__0","addr":"(GF)","loc":"f,78:13,78:14","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(SU)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(NV)","loc":"f,78:13,78:14","dtypep":"(DL)", + "rhsp": [ + {"type":"AND","name":"","addr":"(OV)","loc":"f,78:17,78:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(PV)","loc":"f,78:17,78:18","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(QV)","loc":"f,78:17,78:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RV)","loc":"f,72:21,72:22","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h3","addr":"(SV)","loc":"f,72:21,72:22","dtypep":"(DL)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(TV)","loc":"f,79:21,79:22","dtypep":"(DL)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(UV)","loc":"f,23:10,23:11","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VV)","loc":"f,20:14,20:15","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(WV)","loc":"f,20:14,20:15","dtypep":"(DL)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(XV)","loc":"f,23:10,23:11","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YV)","loc":"f,20:14,20:15","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(ZV)","loc":"f,20:14,20:15","dtypep":"(DL)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(AW)","loc":"f,76:40,76:41","dtypep":"(DL)","access":"WR","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t.u__u0__u1__u1__0","addr":"(LF)","loc":"f,78:13,78:14","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(UU)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(BW)","loc":"f,78:13,78:14","dtypep":"(DL)", + "rhsp": [ + {"type":"AND","name":"","addr":"(CW)","loc":"f,78:17,78:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(DW)","loc":"f,78:17,78:18","dtypep":"(BC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(EW)","loc":"f,78:17,78:18","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FW)","loc":"f,72:21,72:22","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h5","addr":"(GW)","loc":"f,72:21,72:22","dtypep":"(DL)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(HW)","loc":"f,79:21,79:22","dtypep":"(DL)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(IW)","loc":"f,23:10,23:11","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JW)","loc":"f,20:14,20:15","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(KW)","loc":"f,20:14,20:15","dtypep":"(DL)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(LW)","loc":"f,23:10,23:11","dtypep":"(DL)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MW)","loc":"f,20:14,20:15","dtypep":"(DL)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(NW)","loc":"f,20:14,20:15","dtypep":"(DL)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"z","addr":"(OW)","loc":"f,76:40,76:41","dtypep":"(DL)","access":"WR","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(PW)","loc":"f,76:8,76:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(QW)","loc":"f,76:24,76:25", + "varrefp": [ + {"type":"VARREF","name":"a","addr":"(RW)","loc":"f,76:24,76:25","dtypep":"(M)","access":"WR","varp":"(MU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(SW)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"z","addr":"(TW)","loc":"f,76:40,76:41","dtypep":"(M)","access":"WR","varp":"(UL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"l5__P1","addr":"(UW)","loc":"f,80:12,80:14","useType":"INT_FWD"}, + {"type":"CUSE","name":"l5__P2","addr":"(VW)","loc":"f,80:34,80:36","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"l5__P1","addr":"(OU)","loc":"f,83:8,83:10","origName":"l5","level":7,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"a","addr":"(WW)","loc":"f,83:24,83:25","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"z","addr":"(XW)","loc":"f,83:40,83:41","dtypep":"(M)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"SCOPE","name":"t.u.u0.u0.u0.u0","addr":"(YW)","loc":"f,80:12,80:14","aboveScopep":"(RU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u0.u1.u0","addr":"(ZW)","loc":"f,80:12,80:14","aboveScopep":"(SU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u1.u0.u0","addr":"(AX)","loc":"f,80:12,80:14","aboveScopep":"(TU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u1.u1.u0","addr":"(BX)","loc":"f,80:12,80:14","aboveScopep":"(UU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u0.u0.u0","addr":"(CX)","loc":"f,80:12,80:14","aboveScopep":"(VU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u0.u1.u0","addr":"(DX)","loc":"f,80:12,80:14","aboveScopep":"(WU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u1.u0.u0","addr":"(EX)","loc":"f,80:12,80:14","aboveScopep":"(XU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u1.u1.u0","addr":"(FX)","loc":"f,80:12,80:14","aboveScopep":"(YU)","aboveCellp":"(NU)","modp":"(OU)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(GX)","loc":"f,83:8,83:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(HX)","loc":"f,83:24,83:25", + "varrefp": [ + {"type":"VARREF","name":"a","addr":"(IX)","loc":"f,83:24,83:25","dtypep":"(M)","access":"WR","varp":"(WW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JX)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"z","addr":"(KX)","loc":"f,83:40,83:41","dtypep":"(M)","access":"WR","varp":"(XW)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"l5__P2","addr":"(QU)","loc":"f,83:8,83:10","origName":"l5","level":7,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"a","addr":"(LX)","loc":"f,83:24,83:25","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"z","addr":"(MX)","loc":"f,83:40,83:41","dtypep":"(M)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"SCOPE","name":"t.u.u0.u0.u0.u1","addr":"(NX)","loc":"f,80:34,80:36","aboveScopep":"(RU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u0.u1.u1","addr":"(OX)","loc":"f,80:34,80:36","aboveScopep":"(SU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u1.u0.u1","addr":"(PX)","loc":"f,80:34,80:36","aboveScopep":"(TU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u0.u1.u1.u1","addr":"(QX)","loc":"f,80:34,80:36","aboveScopep":"(UU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u0.u0.u1","addr":"(RX)","loc":"f,80:34,80:36","aboveScopep":"(VU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u0.u1.u1","addr":"(SX)","loc":"f,80:34,80:36","aboveScopep":"(WU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u1.u0.u1","addr":"(TX)","loc":"f,80:34,80:36","aboveScopep":"(XU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"SCOPE","name":"t.u.u1.u1.u1.u1","addr":"(UX)","loc":"f,80:34,80:36","aboveScopep":"(YU)","aboveCellp":"(PU)","modp":"(QU)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(VX)","loc":"f,83:8,83:10","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(WX)","loc":"f,83:24,83:25", + "varrefp": [ + {"type":"VARREF","name":"a","addr":"(XX)","loc":"f,83:24,83:25","dtypep":"(M)","access":"WR","varp":"(LX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(YX)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"z","addr":"(ZX)","loc":"f,83:40,83:41","dtypep":"(M)","access":"WR","varp":"(MX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0__Syms.cpp","addr":"(AY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0__Syms.h","addr":"(BY)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0.h","addr":"(CY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0.cpp","addr":"(DY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_$root.h","addr":"(EY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l1.h","addr":"(FY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l2.h","addr":"(GY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l3.h","addr":"(HY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l4.h","addr":"(IY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l5__P1.h","addr":"(JY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l5__P2.h","addr":"(KY)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_$root__Slow.cpp","addr":"(LY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_$root__DepSet_h74454879__0__Slow.cpp","addr":"(MY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_$root__DepSet_h2a664c08__0__Slow.cpp","addr":"(NY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_$root__DepSet_h74454879__0.cpp","addr":"(OY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_$root__DepSet_h2a664c08__0.cpp","addr":"(PY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l1__Slow.cpp","addr":"(QY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l1__DepSet_hd7603eb5__0__Slow.cpp","addr":"(RY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l2__Slow.cpp","addr":"(SY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l2__DepSet_hfc3228d7__0__Slow.cpp","addr":"(TY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l3__Slow.cpp","addr":"(UY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l3__DepSet_h00f7bd9c__0__Slow.cpp","addr":"(VY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l4__Slow.cpp","addr":"(WY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l4__DepSet_h52de6d44__0__Slow.cpp","addr":"(XY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l4__DepSet_h23dd6b35__0.cpp","addr":"(YY)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l5__P1__Slow.cpp","addr":"(ZY)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l5__P1__DepSet_hc048d002__0__Slow.cpp","addr":"(AZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l5__P2__Slow.cpp","addr":"(BZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl0_pub0/Vt_inst_tree_inl0_pub0_l5__P2__DepSet_h8e16dfa3__0__Slow.cpp","addr":"(CZ)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(IB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(IB)","loc":"d,51:21,51:30","dtypep":"(IB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(OJ)","loc":"d,156:10,156:16","dtypep":"(OJ)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(QE)","loc":"f,53:62,53:67","dtypep":"(QE)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(T)","loc":"f,13:4,13:11","dtypep":"(T)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(M)","loc":"f,20:4,20:7","dtypep":"(M)","keyword":"logic","range":"7:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(TB)","loc":"f,13:29,13:30","dtypep":"(TB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(X)","loc":"f,7:8,7:9","dtypep":"(X)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BC)","loc":"f,7:8,7:9","dtypep":"(BC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NE)","loc":"f,7:8,7:9","dtypep":"(NE)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(O)","loc":"f,7:8,7:9","dtypep":"(O)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(V)","loc":"f,7:8,7:9","dtypep":"(V)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(Z)","loc":"f,7:8,7:9","dtypep":"(Z)","keyword":"VlTriggerVec","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LB)","loc":"f,25:22,25:25","dtypep":"(LB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LG)","loc":"f,7:8,7:9","dtypep":"(LG)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(DL)","loc":"f,33:18,33:22","dtypep":"(DL)","keyword":"logic","range":"31:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(DZ)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(EZ)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(DZ)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_inst_tree_inl0_pub0.pl b/test_regress/t/t_inst_tree_inl0_pub0.pl index 765664407..51465fca4 100755 --- a/test_regress/t/t_inst_tree_inl0_pub0.pl +++ b/test_regress/t/t_inst_tree_inl0_pub0.pl @@ -11,19 +11,19 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_inst_tree.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ["$Self->{t_dir}/$Self->{name}.vlt"], + v_flags2 => ["--no-json-edit-nums", "$Self->{t_dir}/$Self->{name}.vlt"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"MODULE","name":"l1",.*"loc":"f,56:[^"]*",.*"origName":"l1"/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"l2",.*"loc":"f,62:[^"]*",.*"origName":"l2"/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"l3",.*"loc":"f,69:[^"]*",.*"origName":"l3"/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"l4",.*"loc":"f,76:[^"]*",.*"origName":"l4"/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"l5__P1",.*"loc":"f,83:[^"]*",.*"origName":"l5"/); + file_grep("$out_filename", qr/{"type":"MODULE","name":"l5__P2",.*"loc":"f,83:[^"]*",.*"origName":"l5"/); } execute( diff --git a/test_regress/t/t_inst_tree_inl1_pub0.out b/test_regress/t/t_inst_tree_inl1_pub0.out new file mode 100644 index 000000000..5a21dc97e --- /dev/null +++ b/test_regress/t/t_inst_tree_inl1_pub0.out @@ -0,0 +1,1364 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"f,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(I)","loc":"f,12:10,12:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.printclk","addr":"(K)","loc":"f,16:12,16:20","dtypep":"(J)","origName":"printclk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.a","addr":"(L)","loc":"f,20:14,20:15","dtypep":"(M)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.u.u0.u0.z1","addr":"(N)","loc":"f,70:30,70:32","dtypep":"(M)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.u.u0.u1.z1","addr":"(O)","loc":"f,70:30,70:32","dtypep":"(M)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.u.u1.u0.z0","addr":"(P)","loc":"f,70:15,70:17","dtypep":"(M)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(Q)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(S)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(T)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__t__DOT__printclk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(U)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(V)","loc":"f,13:12,13:15","dtypep":"(W)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(X)","loc":"f,7:8,7:9","dtypep":"(Y)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(Z)","loc":"f,7:8,7:9","dtypep":"(AB)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(BB)","loc":"f,7:8,7:9","dtypep":"(CB)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(DB)","loc":"f,7:8,7:9","dtypep":"(CB)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"f,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(EB)","loc":"f,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(FB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(GB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(HB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IB)","loc":"f,7:8,7:9","dtypep":"(JB)","funcName":"_eval_initial__TOP","funcp":"(KB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(LB)","loc":"f,25:22,25:25","dtypep":"(MB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(NB)","loc":"f,25:22,25:25","dtypep":"(MB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(OB)","loc":"f,25:22,25:25","dtypep":"(MB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PB)","loc":"f,53:22,53:30","dtypep":"(MB)", + "rhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(QB)","loc":"f,53:22,53:30","dtypep":"(MB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(RB)","loc":"f,53:22,53:30","dtypep":"(MB)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(KB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(SB)","loc":"f,13:28,13:29","dtypep":"(W)", + "rhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(TB)","loc":"f,13:29,13:30","dtypep":"(UB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(VB)","loc":"f,13:25,13:28","dtypep":"(W)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(WB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(XB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(YB)","loc":"f,7:8,7:9","dtypep":"(Y)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(ZB)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(AC)","loc":"f,7:8,7:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(BC)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(DC)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"WR","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(EC)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(FC)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(GC)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HC)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(IC)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(JC)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(KC)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(LC)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MC)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(OC)","loc":"a,0:0,0:0","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(PC)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(QC)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RC)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(SC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TC)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_dump_triggers__stl","funcp":"(UC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(VC)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(WC)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(XC)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(YC)","loc":"f,7:8,7:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(ZC)","loc":"f,7:8,7:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AD)","loc":"f,7:8,7:9","dtypep":"(CC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BD)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(CD)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"RD","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(DD)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"WR","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ED)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(FD)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(GD)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(HD)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ID)","loc":"a,0:0,0:0","dtypep":"(MB)","funcName":"_eval_phase__stl","funcp":"(JD)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(KD)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(LD)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(MD)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(ND)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(OD)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(PD)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(QD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(RD)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(SD)","loc":"f,7:8,7:9","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(TD)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(UD)","loc":"f,7:8,7:9","dtypep":"(CC)"}, + {"type":"CCAST","name":"","addr":"(VD)","loc":"f,7:8,7:9","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(WD)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(XD)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(YD)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(ZD)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(AE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BE)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_dump_triggers__stl","funcp":"(UC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(CE)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(DE)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(UC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(EE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(FE)","loc":"f,7:8,7:9","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(GE)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HE)","loc":"f,7:8,7:9","dtypep":"(MB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IE)","loc":"f,7:8,7:9","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JE)","loc":"f,7:8,7:9","dtypep":"(MB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(KE)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ME)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(NE)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(PE)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(QE)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(SE)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TE)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(UE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_stl_sequent__TOP__0","addr":"(VE)","loc":"f,78:13,78:14","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(WE)","loc":"f,78:13,78:14","dtypep":"(XE)", + "rhsp": [ + {"type":"AND","name":"","addr":"(YE)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(ZE)","loc":"f,78:17,78:18","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(AF)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BF)","loc":"f,72:21,72:22","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h3","addr":"(CF)","loc":"f,72:21,72:22","dtypep":"(XE)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(DF)","loc":"f,79:21,79:22","dtypep":"(XE)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(EF)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FF)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(GF)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HF)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IF)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(JF)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u0.z1","addr":"(KF)","loc":"f,76:40,76:41","dtypep":"(XE)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LF)","loc":"f,78:13,78:14","dtypep":"(XE)", + "rhsp": [ + {"type":"AND","name":"","addr":"(MF)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(NF)","loc":"f,78:17,78:18","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(OF)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PF)","loc":"f,72:21,72:22","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h5","addr":"(QF)","loc":"f,72:21,72:22","dtypep":"(XE)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(RF)","loc":"f,79:21,79:22","dtypep":"(XE)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(SF)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TF)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(UF)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(VF)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(WF)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(XF)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u1.z1","addr":"(YF)","loc":"f,76:40,76:41","dtypep":"(XE)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZF)","loc":"f,78:13,78:14","dtypep":"(XE)", + "rhsp": [ + {"type":"AND","name":"","addr":"(AG)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(BG)","loc":"f,78:17,78:18","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CG)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DG)","loc":"f,79:22,79:26","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(EG)","loc":"f,79:22,79:26","dtypep":"(XE)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(FG)","loc":"f,79:21,79:22","dtypep":"(XE)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(GG)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HG)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(IG)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(JG)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KG)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(LG)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.u.u1.u0.z0","addr":"(MG)","loc":"f,76:40,76:41","dtypep":"(XE)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(NG)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(OG)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(PG)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(QG)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(RG)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(SG)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TG)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(UG)","loc":"f,78:13,78:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VG)","loc":"f,78:13,78:14","dtypep":"(JB)","funcName":"_stl_sequent__TOP__0","funcp":"(VE)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(JD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(WG)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(XG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(YG)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_triggers__stl","funcp":"(QD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(ZG)","loc":"a,0:0,0:0","dtypep":"(MB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(AH)","loc":"a,0:0,0:0","dtypep":"(MB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(BH)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(CH)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"WR","varp":"(WG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(DH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(EH)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(WG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(FH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GH)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_stl","funcp":"(NG)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(HH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(IH)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(WG)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(JH)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(KH)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(LH)","loc":"f,7:8,7:9","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(MH)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(OH)","loc":"f,7:8,7:9","dtypep":"(CC)"}, + {"type":"AND","name":"","addr":"(PH)","loc":"f,25:14,25:21","dtypep":"(MB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QH)","loc":"f,25:22,25:25","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(RH)","loc":"f,25:22,25:25","dtypep":"(MB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(SH)","loc":"f,25:14,25:21","dtypep":"(MB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TH)","loc":"f,25:14,25:21","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(UH)","loc":"f,25:14,25:21","dtypep":"(MB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(VH)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(WH)","loc":"f,7:8,7:9","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XH)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"WR","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h1","addr":"(YH)","loc":"f,7:8,7:9","dtypep":"(CC)"}, + {"type":"AND","name":"","addr":"(ZH)","loc":"f,53:14,53:21","dtypep":"(MB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AI)","loc":"f,53:22,53:30","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(BI)","loc":"f,53:22,53:30","dtypep":"(MB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(CI)","loc":"f,53:14,53:21","dtypep":"(MB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DI)","loc":"f,53:14,53:21","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(EI)","loc":"f,53:14,53:21","dtypep":"(MB)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(FI)","loc":"f,25:22,25:25","dtypep":"(MB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(GI)","loc":"f,25:22,25:25","dtypep":"(MB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(HI)","loc":"f,25:22,25:25","dtypep":"(MB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(II)","loc":"f,53:22,53:30","dtypep":"(MB)", + "rhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(JI)","loc":"f,53:22,53:30","dtypep":"(MB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(KI)","loc":"f,53:22,53:30","dtypep":"(MB)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(LI)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(MI)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(NI)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(OI)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PI)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_dump_triggers__act","funcp":"(QI)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(RI)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(SI)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(QI)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(TI)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(UI)","loc":"f,7:8,7:9","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(VI)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(WI)","loc":"f,7:8,7:9","dtypep":"(MB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(XI)","loc":"f,7:8,7:9","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(YI)","loc":"f,7:8,7:9","dtypep":"(MB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(ZI)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(AJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(BJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(CJ)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(DJ)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(EJ)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FJ)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(GJ)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(HJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(IJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(JJ)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(KJ)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(LJ)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(MJ)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(NJ)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(OJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 1 is active: @(posedge t.printclk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(PJ)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(RJ)","loc":"f,7:8,7:9","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(SJ)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(TJ)","loc":"f,7:8,7:9","dtypep":"(MB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UJ)","loc":"f,7:8,7:9","dtypep":"(MB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(VJ)","loc":"f,7:8,7:9","dtypep":"(MB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(WJ)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(XJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(YJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(ZJ)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(AK)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(BK)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CK)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(DK)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(EK)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(FK)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(GK)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(HK)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(IK)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(JK)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(KK)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LK)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 1 is active: @(posedge t.printclk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(MK)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(NK)","loc":"f,53:32,53:38","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"DISPLAY","name":"","addr":"(OK)","loc":"f,53:32,53:38", + "fmtp": [ + {"type":"SFORMATF","name":"[%0t] %m: Clocked\\n","addr":"(PK)","loc":"f,53:32,53:38","dtypep":"(QK)", + "exprsp": [ + {"type":"TIME","name":"","addr":"(RK)","loc":"f,53:62,53:67","dtypep":"(RE)","timeunit":"1ps"} + ], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(SK)","loc":"f,53:32,53:38","dtypep":"(RE)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(TK)","loc":"f,53:32,53:38","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(UK)","loc":"f,53:32,53:38","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(VK)","loc":"f,53:32,53:38","shortText":"__DOT__ps"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(WK)","loc":"f,53:32,53:38","shortText":"__DOT__TOP"}, + {"type":"TEXT","name":"","addr":"(XK)","loc":"f,53:32,53:38","shortText":"__DOT__t"}, + {"type":"TEXT","name":"","addr":"(YK)","loc":"f,53:32,53:38","shortText":"__DOT__ps"} + ]} + ]} + ],"filep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__1","addr":"(ZK)","loc":"f,28:10,28:13","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(AL)","loc":"f,13:12,13:15","dtypep":"(W)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(BL)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(CL)","loc":"f,13:12,13:15","dtypep":"(W)","access":"WR","varp":"(AL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(DL)","loc":"f,28:10,28:13","dtypep":"(W)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(EL)","loc":"f,28:10,28:13","dtypep":"(W)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(FL)","loc":"f,28:10,28:13","dtypep":"(W)","access":"WR","varp":"(AL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(GL)","loc":"f,26:16,26:18","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(HL)","loc":"f,26:19,26:20","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(IL)","loc":"f,26:7,26:15","dtypep":"(MB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JL)","loc":"f,27:7,27:9", + "condp": [ + {"type":"NEQ","name":"","addr":"(KL)","loc":"f,27:14,27:16","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(LL)","loc":"f,27:16,27:17","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(ML)","loc":"f,27:11,27:14","dtypep":"(W)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(NL)","loc":"f,28:14,28:16","dtypep":"(W)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(OL)","loc":"f,28:21,28:22","dtypep":"(W)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PL)","loc":"f,28:23,28:24","dtypep":"(CC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(QL)","loc":"f,28:23,28:24","dtypep":"(UB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(RL)","loc":"f,28:17,28:20","dtypep":"(W)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(SL)","loc":"f,28:10,28:13","dtypep":"(W)","access":"WR","varp":"(AL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(TL)","loc":"f,29:10,29:12", + "condp": [ + {"type":"EQ","name":"","addr":"(UL)","loc":"f,29:17,29:19","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(VL)","loc":"f,29:19,29:20","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(WL)","loc":"f,29:14,29:17","dtypep":"(W)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(XL)","loc":"f,30:22,30:24","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(YL)","loc":"f,30:25,30:29","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.printclk","addr":"(ZL)","loc":"f,30:13,30:21","dtypep":"(MB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(AM)","loc":"f,32:10,32:12", + "condp": [ + {"type":"EQ","name":"","addr":"(BM)","loc":"f,32:17,32:19","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'sh2","addr":"(CM)","loc":"f,32:19,32:20","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(DM)","loc":"f,32:14,32:17","dtypep":"(W)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(EM)","loc":"f,33:15,33:17","dtypep":"(XE)", + "rhsp": [ + {"type":"CONST","name":"8'h1","addr":"(FM)","loc":"f,33:18,33:22","dtypep":"(XE)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(GM)","loc":"f,33:13,33:14","dtypep":"(XE)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(HM)","loc":"f,35:10,35:12", + "condp": [ + {"type":"EQ","name":"","addr":"(IM)","loc":"f,35:17,35:19","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'sh3","addr":"(JM)","loc":"f,35:19,35:20","dtypep":"(UB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(KM)","loc":"f,35:14,35:17","dtypep":"(W)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(LM)","loc":"f,36:13,36:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(MM)","loc":"f,36:19,36:22","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"8'hf8","addr":"(NM)","loc":"f,36:23,36:28","dtypep":"(XE)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(OM)","loc":"f,58:17,58:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(PM)","loc":"f,58:17,58:18","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(QM)","loc":"f,58:17,58:18","dtypep":"(XE)", + "lhsp": [ + {"type":"ADD","name":"","addr":"(RM)","loc":"f,64:17,64:18","dtypep":"(XE)", + "lhsp": [ + {"type":"ADD","name":"","addr":"(SM)","loc":"f,71:17,71:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TM)","loc":"f,70:15,70:17","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u1.u0.z0","addr":"(UM)","loc":"f,70:15,70:17","dtypep":"(XE)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VM)","loc":"f,70:30,70:32","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u0.z1","addr":"(WM)","loc":"f,70:30,70:32","dtypep":"(XE)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XM)","loc":"f,71:17,71:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YM)","loc":"f,70:15,70:17","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u0.z1","addr":"(ZM)","loc":"f,70:15,70:17","dtypep":"(XE)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(AN)","loc":"f,70:30,70:32","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u1.z1","addr":"(BN)","loc":"f,70:30,70:32","dtypep":"(XE)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CN)","loc":"f,64:17,64:18","dtypep":"(XE)", + "lhsp": [ + {"type":"ADD","name":"","addr":"(DN)","loc":"f,71:17,71:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EN)","loc":"f,70:15,70:17","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u1.u0.z0","addr":"(FN)","loc":"f,70:15,70:17","dtypep":"(XE)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(GN)","loc":"f,70:30,70:32","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u0.z1","addr":"(HN)","loc":"f,70:30,70:32","dtypep":"(XE)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(IN)","loc":"f,71:17,71:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JN)","loc":"f,70:15,70:17","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u0.z1","addr":"(KN)","loc":"f,70:15,70:17","dtypep":"(XE)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LN)","loc":"f,70:30,70:32","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u1.z1","addr":"(MN)","loc":"f,70:30,70:32","dtypep":"(XE)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(NN)","loc":"f,36:30,36:35"} + ],"elsesp": []}, + {"type":"DISPLAY","name":"","addr":"(ON)","loc":"f,43:13,43:19", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(PN)","loc":"f,43:13,43:19","dtypep":"(QK)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(QN)","loc":"f,44:13,44:20"} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(RN)","loc":"f,28:10,28:13","dtypep":"(W)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(SN)","loc":"f,28:10,28:13","dtypep":"(W)","access":"RD","varp":"(AL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(TN)","loc":"f,28:10,28:13","dtypep":"(W)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(UN)","loc":"f,78:13,78:14","dtypep":"(XE)", + "rhsp": [ + {"type":"AND","name":"","addr":"(VN)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(WN)","loc":"f,78:17,78:18","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XN)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YN)","loc":"f,72:21,72:22","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h3","addr":"(ZN)","loc":"f,72:21,72:22","dtypep":"(XE)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(AO)","loc":"f,79:21,79:22","dtypep":"(XE)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(BO)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CO)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(DO)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EO)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FO)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(GO)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u0.z1","addr":"(HO)","loc":"f,76:40,76:41","dtypep":"(XE)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IO)","loc":"f,78:13,78:14","dtypep":"(XE)", + "rhsp": [ + {"type":"AND","name":"","addr":"(JO)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(KO)","loc":"f,78:17,78:18","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(LO)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MO)","loc":"f,72:21,72:22","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h5","addr":"(NO)","loc":"f,72:21,72:22","dtypep":"(XE)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(OO)","loc":"f,79:21,79:22","dtypep":"(XE)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(PO)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QO)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(RO)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(SO)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TO)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(UO)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.u.u0.u1.z1","addr":"(VO)","loc":"f,76:40,76:41","dtypep":"(XE)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WO)","loc":"f,78:13,78:14","dtypep":"(XE)", + "rhsp": [ + {"type":"AND","name":"","addr":"(XO)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(YO)","loc":"f,78:17,78:18","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(ZO)","loc":"f,78:17,78:18","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AP)","loc":"f,79:22,79:26","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(BP)","loc":"f,79:22,79:26","dtypep":"(XE)"} + ]} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CP)","loc":"f,79:21,79:22","dtypep":"(XE)", + "lhsp": [ + {"type":"NOT","name":"","addr":"(DP)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EP)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(FP)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(GP)","loc":"f,23:10,23:11","dtypep":"(XE)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HP)","loc":"f,20:14,20:15","dtypep":"(XE)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.a","addr":"(IP)","loc":"f,20:14,20:15","dtypep":"(XE)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.u.u1.u0.z0","addr":"(JP)","loc":"f,76:40,76:41","dtypep":"(XE)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(KP)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(LP)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(MP)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(NP)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(OP)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(PP)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(QP)","loc":"f,53:32,53:38", + "exprp": [ + {"type":"CCALL","name":"","addr":"(RP)","loc":"f,53:32,53:38","dtypep":"(JB)","funcName":"_nba_sequent__TOP__0","funcp":"(NK)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(SP)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(TP)","loc":"f,7:8,7:9","dtypep":"(OE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(UP)","loc":"f,7:8,7:9","dtypep":"(OE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(VP)","loc":"f,7:8,7:9","dtypep":"(RE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(WP)","loc":"f,7:8,7:9","dtypep":"(NH)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(XP)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(YP)","loc":"f,28:10,28:13", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZP)","loc":"f,28:10,28:13","dtypep":"(JB)","funcName":"_nba_sequent__TOP__1","funcp":"(ZK)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(AQ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(BQ)","loc":"f,7:8,7:9","dtypep":"(CB)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(CQ)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(DQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EQ)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_triggers__act","funcp":"(JH)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(FQ)","loc":"a,0:0,0:0","dtypep":"(MB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GQ)","loc":"a,0:0,0:0","dtypep":"(MB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(HQ)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(IQ)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"WR","varp":"(CQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JQ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(KQ)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(CQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(LQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(MQ)","loc":"a,0:0,0:0","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(NQ)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"WR","varp":"(BQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(OQ)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PQ)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(QQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(RQ)","loc":"a,0:0,0:0","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(SQ)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(TQ)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"RD","varp":"(BB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(UQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VQ)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_act","funcp":"(MK)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(WQ)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(XQ)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(CQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(YQ)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(ZQ)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(AR)","loc":"a,0:0,0:0","dtypep":"(MB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(BR)","loc":"a,0:0,0:0","dtypep":"(MB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CR)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(DR)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"WR","varp":"(ZQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(ER)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(FR)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(ZQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(GR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HR)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(IR)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(JR)","loc":"a,0:0,0:0","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KR)","loc":"a,0:0,0:0","dtypep":"(NH)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(LR)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(MR)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(ZQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(EB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(NR)","loc":"f,7:8,7:9","dtypep":"(Y)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(OR)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(PR)","loc":"f,7:8,7:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(QR)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(RR)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"WR","varp":"(NR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SR)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(TR)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(UR)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(VR)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(WR)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XR)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(YR)","loc":"a,0:0,0:0","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ZR)","loc":"a,0:0,0:0","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(AS)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(NR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(BS)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(CS)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(DS)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ES)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_dump_triggers__nba","funcp":"(PJ)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(FS)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(GS)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(HS)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(IS)","loc":"f,7:8,7:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(JS)","loc":"f,7:8,7:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KS)","loc":"f,7:8,7:9","dtypep":"(CC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(LS)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(MS)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"RD","varp":"(NR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(NS)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"WR","varp":"(NR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(OS)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(PS)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(QS)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RS)","loc":"f,7:8,7:9","dtypep":"(Y)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(SS)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(TS)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(US)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(VS)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(WS)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(XS)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(YS)","loc":"a,0:0,0:0","dtypep":"(MB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ZS)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(AT)","loc":"a,0:0,0:0","dtypep":"(MB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(BT)","loc":"a,0:0,0:0","dtypep":"(CC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(CT)","loc":"a,0:0,0:0","dtypep":"(Y)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(DT)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(ET)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(FT)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GT)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_dump_triggers__act","funcp":"(QI)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(HT)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(IT)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(JT)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(KT)","loc":"f,7:8,7:9","dtypep":"(Y)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(LT)","loc":"f,7:8,7:9","dtypep":"(Y)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MT)","loc":"f,7:8,7:9","dtypep":"(CC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(NT)","loc":"f,7:8,7:9","dtypep":"(CC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(OT)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(PT)","loc":"f,7:8,7:9","dtypep":"(Y)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(QT)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(RT)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(ST)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(TT)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(UT)","loc":"a,0:0,0:0","dtypep":"(MB)","funcName":"_eval_phase__act","funcp":"(AQ)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(VT)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(WT)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(XT)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(YT)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ZT)","loc":"a,0:0,0:0","dtypep":"(MB)","funcName":"_eval_phase__nba","funcp":"(YQ)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(AU)","loc":"f,7:8,7:9","dtypep":"(MB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(BU)","loc":"f,7:8,7:9","dtypep":"(MB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(CU)","loc":"f,7:8,7:9","dtypep":"(MB)","access":"WR","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(DU)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(EU)","loc":"f,12:10,12:13", + "condp": [ + {"type":"AND","name":"","addr":"(FU)","loc":"f,12:10,12:13","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(GU)","loc":"f,12:10,12:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(HU)","loc":"f,12:10,12:13","dtypep":"(M)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(IU)","loc":"f,12:10,12:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JU)","loc":"f,12:10,12:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(KU)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(LU)","loc":"f,12:10,12:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(MU)","loc":"f,12:10,12:13","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NU)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(OU)","loc":"f,13:12,13:15","dtypep":"(W)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PU)","loc":"f,16:12,16:20", + "varrefp": [ + {"type":"VARREF","name":"t.printclk","addr":"(QU)","loc":"f,16:12,16:20","dtypep":"(J)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RU)","loc":"f,20:14,20:15", + "varrefp": [ + {"type":"VARREF","name":"t.a","addr":"(SU)","loc":"f,20:14,20:15","dtypep":"(M)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TU)","loc":"f,70:30,70:32", + "varrefp": [ + {"type":"VARREF","name":"t.u.u0.u0.z1","addr":"(UU)","loc":"f,70:30,70:32","dtypep":"(M)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VU)","loc":"f,70:30,70:32", + "varrefp": [ + {"type":"VARREF","name":"t.u.u0.u1.z1","addr":"(WU)","loc":"f,70:30,70:32","dtypep":"(M)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XU)","loc":"f,70:15,70:17", + "varrefp": [ + {"type":"VARREF","name":"t.u.u1.u0.z0","addr":"(YU)","loc":"f,70:15,70:17","dtypep":"(M)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZU)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(AV)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BV)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t.printclk__0","addr":"(CV)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0__Syms.cpp","addr":"(DV)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0__Syms.h","addr":"(EV)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0.h","addr":"(FV)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0.cpp","addr":"(GV)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0_$root.h","addr":"(HV)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0_$root__Slow.cpp","addr":"(IV)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0_$root__DepSet_h1f7563fc__0__Slow.cpp","addr":"(JV)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0_$root__DepSet_h7a02a680__0__Slow.cpp","addr":"(KV)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0_$root__DepSet_h1f7563fc__0.cpp","addr":"(LV)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub0/Vt_inst_tree_inl1_pub0_$root__DepSet_h7a02a680__0.cpp","addr":"(MV)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(JB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(JB)","loc":"d,51:21,51:30","dtypep":"(JB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(QK)","loc":"d,156:10,156:16","dtypep":"(QK)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(RE)","loc":"f,53:62,53:67","dtypep":"(RE)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(W)","loc":"f,13:4,13:11","dtypep":"(W)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(M)","loc":"f,20:4,20:7","dtypep":"(M)","keyword":"logic","range":"7:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(UB)","loc":"f,13:29,13:30","dtypep":"(UB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(AB)","loc":"f,7:8,7:9","dtypep":"(AB)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(CC)","loc":"f,7:8,7:9","dtypep":"(CC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(OE)","loc":"f,7:8,7:9","dtypep":"(OE)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(R)","loc":"f,7:8,7:9","dtypep":"(R)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(Y)","loc":"f,7:8,7:9","dtypep":"(Y)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(CB)","loc":"f,7:8,7:9","dtypep":"(CB)","keyword":"VlTriggerVec","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(MB)","loc":"f,25:22,25:25","dtypep":"(MB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(XE)","loc":"f,72:21,72:22","dtypep":"(XE)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NH)","loc":"f,7:8,7:9","dtypep":"(NH)","keyword":"logic","range":"31:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(NV)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(OV)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(NV)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_inst_tree_inl1_pub0.pl b/test_regress/t/t_inst_tree_inl1_pub0.pl index 2aac81bfc..74309894b 100755 --- a/test_regress/t/t_inst_tree_inl1_pub0.pl +++ b/test_regress/t/t_inst_tree_inl1_pub0.pl @@ -11,16 +11,16 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_inst_tree.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ["-fno-dfg-post-inline", "$Self->{t_dir}/t_inst_tree_inl1_pub0.vlt"], + v_flags2 => ["--no-json-edit-nums", "-fno-dfg-post-inline", "$Self->{t_dir}/t_inst_tree_inl1_pub0.vlt"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.u.u0.u0.z1",.*"loc":"f,70:[^"]*",.*"origName":"z1",.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.u.u0.u1.z1",.*"loc":"f,70:[^"]*",.*"origName":"z1",.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.u.u1.u0.z0",.*"loc":"f,70:[^"]*",.*"origName":"z0",.*"dtypeName":"logic"/); } execute( diff --git a/test_regress/t/t_inst_tree_inl1_pub1.out b/test_regress/t/t_inst_tree_inl1_pub1.out new file mode 100644 index 000000000..68160ea20 --- /dev/null +++ b/test_regress/t/t_inst_tree_inl1_pub1.out @@ -0,0 +1,4371 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"f,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(I)","loc":"f,12:10,12:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(K)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VicoFirstIteration","addr":"(M)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VicoFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(N)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__t__printclk__0","addr":"(O)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__t____PVT__printclk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(P)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(Q)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(S)","loc":"f,7:8,7:9","dtypep":"(T)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VicoTriggered","addr":"(U)","loc":"f,7:8,7:9","dtypep":"(V)","origName":"__VicoTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(W)","loc":"f,7:8,7:9","dtypep":"(X)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(Y)","loc":"f,7:8,7:9","dtypep":"(X)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"t","addr":"(Z)","loc":"f,7:8,7:9","origName":"t","recursive":false,"modp":"(AB)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"f,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(BB)","loc":"f,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(CB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(DB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(EB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FB)","loc":"f,7:8,7:9","dtypep":"(GB)","funcName":"_eval_initial__TOP__t","funcp":"(HB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(IB)","loc":"f,25:22,25:25","dtypep":"(JB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(KB)","loc":"f,25:22,25:25","dtypep":"(JB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(LB)","loc":"f,25:22,25:25","dtypep":"(JB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(MB)","loc":"f,53:22,53:30","dtypep":"(JB)", + "rhsp": [ + {"type":"VARREF","name":"printclk","addr":"(NB)","loc":"f,53:22,53:30","dtypep":"(JB)","access":"RD","varp":"(OB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t__printclk__0","addr":"(PB)","loc":"f,53:22,53:30","dtypep":"(JB)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(QB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(RB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(SB)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(TB)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(UB)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(VB)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(XB)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(SB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(YB)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(ZB)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(AC)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(BC)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(CC)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(DC)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(TB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(EC)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(FC)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(TB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(GC)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(HC)","loc":"a,0:0,0:0","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(IC)","loc":"a,0:0,0:0","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(JC)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(SB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(KC)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(LC)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(MC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_dump_triggers__stl","funcp":"(OC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(PC)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(QC)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(RC)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(SC)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(TC)","loc":"f,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UC)","loc":"f,7:8,7:9","dtypep":"(WB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(VC)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(WC)","loc":"f,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(SB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(XC)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(SB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(YC)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(ZC)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(AD)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(TB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(BD)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(CD)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_phase__stl","funcp":"(DD)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(ED)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(FD)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(GD)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(TB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HD)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(ID)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(JD)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(KD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(LD)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(MD)","loc":"f,7:8,7:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(ND)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(OD)","loc":"f,7:8,7:9","dtypep":"(WB)"}, + {"type":"CCAST","name":"","addr":"(PD)","loc":"f,7:8,7:9","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(QD)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(RD)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(SD)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(TD)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(UD)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(VD)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_dump_triggers__stl","funcp":"(OC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(WD)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(XD)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(OC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YD)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(ZD)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AE)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(BE)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CE)","loc":"f,7:8,7:9","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(DE)","loc":"f,7:8,7:9","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(EE)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(FE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(HE)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(JE)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(KE)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(ME)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(NE)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(OE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(PE)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(RE)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(SE)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(TE)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(UE)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(VE)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(WE)","loc":"f,86:13,86:14", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XE)","loc":"f,86:13,86:14","dtypep":"(GB)","funcName":"_stl_sequent__TOP__t__0","funcp":"(YE)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(DD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(ZE)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(AF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BF)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_triggers__stl","funcp":"(KD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(CF)","loc":"a,0:0,0:0","dtypep":"(JB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(DF)","loc":"a,0:0,0:0","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(EF)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(FF)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"WR","varp":"(ZE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(GF)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(HF)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(ZE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(IF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(JF)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_stl","funcp":"(PE)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(KF)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(LF)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(ZE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__ico","addr":"(MF)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(NF)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(OF)","loc":"f,7:8,7:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(PF)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(QF)","loc":"f,7:8,7:9","dtypep":"(WB)"}, + {"type":"CCAST","name":"","addr":"(RF)","loc":"f,7:8,7:9","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VicoFirstIteration","addr":"(SF)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(TF)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(UF)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(VF)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(WF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(XF)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_dump_triggers__ico","funcp":"(YF)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(ZF)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(AG)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__ico","addr":"(YF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(BG)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(CG)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(DG)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EG)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FG)","loc":"f,7:8,7:9","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GG)","loc":"f,7:8,7:9","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(HG)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(IG)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JG)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(KG)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(LG)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(MG)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(NG)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(OG)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(PG)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'ico' region trigger index 0 is active: Internal 'ico' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_ico","addr":"(QG)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(RG)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(SG)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(TG)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(UG)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(VG)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(WG)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(XG)","loc":"f,83:40,83:41", + "exprp": [ + {"type":"CCALL","name":"","addr":"(YG)","loc":"f,83:40,83:41","dtypep":"(GB)","funcName":"_ico_sequent__TOP__t__0","funcp":"(ZG)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__ico","addr":"(AH)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VicoExecute","addr":"(BH)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VicoExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(CH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DH)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_triggers__ico","funcp":"(MF)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(EH)","loc":"a,0:0,0:0","dtypep":"(JB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(FH)","loc":"a,0:0,0:0","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VicoTriggered","addr":"(GH)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoExecute","addr":"(HH)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"WR","varp":"(BH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(IH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VicoExecute","addr":"(JH)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(BH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(KH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(LH)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_ico","funcp":"(QG)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(MH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VicoExecute","addr":"(NH)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(BH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(OH)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(PH)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(QH)","loc":"f,7:8,7:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(RH)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TH)","loc":"f,7:8,7:9","dtypep":"(WB)"}, + {"type":"AND","name":"","addr":"(UH)","loc":"f,25:14,25:21","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VH)","loc":"f,25:22,25:25","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(WH)","loc":"f,25:22,25:25","dtypep":"(JB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(XH)","loc":"f,25:14,25:21","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YH)","loc":"f,25:14,25:21","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(ZH)","loc":"f,25:14,25:21","dtypep":"(JB)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(AI)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(BI)","loc":"f,7:8,7:9","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(CI)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h1","addr":"(DI)","loc":"f,7:8,7:9","dtypep":"(WB)"}, + {"type":"AND","name":"","addr":"(EI)","loc":"f,53:14,53:21","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FI)","loc":"f,53:22,53:30","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"printclk","addr":"(GI)","loc":"f,53:22,53:30","dtypep":"(JB)","access":"RD","varp":"(OB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HI)","loc":"f,53:14,53:21","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(II)","loc":"f,53:14,53:21","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t__printclk__0","addr":"(JI)","loc":"f,53:14,53:21","dtypep":"(JB)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(KI)","loc":"f,25:22,25:25","dtypep":"(JB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(LI)","loc":"f,25:22,25:25","dtypep":"(JB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(MI)","loc":"f,25:22,25:25","dtypep":"(JB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NI)","loc":"f,53:22,53:30","dtypep":"(JB)", + "rhsp": [ + {"type":"VARREF","name":"printclk","addr":"(OI)","loc":"f,53:22,53:30","dtypep":"(JB)","access":"RD","varp":"(OB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t__printclk__0","addr":"(PI)","loc":"f,53:22,53:30","dtypep":"(JB)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(QI)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RI)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(SI)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(TI)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(UI)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_dump_triggers__act","funcp":"(VI)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(WI)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(XI)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(VI)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YI)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(ZI)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AJ)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(BJ)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CJ)","loc":"f,7:8,7:9","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(DJ)","loc":"f,7:8,7:9","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(EJ)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(FJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(HJ)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(IJ)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(JJ)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(KJ)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(LJ)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(MJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(NJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(OJ)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(PJ)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(QJ)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(RJ)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(SJ)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(TJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 1 is active: @(posedge t.printclk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(UJ)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(WJ)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(XJ)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(YJ)","loc":"f,7:8,7:9","dtypep":"(JB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZJ)","loc":"f,7:8,7:9","dtypep":"(JB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(AK)","loc":"f,7:8,7:9","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(BK)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(CK)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(DK)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(EK)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(FK)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(GK)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HK)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(IK)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(JK)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(KK)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(LK)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(MK)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(NK)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(OK)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(PK)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(QK)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 1 is active: @(posedge t.printclk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(RK)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(SK)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(TK)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h2","addr":"(UK)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(VK)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(WK)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(XK)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(YK)","loc":"f,53:32,53:38", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZK)","loc":"f,53:32,53:38","dtypep":"(GB)","funcName":"_nba_sequent__TOP__t__0","funcp":"(AL)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(BL)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(CL)","loc":"f,7:8,7:9","dtypep":"(IE)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(DL)","loc":"f,7:8,7:9","dtypep":"(IE)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(EL)","loc":"f,7:8,7:9","dtypep":"(LE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(FL)","loc":"f,7:8,7:9","dtypep":"(SH)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(GL)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(HL)","loc":"f,28:10,28:13", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IL)","loc":"f,28:10,28:13","dtypep":"(GB)","funcName":"_nba_sequent__TOP__t__1","funcp":"(JL)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(KL)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(LL)","loc":"f,7:8,7:9","dtypep":"(X)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(ML)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(NL)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(OL)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_triggers__act","funcp":"(OH)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(PL)","loc":"a,0:0,0:0","dtypep":"(JB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(QL)","loc":"a,0:0,0:0","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(RL)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(SL)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"WR","varp":"(ML)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(TL)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(UL)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(ML)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(VL)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(WL)","loc":"a,0:0,0:0","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(XL)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"WR","varp":"(LL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(YL)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(ZL)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(AM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(BM)","loc":"a,0:0,0:0","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CM)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(DM)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(EM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FM)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_act","funcp":"(RK)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(GM)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(HM)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(ML)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(IM)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(JM)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(KM)","loc":"a,0:0,0:0","dtypep":"(JB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(LM)","loc":"a,0:0,0:0","dtypep":"(JB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(MM)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(NM)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"WR","varp":"(JM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(OM)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(PM)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(JM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(QM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(RM)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(SM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(TM)","loc":"a,0:0,0:0","dtypep":"(GB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(UM)","loc":"a,0:0,0:0","dtypep":"(SH)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(VM)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(WM)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(JM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(BB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VicoIterCount","addr":"(XM)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VicoIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VicoContinue","addr":"(YM)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VicoContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaIterCount","addr":"(ZM)","loc":"f,7:8,7:9","dtypep":"(R)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(AN)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(BN)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(CN)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(DN)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(XM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(EN)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(FN)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoFirstIteration","addr":"(GN)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HN)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(IN)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(JN)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(YM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(KN)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(LN)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(YM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MN)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(NN)","loc":"a,0:0,0:0","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ON)","loc":"a,0:0,0:0","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(PN)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(XM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(QN)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RN)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(SN)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TN)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_dump_triggers__ico","funcp":"(YF)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(UN)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(VN)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(WN)","loc":"a,0:0,0:0","shortText":"\"Input combinational region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(XN)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(YN)","loc":"f,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZN)","loc":"f,7:8,7:9","dtypep":"(WB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AO)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(BO)","loc":"f,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(XM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoIterCount","addr":"(CO)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(XM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(DO)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(EO)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(FO)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(YM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(GO)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(HO)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_phase__ico","funcp":"(AH)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(IO)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(JO)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoContinue","addr":"(KO)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(YM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(LO)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(MO)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VicoFirstIteration","addr":"(NO)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []}, + {"type":"ASSIGN","name":"","addr":"(OO)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(PO)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(QO)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(ZM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RO)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(SO)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(TO)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(AN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(UO)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(VO)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(AN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(WO)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(XO)","loc":"a,0:0,0:0","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(YO)","loc":"a,0:0,0:0","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(ZO)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(ZM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(AP)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(BP)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(CP)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DP)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_dump_triggers__nba","funcp":"(UJ)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(EP)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(FP)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(GP)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HP)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(IP)","loc":"f,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JP)","loc":"f,7:8,7:9","dtypep":"(WB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(KP)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(LP)","loc":"f,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(ZM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(MP)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(ZM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NP)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(OP)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(PP)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(AN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(QP)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(RP)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(SP)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TP)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(UP)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(VP)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(WP)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(XP)","loc":"a,0:0,0:0","dtypep":"(JB)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YP)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(ZP)","loc":"a,0:0,0:0","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(AQ)","loc":"a,0:0,0:0","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(BQ)","loc":"a,0:0,0:0","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(CQ)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(DQ)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(EQ)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FQ)","loc":"a,0:0,0:0","dtypep":"(GB)","funcName":"_dump_triggers__act","funcp":"(VI)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(GQ)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(HQ)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_inst_tree.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(IQ)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(JQ)","loc":"f,7:8,7:9","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(KQ)","loc":"f,7:8,7:9","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LQ)","loc":"f,7:8,7:9","dtypep":"(WB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(MQ)","loc":"f,7:8,7:9","dtypep":"(WB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(NQ)","loc":"f,7:8,7:9","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(OQ)","loc":"f,7:8,7:9","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PQ)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(QQ)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(RQ)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(SQ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(TQ)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_phase__act","funcp":"(KL)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(UQ)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(VQ)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(WQ)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(XQ)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(YQ)","loc":"a,0:0,0:0","dtypep":"(JB)","funcName":"_eval_phase__nba","funcp":"(IM)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(ZQ)","loc":"f,7:8,7:9","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(AR)","loc":"f,7:8,7:9","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(BR)","loc":"f,7:8,7:9","dtypep":"(JB)","access":"WR","varp":"(AN)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(CR)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(DR)","loc":"f,12:10,12:13", + "condp": [ + {"type":"AND","name":"","addr":"(ER)","loc":"f,12:10,12:13","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(FR)","loc":"f,12:10,12:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(GR)","loc":"f,12:10,12:13","dtypep":"(HR)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(IR)","loc":"f,12:10,12:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JR)","loc":"f,12:10,12:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(KR)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(LR)","loc":"f,12:10,12:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(MR)","loc":"f,12:10,12:13","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NR)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(OR)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PR)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__t__printclk__0","addr":"(QR)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"t","addr":"(RR)","loc":"f,7:8,7:9","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"t","addr":"(AB)","loc":"f,7:8,7:9","origName":"t","level":2,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(SR)","loc":"f,12:10,12:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"printclk","addr":"(OB)","loc":"f,16:12,16:20","dtypep":"(J)","origName":"printclk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"a","addr":"(TR)","loc":"f,20:14,20:15","dtypep":"(HR)","origName":"a","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.z","addr":"(UR)","loc":"f,56:40,56:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.z0","addr":"(VR)","loc":"f,57:15,57:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.z1","addr":"(WR)","loc":"f,57:30,57:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.z","addr":"(XR)","loc":"f,62:40,62:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.z0","addr":"(YR)","loc":"f,63:15,63:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.z1","addr":"(ZR)","loc":"f,63:30,63:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.z","addr":"(AS)","loc":"f,69:40,69:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.z0","addr":"(BS)","loc":"f,70:15,70:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.z1","addr":"(CS)","loc":"f,70:30,70:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.z","addr":"(DS)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.z0","addr":"(ES)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.z1","addr":"(FS)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.u0.z","addr":"(GS)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.u0.z0","addr":"(HS)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.u0.z1","addr":"(IS)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.u1.z","addr":"(JS)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.u1.z0","addr":"(KS)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u0.u1.z1","addr":"(LS)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.z","addr":"(MS)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.z0","addr":"(NS)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.z1","addr":"(OS)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.u0.z","addr":"(PS)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.u0.z0","addr":"(QS)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.u0.z1","addr":"(RS)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.u1.z","addr":"(SS)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.u1.z0","addr":"(TS)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u0.u1.u1.z1","addr":"(US)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.z","addr":"(VS)","loc":"f,69:40,69:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.z0","addr":"(WS)","loc":"f,70:15,70:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.z1","addr":"(XS)","loc":"f,70:30,70:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.z","addr":"(YS)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.z0","addr":"(ZS)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.z1","addr":"(AT)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.u0.z","addr":"(BT)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.u0.z0","addr":"(CT)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.u0.z1","addr":"(DT)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.u1.z","addr":"(ET)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.u1.z0","addr":"(FT)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u0.u1.z1","addr":"(GT)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.z","addr":"(HT)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.z0","addr":"(IT)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.z1","addr":"(JT)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.u0.z","addr":"(KT)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.u0.z0","addr":"(LT)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.u0.z1","addr":"(MT)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.u1.z","addr":"(NT)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.u1.z0","addr":"(OT)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u0.u1.u1.u1.z1","addr":"(PT)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.z","addr":"(QT)","loc":"f,62:40,62:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.z0","addr":"(RT)","loc":"f,63:15,63:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.z1","addr":"(ST)","loc":"f,63:30,63:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.z","addr":"(TT)","loc":"f,69:40,69:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.z0","addr":"(UT)","loc":"f,70:15,70:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.z1","addr":"(VT)","loc":"f,70:30,70:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.z","addr":"(WT)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.z0","addr":"(XT)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.z1","addr":"(YT)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.u0.z","addr":"(ZT)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.u0.z0","addr":"(AU)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.u0.z1","addr":"(BU)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.u1.z","addr":"(CU)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.u1.z0","addr":"(DU)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u0.u1.z1","addr":"(EU)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.z","addr":"(FU)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.z0","addr":"(GU)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.z1","addr":"(HU)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.u0.z","addr":"(IU)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.u0.z0","addr":"(JU)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.u0.z1","addr":"(KU)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.u1.z","addr":"(LU)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.u1.z0","addr":"(MU)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u0.u1.u1.z1","addr":"(NU)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.z","addr":"(OU)","loc":"f,69:40,69:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.z0","addr":"(PU)","loc":"f,70:15,70:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.z1","addr":"(QU)","loc":"f,70:30,70:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.z","addr":"(RU)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.z0","addr":"(SU)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.z1","addr":"(TU)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.u0.z","addr":"(UU)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.u0.z0","addr":"(VU)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.u0.z1","addr":"(WU)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.u1.z","addr":"(XU)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.u1.z0","addr":"(YU)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u0.u1.z1","addr":"(ZU)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.z","addr":"(AV)","loc":"f,76:40,76:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.z0","addr":"(BV)","loc":"f,77:15,77:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.z1","addr":"(CV)","loc":"f,77:30,77:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.u0.z","addr":"(DV)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.u0.z0","addr":"(EV)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.u0.z1","addr":"(FV)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.u1.z","addr":"(GV)","loc":"f,83:40,83:41","dtypep":"(HR)","origName":"z","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.u1.z0","addr":"(HV)","loc":"f,85:15,85:17","dtypep":"(HR)","origName":"z0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"u.u1.u1.u1.u1.z1","addr":"(IV)","loc":"f,85:30,85:32","dtypep":"(HR)","origName":"z1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"cyc","addr":"(JV)","loc":"f,13:12,13:15","dtypep":"(KV)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"SCOPE","name":"t","addr":"(LV)","loc":"f,7:8,7:9","aboveScopep":"(BB)","aboveCellp":"(Z)","modp":"(AB)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t","addr":"(HB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LV)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(MV)","loc":"f,13:28,13:29","dtypep":"(KV)", + "rhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(NV)","loc":"f,13:29,13:30","dtypep":"(OV)"} + ], + "lhsp": [ + {"type":"VARREF","name":"cyc","addr":"(PV)","loc":"f,13:25,13:28","dtypep":"(KV)","access":"WR","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_stl_sequent__TOP__t__0","addr":"(YE)","loc":"f,86:13,86:14","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LV)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(QV)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(SV)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(TV)","loc":"f,23:10,23:11","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(UV)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VV)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(WV)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z","addr":"(XV)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(GS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(YV)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(ZV)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(AW)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(BW)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CW)","loc":"f,79:22,79:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(DW)","loc":"f,79:22,79:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EW)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FW)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(GW)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z","addr":"(HW)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(JS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IW)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(JW)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(KW)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(LW)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MW)","loc":"f,72:22,72:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(NW)","loc":"f,72:22,72:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(OW)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PW)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(QW)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z","addr":"(RW)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(PS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(SW)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(TW)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(UW)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(VW)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(WW)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(XW)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(YW)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZW)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(AX)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z","addr":"(BX)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(SS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(CX)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(DX)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(EX)","loc":"f,65:21,65:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(FX)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(GX)","loc":"f,65:22,65:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(HX)","loc":"f,65:22,65:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(IX)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JX)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(KX)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z","addr":"(LX)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(MX)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(NX)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(OX)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(PX)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QX)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(RX)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(SX)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TX)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(UX)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z","addr":"(VX)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WX)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(XX)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(YX)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(ZX)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AY)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(BY)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(CY)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DY)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(EY)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z","addr":"(FY)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(KT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(GY)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(HY)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(IY)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(JY)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KY)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h3","addr":"(LY)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(MY)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NY)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(OY)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z","addr":"(PY)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(NT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QY)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(RY)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(SY)","loc":"f,23:10,23:11","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(TY)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UY)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(VY)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z","addr":"(WY)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(ZT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(XY)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(YY)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(ZY)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(AZ)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BZ)","loc":"f,79:22,79:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(CZ)","loc":"f,79:22,79:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(DZ)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EZ)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(FZ)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z","addr":"(GZ)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(CU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HZ)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(IZ)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(JZ)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(KZ)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LZ)","loc":"f,72:22,72:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(MZ)","loc":"f,72:22,72:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(NZ)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(OZ)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(PZ)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z","addr":"(QZ)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(IU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(RZ)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(SZ)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(TZ)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(UZ)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VZ)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(WZ)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(XZ)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YZ)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(ZZ)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z","addr":"(AAB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(LU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(BAB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(CAB)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(DAB)","loc":"f,65:21,65:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(EAB)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FAB)","loc":"f,65:22,65:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(GAB)","loc":"f,65:22,65:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HAB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IAB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(JAB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z","addr":"(KAB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(UU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LAB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(MAB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(NAB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(OAB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PAB)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(QAB)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(RAB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SAB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(TAB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z","addr":"(UAB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(XU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(VAB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(WAB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(XAB)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(YAB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZAB)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(ABB)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(BBB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CBB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(DBB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z","addr":"(EBB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(DV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(FBB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(GBB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(HBB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(IBB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JBB)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h3","addr":"(KBB)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(LBB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MBB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(NBB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z","addr":"(OBB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(GV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(PBB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z","addr":"(QBB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(GS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z0","addr":"(RBB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(SBB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z","addr":"(TBB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(JS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z1","addr":"(UBB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(VBB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z","addr":"(WBB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(PS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z0","addr":"(XBB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(YBB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z","addr":"(ZBB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(SS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z1","addr":"(ACB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(OS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(BCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z","addr":"(CCB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z0","addr":"(DCB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ECB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z","addr":"(FCB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z1","addr":"(GCB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(AT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z","addr":"(ICB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(KT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z0","addr":"(JCB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(IT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(KCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z","addr":"(LCB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(NT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z1","addr":"(MCB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(JT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z","addr":"(OCB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(ZT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z0","addr":"(PCB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z","addr":"(RCB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(CU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z1","addr":"(SCB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(TCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z","addr":"(UCB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(IU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z0","addr":"(VCB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(GU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z","addr":"(XCB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(LU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z1","addr":"(YCB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(HU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZCB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z","addr":"(ADB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(UU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z0","addr":"(BDB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(SU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(CDB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z","addr":"(DDB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(XU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z1","addr":"(EDB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(TU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(FDB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z","addr":"(GDB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(DV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z0","addr":"(HDB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(BV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IDB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z","addr":"(JDB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(GV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z1","addr":"(KDB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(CV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LDB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(MDB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(NDB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(ODB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PDB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z0","addr":"(QDB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(RDB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z1","addr":"(SDB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z","addr":"(TDB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(DS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UDB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(VDB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(WDB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XDB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YDB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z0","addr":"(ZDB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(AEB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z1","addr":"(BEB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(OS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z","addr":"(CEB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(MS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(DEB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(EEB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(FEB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(GEB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HEB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z0","addr":"(IEB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(JEB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z1","addr":"(KEB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(AT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z","addr":"(LEB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(MEB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(NEB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(OEB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(PEB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QEB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z0","addr":"(REB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(IT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(SEB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z1","addr":"(TEB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(JT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z","addr":"(UEB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(HT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(VEB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(WEB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(XEB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(YEB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZEB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z0","addr":"(AFB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BFB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z1","addr":"(CFB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z","addr":"(DFB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(WT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(EFB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(FFB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(GFB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(HFB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IFB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z0","addr":"(JFB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(GU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KFB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z1","addr":"(LFB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(HU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z","addr":"(MFB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(FU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NFB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(OFB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(PFB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(QFB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RFB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z0","addr":"(SFB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(SU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(TFB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z1","addr":"(UFB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(TU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z","addr":"(VFB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(RU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WFB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(XFB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(YFB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(ZFB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AGB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z0","addr":"(BGB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(BV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(CGB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z1","addr":"(DGB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(CV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z","addr":"(EGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(AV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(FGB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z","addr":"(GGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(DS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z0","addr":"(HGB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(BS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IGB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z","addr":"(JGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(MS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z1","addr":"(KGB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LGB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z","addr":"(MGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z0","addr":"(NGB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(WS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(OGB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z","addr":"(PGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(HT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z1","addr":"(QGB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(XS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(RGB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z","addr":"(SGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(WT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z0","addr":"(TGB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(UT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UGB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z","addr":"(VGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(FU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z1","addr":"(WGB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(VT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(XGB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z","addr":"(YGB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(RU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z0","addr":"(ZGB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(PU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(AHB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z","addr":"(BHB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(AV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z1","addr":"(CHB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(DHB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(EHB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(FHB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(GHB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HHB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z0","addr":"(IHB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(BS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(JHB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z1","addr":"(KHB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z","addr":"(LHB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(AS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(MHB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(NHB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(OHB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(PHB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QHB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z0","addr":"(RHB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(WS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(SHB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z1","addr":"(THB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(XS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z","addr":"(UHB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(VS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(VHB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(WHB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(XHB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(YHB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZHB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z0","addr":"(AIB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(UT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BIB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z1","addr":"(CIB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(VT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z","addr":"(DIB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(TT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(EIB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(FIB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(GIB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(HIB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IIB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z0","addr":"(JIB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(PU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KIB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z1","addr":"(LIB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z","addr":"(MIB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(OU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NIB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.z","addr":"(OIB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(AS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z0","addr":"(PIB)","loc":"f,66:14,66:16","dtypep":"(RV)","access":"WR","varp":"(YR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QIB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.z","addr":"(RIB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(VS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z1","addr":"(SIB)","loc":"f,66:32,66:34","dtypep":"(RV)","access":"WR","varp":"(ZR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(TIB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.z","addr":"(UIB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(TT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z0","addr":"(VIB)","loc":"f,66:14,66:16","dtypep":"(RV)","access":"WR","varp":"(RT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WIB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.z","addr":"(XIB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(OU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z1","addr":"(YIB)","loc":"f,66:32,66:34","dtypep":"(RV)","access":"WR","varp":"(ST)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZIB)","loc":"f,64:13,64:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(AJB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(BJB)","loc":"f,64:17,64:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CJB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DJB)","loc":"f,63:15,63:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.z0","addr":"(EJB)","loc":"f,63:15,63:17","dtypep":"(RV)","access":"RD","varp":"(YR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(FJB)","loc":"f,63:30,63:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.z1","addr":"(GJB)","loc":"f,63:30,63:32","dtypep":"(RV)","access":"RD","varp":"(ZR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z","addr":"(HJB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"WR","varp":"(XR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IJB)","loc":"f,64:13,64:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(JJB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(KJB)","loc":"f,64:17,64:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(LJB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MJB)","loc":"f,63:15,63:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.z0","addr":"(NJB)","loc":"f,63:15,63:17","dtypep":"(RV)","access":"RD","varp":"(RT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OJB)","loc":"f,63:30,63:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.z1","addr":"(PJB)","loc":"f,63:30,63:32","dtypep":"(RV)","access":"RD","varp":"(ST)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z","addr":"(QJB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"WR","varp":"(QT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(RJB)","loc":"f,62:40,62:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.z","addr":"(SJB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"RD","varp":"(XR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z0","addr":"(TJB)","loc":"f,59:14,59:16","dtypep":"(RV)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UJB)","loc":"f,62:40,62:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.z","addr":"(VJB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"RD","varp":"(QT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z1","addr":"(WJB)","loc":"f,59:31,59:33","dtypep":"(RV)","access":"WR","varp":"(WR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(XJB)","loc":"f,58:13,58:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(YJB)","loc":"f,58:17,58:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(ZJB)","loc":"f,58:17,58:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(AKB)","loc":"f,58:17,58:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BKB)","loc":"f,57:15,57:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.z0","addr":"(CKB)","loc":"f,57:15,57:17","dtypep":"(RV)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DKB)","loc":"f,57:30,57:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.z1","addr":"(EKB)","loc":"f,57:30,57:32","dtypep":"(RV)","access":"RD","varp":"(WR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z","addr":"(FKB)","loc":"f,56:40,56:41","dtypep":"(RV)","access":"WR","varp":"(UR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ico_sequent__TOP__t__0","addr":"(ZG)","loc":"f,83:40,83:41","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LV)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(GKB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z","addr":"(HKB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(GS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z0","addr":"(IKB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(JKB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z","addr":"(KKB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(JS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z1","addr":"(LKB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(MKB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z","addr":"(NKB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(PS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z0","addr":"(OKB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(PKB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z","addr":"(QKB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(SS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z1","addr":"(RKB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(OS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(SKB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z","addr":"(TKB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z0","addr":"(UKB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(VKB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z","addr":"(WKB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z1","addr":"(XKB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(AT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(YKB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z","addr":"(ZKB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(KT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z0","addr":"(ALB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(IT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(BLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z","addr":"(CLB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(NT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z1","addr":"(DLB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(JT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ELB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z","addr":"(FLB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(ZT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z0","addr":"(GLB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z","addr":"(ILB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(CU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z1","addr":"(JLB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(KLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z","addr":"(LLB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(IU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z0","addr":"(MLB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(GU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z","addr":"(OLB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(LU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z1","addr":"(PLB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(HU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z","addr":"(RLB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(UU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z0","addr":"(SLB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(SU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(TLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z","addr":"(ULB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(XU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z1","addr":"(VLB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(TU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z","addr":"(XLB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(DV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z0","addr":"(YLB)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(BV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZLB)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z","addr":"(AMB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(GV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z1","addr":"(BMB)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(CV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(CMB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(DMB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(EMB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(FMB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(GMB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z0","addr":"(HMB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(IMB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z1","addr":"(JMB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z","addr":"(KMB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(DS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LMB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(MMB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(NMB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(OMB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PMB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z0","addr":"(QMB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(RMB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z1","addr":"(SMB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(OS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z","addr":"(TMB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(MS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UMB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(VMB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(WMB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XMB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YMB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z0","addr":"(ZMB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ANB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z1","addr":"(BNB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(AT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z","addr":"(CNB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(DNB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(ENB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(FNB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(GNB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HNB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z0","addr":"(INB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(IT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(JNB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z1","addr":"(KNB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(JT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z","addr":"(LNB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(HT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(MNB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(NNB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(ONB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(PNB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QNB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z0","addr":"(RNB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(SNB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z1","addr":"(TNB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z","addr":"(UNB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(WT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(VNB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(WNB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(XNB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(YNB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZNB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z0","addr":"(AOB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(GU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BOB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z1","addr":"(COB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(HU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z","addr":"(DOB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(FU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(EOB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(FOB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(GOB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(HOB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IOB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z0","addr":"(JOB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(SU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KOB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z1","addr":"(LOB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(TU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z","addr":"(MOB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(RU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NOB)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(OOB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(POB)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(QOB)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ROB)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z0","addr":"(SOB)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(BV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(TOB)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z1","addr":"(UOB)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(CV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z","addr":"(VOB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(AV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WOB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z","addr":"(XOB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(DS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z0","addr":"(YOB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(BS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZOB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z","addr":"(APB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(MS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z1","addr":"(BPB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(CPB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z","addr":"(DPB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z0","addr":"(EPB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(WS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(FPB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z","addr":"(GPB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(HT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z1","addr":"(HPB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(XS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IPB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z","addr":"(JPB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(WT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z0","addr":"(KPB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(UT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LPB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z","addr":"(MPB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(FU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z1","addr":"(NPB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(VT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(OPB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z","addr":"(PPB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(RU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z0","addr":"(QPB)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(PU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(RPB)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z","addr":"(SPB)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(AV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z1","addr":"(TPB)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UPB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(VPB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(WPB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XPB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YPB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z0","addr":"(ZPB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(BS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(AQB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z1","addr":"(BQB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z","addr":"(CQB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(AS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(DQB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(EQB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(FQB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(GQB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HQB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z0","addr":"(IQB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(WS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(JQB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z1","addr":"(KQB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(XS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z","addr":"(LQB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(VS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(MQB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(NQB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(OQB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(PQB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QQB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z0","addr":"(RQB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(UT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(SQB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z1","addr":"(TQB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(VT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z","addr":"(UQB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(TT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(VQB)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(WQB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(XQB)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(YQB)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZQB)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z0","addr":"(ARB)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(PU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BRB)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z1","addr":"(CRB)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z","addr":"(DRB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(OU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ERB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.z","addr":"(FRB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(AS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z0","addr":"(GRB)","loc":"f,66:14,66:16","dtypep":"(RV)","access":"WR","varp":"(YR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HRB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.z","addr":"(IRB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(VS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z1","addr":"(JRB)","loc":"f,66:32,66:34","dtypep":"(RV)","access":"WR","varp":"(ZR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(KRB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.z","addr":"(LRB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(TT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z0","addr":"(MRB)","loc":"f,66:14,66:16","dtypep":"(RV)","access":"WR","varp":"(RT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NRB)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.z","addr":"(ORB)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(OU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z1","addr":"(PRB)","loc":"f,66:32,66:34","dtypep":"(RV)","access":"WR","varp":"(ST)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QRB)","loc":"f,64:13,64:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(RRB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(SRB)","loc":"f,64:17,64:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(TRB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(URB)","loc":"f,63:15,63:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.z0","addr":"(VRB)","loc":"f,63:15,63:17","dtypep":"(RV)","access":"RD","varp":"(YR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WRB)","loc":"f,63:30,63:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.z1","addr":"(XRB)","loc":"f,63:30,63:32","dtypep":"(RV)","access":"RD","varp":"(ZR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z","addr":"(YRB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"WR","varp":"(XR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZRB)","loc":"f,64:13,64:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(ASB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(BSB)","loc":"f,64:17,64:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CSB)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DSB)","loc":"f,63:15,63:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.z0","addr":"(ESB)","loc":"f,63:15,63:17","dtypep":"(RV)","access":"RD","varp":"(RT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(FSB)","loc":"f,63:30,63:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.z1","addr":"(GSB)","loc":"f,63:30,63:32","dtypep":"(RV)","access":"RD","varp":"(ST)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z","addr":"(HSB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"WR","varp":"(QT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ISB)","loc":"f,62:40,62:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.z","addr":"(JSB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"RD","varp":"(XR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z0","addr":"(KSB)","loc":"f,59:14,59:16","dtypep":"(RV)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LSB)","loc":"f,62:40,62:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.z","addr":"(MSB)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"RD","varp":"(QT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z1","addr":"(NSB)","loc":"f,59:31,59:33","dtypep":"(RV)","access":"WR","varp":"(WR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(OSB)","loc":"f,58:13,58:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(PSB)","loc":"f,58:17,58:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(QSB)","loc":"f,58:17,58:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(RSB)","loc":"f,58:17,58:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SSB)","loc":"f,57:15,57:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.z0","addr":"(TSB)","loc":"f,57:15,57:17","dtypep":"(RV)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(USB)","loc":"f,57:30,57:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.z1","addr":"(VSB)","loc":"f,57:30,57:32","dtypep":"(RV)","access":"RD","varp":"(WR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z","addr":"(WSB)","loc":"f,56:40,56:41","dtypep":"(RV)","access":"WR","varp":"(UR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t__0","addr":"(AL)","loc":"f,53:32,53:38","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LV)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"DISPLAY","name":"","addr":"(XSB)","loc":"f,53:32,53:38", + "fmtp": [ + {"type":"SFORMATF","name":"[%0t] %m: Clocked\\n","addr":"(YSB)","loc":"f,53:32,53:38","dtypep":"(ZSB)", + "exprsp": [ + {"type":"TIME","name":"","addr":"(ATB)","loc":"f,53:62,53:67","dtypep":"(LE)","timeunit":"1ps"} + ], + "scopeNamep": [ + {"type":"SCOPENAME","name":"","addr":"(BTB)","loc":"f,53:32,53:38","dtypep":"(LE)","dpiExport":false,"forFormat":true, + "scopeAttrp": [ + {"type":"TEXT","name":"","addr":"(CTB)","loc":"f,53:32,53:38","shortText":"__DOT__TOP.t"}, + {"type":"TEXT","name":"","addr":"(DTB)","loc":"f,53:32,53:38","shortText":"__DOT__ps"} + ], + "scopeEntrp": [ + {"type":"TEXT","name":"","addr":"(ETB)","loc":"f,53:32,53:38","shortText":"__DOT__TOP.t"}, + {"type":"TEXT","name":"","addr":"(FTB)","loc":"f,53:32,53:38","shortText":"__DOT__ps"} + ]} + ]} + ],"filep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t__1","addr":"(JL)","loc":"f,28:10,28:13","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(LV)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__cyc","addr":"(GTB)","loc":"f,13:12,13:15","dtypep":"(KV)","origName":"__Vdly__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(HTB)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(ITB)","loc":"f,13:12,13:15","dtypep":"(KV)","access":"WR","varp":"(GTB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(JTB)","loc":"f,28:10,28:13","dtypep":"(KV)", + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(KTB)","loc":"f,28:10,28:13","dtypep":"(KV)","access":"RD","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(LTB)","loc":"f,28:10,28:13","dtypep":"(KV)","access":"WR","varp":"(GTB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(MTB)","loc":"f,26:16,26:18","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(NTB)","loc":"f,26:19,26:20","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"printclk","addr":"(OTB)","loc":"f,26:7,26:15","dtypep":"(JB)","access":"WR","varp":"(OB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(PTB)","loc":"f,27:7,27:9", + "condp": [ + {"type":"NEQ","name":"","addr":"(QTB)","loc":"f,27:14,27:16","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(RTB)","loc":"f,27:16,27:17","dtypep":"(OV)"} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(STB)","loc":"f,27:11,27:14","dtypep":"(KV)","access":"RD","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(TTB)","loc":"f,28:14,28:16","dtypep":"(KV)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(UTB)","loc":"f,28:21,28:22","dtypep":"(KV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VTB)","loc":"f,28:23,28:24","dtypep":"(WB)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(WTB)","loc":"f,28:23,28:24","dtypep":"(OV)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(XTB)","loc":"f,28:17,28:20","dtypep":"(KV)","access":"RD","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(YTB)","loc":"f,28:10,28:13","dtypep":"(KV)","access":"WR","varp":"(GTB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(ZTB)","loc":"f,29:10,29:12", + "condp": [ + {"type":"EQ","name":"","addr":"(AUB)","loc":"f,29:17,29:19","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(BUB)","loc":"f,29:19,29:20","dtypep":"(OV)"} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(CUB)","loc":"f,29:14,29:17","dtypep":"(KV)","access":"RD","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(DUB)","loc":"f,30:22,30:24","dtypep":"(JB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(EUB)","loc":"f,30:25,30:29","dtypep":"(JB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"printclk","addr":"(FUB)","loc":"f,30:13,30:21","dtypep":"(JB)","access":"WR","varp":"(OB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GUB)","loc":"f,32:10,32:12", + "condp": [ + {"type":"EQ","name":"","addr":"(HUB)","loc":"f,32:17,32:19","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'sh2","addr":"(IUB)","loc":"f,32:19,32:20","dtypep":"(OV)"} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(JUB)","loc":"f,32:14,32:17","dtypep":"(KV)","access":"RD","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(KUB)","loc":"f,33:15,33:17","dtypep":"(RV)", + "rhsp": [ + {"type":"CONST","name":"8'h1","addr":"(LUB)","loc":"f,33:18,33:22","dtypep":"(RV)"} + ], + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(MUB)","loc":"f,33:13,33:14","dtypep":"(RV)","access":"WR","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(NUB)","loc":"f,35:10,35:12", + "condp": [ + {"type":"EQ","name":"","addr":"(OUB)","loc":"f,35:17,35:19","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"32'sh3","addr":"(PUB)","loc":"f,35:19,35:20","dtypep":"(OV)"} + ], + "rhsp": [ + {"type":"VARREF","name":"cyc","addr":"(QUB)","loc":"f,35:14,35:17","dtypep":"(KV)","access":"RD","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(RUB)","loc":"f,36:13,36:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(SUB)","loc":"f,36:19,36:22","dtypep":"(JB)", + "lhsp": [ + {"type":"CONST","name":"8'hf8","addr":"(TUB)","loc":"f,36:23,36:28","dtypep":"(RV)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(UUB)","loc":"f,36:17,36:18","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.z","addr":"(VUB)","loc":"f,36:17,36:18","dtypep":"(RV)","access":"RD","varp":"(UR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(WUB)","loc":"f,36:30,36:35"} + ],"elsesp": []}, + {"type":"DISPLAY","name":"","addr":"(XUB)","loc":"f,43:13,43:19", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(YUB)","loc":"f,43:13,43:19","dtypep":"(ZSB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(ZUB)","loc":"f,44:13,44:20"} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(AVB)","loc":"f,28:10,28:13","dtypep":"(KV)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__cyc","addr":"(BVB)","loc":"f,28:10,28:13","dtypep":"(KV)","access":"RD","varp":"(GTB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"cyc","addr":"(CVB)","loc":"f,28:10,28:13","dtypep":"(KV)","access":"WR","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(DVB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(EVB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(FVB)","loc":"f,23:10,23:11","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(GVB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HVB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(IVB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z","addr":"(JVB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(GS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(KVB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(LVB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(MVB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(NVB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(OVB)","loc":"f,79:22,79:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(PVB)","loc":"f,79:22,79:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(QVB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RVB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(SVB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z","addr":"(TVB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(JS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UVB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(VVB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(WVB)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XVB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YVB)","loc":"f,72:22,72:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(ZVB)","loc":"f,72:22,72:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(AWB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BWB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(CWB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z","addr":"(DWB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(PS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(EWB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(FWB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(GWB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(HWB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IWB)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(JWB)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(KWB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LWB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(MWB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z","addr":"(NWB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(SS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(OWB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(PWB)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(QWB)","loc":"f,65:21,65:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(RWB)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(SWB)","loc":"f,65:22,65:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(TWB)","loc":"f,65:22,65:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(UWB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VWB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(WWB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z","addr":"(XWB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(YWB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(ZWB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(AXB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(BXB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CXB)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(DXB)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EXB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FXB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(GXB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z","addr":"(HXB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IXB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(JXB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(KXB)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(LXB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MXB)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(NXB)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(OXB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PXB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(QXB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z","addr":"(RXB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(KT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(SXB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(TXB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(UXB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(VXB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(WXB)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h3","addr":"(XXB)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(YXB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZXB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(AYB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z","addr":"(BYB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(NT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(CYB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(DYB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(EYB)","loc":"f,23:10,23:11","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(FYB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(GYB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(HYB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z","addr":"(IYB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(ZT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(JYB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(KYB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(LYB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(MYB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NYB)","loc":"f,79:22,79:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(OYB)","loc":"f,79:22,79:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(PYB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QYB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(RYB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z","addr":"(SYB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(CU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(TYB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(UYB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(VYB)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(WYB)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(XYB)","loc":"f,72:22,72:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(YYB)","loc":"f,72:22,72:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(ZYB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AZB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(BZB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z","addr":"(CZB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(IU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(DZB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(EZB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(FZB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(GZB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HZB)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(IZB)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(JZB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KZB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(LZB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z","addr":"(MZB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(LU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NZB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(OZB)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(PZB)","loc":"f,65:21,65:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(QZB)","loc":"f,65:21,65:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RZB)","loc":"f,65:22,65:26","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h1","addr":"(SZB)","loc":"f,65:22,65:26","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(TZB)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UZB)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(VZB)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z","addr":"(WZB)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(UU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(XZB)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(YZB)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(ZZB)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(AAC)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BAC)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(CAC)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(DAC)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EAC)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(FAC)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z","addr":"(GAC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(XU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HAC)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(IAC)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(JAC)","loc":"f,72:21,72:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(KAC)","loc":"f,72:21,72:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LAC)","loc":"f,65:21,65:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h2","addr":"(MAC)","loc":"f,65:21,65:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(NAC)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(OAC)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(PAC)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z","addr":"(QAC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(DV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(RAC)","loc":"f,86:13,86:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(SAC)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(TAC)","loc":"f,79:21,79:22","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(UAC)","loc":"f,79:21,79:22","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VAC)","loc":"f,72:21,72:22","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"CONST","name":"8'h3","addr":"(WAC)","loc":"f,72:21,72:22","dtypep":"(RV)"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(XAC)","loc":"f,23:10,23:11","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YAC)","loc":"f,20:14,20:15","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"a","addr":"(ZAC)","loc":"f,20:14,20:15","dtypep":"(RV)","access":"RD","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z","addr":"(ABC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"WR","varp":"(GV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(BBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z","addr":"(CBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(GS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z0","addr":"(DBC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(EBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z","addr":"(FBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(JS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z1","addr":"(GBC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z","addr":"(IBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(PS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z0","addr":"(JBC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(KBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z","addr":"(LBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(SS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z1","addr":"(MBC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(OS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(NBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z","addr":"(OBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z0","addr":"(PBC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z","addr":"(RBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z1","addr":"(SBC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(AT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(TBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z","addr":"(UBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(KT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z0","addr":"(VBC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(IT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(WBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z","addr":"(XBC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(NT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z1","addr":"(YBC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(JT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZBC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z","addr":"(ACC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(ZT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z0","addr":"(BCC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(CCC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z","addr":"(DCC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(CU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z1","addr":"(ECC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(FCC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z","addr":"(GCC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(IU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z0","addr":"(HCC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(GU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ICC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z","addr":"(JCC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(LU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z1","addr":"(KCC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(HU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LCC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z","addr":"(MCC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(UU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z0","addr":"(NCC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(SU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(OCC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z","addr":"(PCC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(XU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z1","addr":"(QCC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(TU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(RCC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z","addr":"(SCC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(DV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z0","addr":"(TCC)","loc":"f,80:19,80:21","dtypep":"(RV)","access":"WR","varp":"(BV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UCC)","loc":"f,83:40,83:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z","addr":"(VCC)","loc":"f,83:40,83:41","dtypep":"(RV)","access":"RD","varp":"(GV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z1","addr":"(WCC)","loc":"f,80:42,80:44","dtypep":"(RV)","access":"WR","varp":"(CV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(XCC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(YCC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(ZCC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(ADC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BDC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z0","addr":"(CDC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DDC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z1","addr":"(EDC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z","addr":"(FDC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(DS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(GDC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(HDC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(IDC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(JDC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KDC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z0","addr":"(LDC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(MDC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z1","addr":"(NDC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(OS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z","addr":"(ODC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(MS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(PDC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(QDC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(RDC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(SDC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TDC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z0","addr":"(UDC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VDC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z1","addr":"(WDC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(AT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z","addr":"(XDC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(YDC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(ZDC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(AEC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(BEC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CEC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z0","addr":"(DEC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(IT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EEC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z1","addr":"(FEC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(JT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z","addr":"(GEC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(HT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HEC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(IEC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(JEC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(KEC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LEC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z0","addr":"(MEC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NEC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z1","addr":"(OEC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z","addr":"(PEC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(WT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QEC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(REC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(SEC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(TEC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UEC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z0","addr":"(VEC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(GU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WEC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z1","addr":"(XEC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(HU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z","addr":"(YEC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(FU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZEC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(AFC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(BFC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(CFC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(DFC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z0","addr":"(EFC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(SU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(FFC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z1","addr":"(GFC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(TU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z","addr":"(HFC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(RU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IFC)","loc":"f,78:13,78:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(JFC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(KFC)","loc":"f,78:17,78:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(LFC)","loc":"f,78:17,78:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MFC)","loc":"f,77:15,77:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z0","addr":"(NFC)","loc":"f,77:15,77:17","dtypep":"(RV)","access":"RD","varp":"(BV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OFC)","loc":"f,77:30,77:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z1","addr":"(PFC)","loc":"f,77:30,77:32","dtypep":"(RV)","access":"RD","varp":"(CV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z","addr":"(QFC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"WR","varp":"(AV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(RFC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z","addr":"(SFC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(DS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z0","addr":"(TFC)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(BS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UFC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z","addr":"(VFC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(MS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z1","addr":"(WFC)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(XFC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z","addr":"(YFC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z0","addr":"(ZFC)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(WS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(AGC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z","addr":"(BGC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(HT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z1","addr":"(CGC)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(XS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(DGC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z","addr":"(EGC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(WT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z0","addr":"(FGC)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(UT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(GGC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z","addr":"(HGC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(FU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z1","addr":"(IGC)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(VT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(JGC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z","addr":"(KGC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(RU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z0","addr":"(LGC)","loc":"f,73:14,73:16","dtypep":"(RV)","access":"WR","varp":"(PU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(MGC)","loc":"f,76:40,76:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z","addr":"(NGC)","loc":"f,76:40,76:41","dtypep":"(RV)","access":"RD","varp":"(AV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z1","addr":"(OGC)","loc":"f,73:32,73:34","dtypep":"(RV)","access":"WR","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(PGC)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(QGC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(RGC)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(SGC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(TGC)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z0","addr":"(UGC)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(BS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VGC)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z1","addr":"(WGC)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u0.z","addr":"(XGC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(AS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(YGC)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(ZGC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(AHC)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(BHC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CHC)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z0","addr":"(DHC)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(WS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EHC)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z1","addr":"(FHC)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(XS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.u1.z","addr":"(GHC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(VS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(HHC)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(IHC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(JHC)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(KHC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LHC)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z0","addr":"(MHC)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(UT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NHC)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z1","addr":"(OHC)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(VT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u0.z","addr":"(PHC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(TT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(QHC)","loc":"f,71:13,71:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(RHC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(SHC)","loc":"f,71:17,71:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(THC)","loc":"f,71:17,71:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UHC)","loc":"f,70:15,70:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z0","addr":"(VHC)","loc":"f,70:15,70:17","dtypep":"(RV)","access":"RD","varp":"(PU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WHC)","loc":"f,70:30,70:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z1","addr":"(XHC)","loc":"f,70:30,70:32","dtypep":"(RV)","access":"RD","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.u1.z","addr":"(YHC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"WR","varp":"(OU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(ZHC)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u0.z","addr":"(AIC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(AS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z0","addr":"(BIC)","loc":"f,66:14,66:16","dtypep":"(RV)","access":"WR","varp":"(YR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(CIC)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.u1.z","addr":"(DIC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(VS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z1","addr":"(EIC)","loc":"f,66:32,66:34","dtypep":"(RV)","access":"WR","varp":"(ZR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(FIC)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u0.z","addr":"(GIC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(TT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z0","addr":"(HIC)","loc":"f,66:14,66:16","dtypep":"(RV)","access":"WR","varp":"(RT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(IIC)","loc":"f,69:40,69:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.u1.z","addr":"(JIC)","loc":"f,69:40,69:41","dtypep":"(RV)","access":"RD","varp":"(OU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z1","addr":"(KIC)","loc":"f,66:32,66:34","dtypep":"(RV)","access":"WR","varp":"(ST)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(LIC)","loc":"f,64:13,64:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(MIC)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(NIC)","loc":"f,64:17,64:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(OIC)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PIC)","loc":"f,63:15,63:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.z0","addr":"(QIC)","loc":"f,63:15,63:17","dtypep":"(RV)","access":"RD","varp":"(YR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(RIC)","loc":"f,63:30,63:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u0.z1","addr":"(SIC)","loc":"f,63:30,63:32","dtypep":"(RV)","access":"RD","varp":"(ZR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u0.z","addr":"(TIC)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"WR","varp":"(XR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(UIC)","loc":"f,64:13,64:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(VIC)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(WIC)","loc":"f,64:17,64:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(XIC)","loc":"f,64:17,64:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YIC)","loc":"f,63:15,63:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.z0","addr":"(ZIC)","loc":"f,63:15,63:17","dtypep":"(RV)","access":"RD","varp":"(RT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(AJC)","loc":"f,63:30,63:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.u1.z1","addr":"(BJC)","loc":"f,63:30,63:32","dtypep":"(RV)","access":"RD","varp":"(ST)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.u1.z","addr":"(CJC)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"WR","varp":"(QT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(DJC)","loc":"f,62:40,62:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u0.z","addr":"(EJC)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"RD","varp":"(XR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z0","addr":"(FJC)","loc":"f,59:14,59:16","dtypep":"(RV)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(GJC)","loc":"f,62:40,62:41","dtypep":"(RV)", + "rhsp": [ + {"type":"VARREF","name":"u.u1.z","addr":"(HJC)","loc":"f,62:40,62:41","dtypep":"(RV)","access":"RD","varp":"(QT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z1","addr":"(IJC)","loc":"f,59:31,59:33","dtypep":"(RV)","access":"WR","varp":"(WR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"ASSIGNW","name":"","addr":"(JJC)","loc":"f,58:13,58:14","dtypep":"(RV)", + "rhsp": [ + {"type":"AND","name":"","addr":"(KJC)","loc":"f,58:17,58:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(LJC)","loc":"f,58:17,58:18","dtypep":"(WB)"} + ], + "rhsp": [ + {"type":"ADD","name":"","addr":"(MJC)","loc":"f,58:17,58:18","dtypep":"(RV)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NJC)","loc":"f,57:15,57:17","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.z0","addr":"(OJC)","loc":"f,57:15,57:17","dtypep":"(RV)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PJC)","loc":"f,57:30,57:32","dtypep":"(RV)","size":32, + "lhsp": [ + {"type":"VARREF","name":"u.z1","addr":"(QJC)","loc":"f,57:30,57:32","dtypep":"(RV)","access":"RD","varp":"(WR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"u.z","addr":"(RJC)","loc":"f,56:40,56:41","dtypep":"(RV)","access":"WR","varp":"(UR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(SJC)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(TJC)","loc":"f,12:10,12:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(UJC)","loc":"f,12:10,12:13","dtypep":"(J)","access":"WR","varp":"(SR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VJC)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"cyc","addr":"(WJC)","loc":"f,13:12,13:15","dtypep":"(KV)","access":"WR","varp":"(JV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XJC)","loc":"f,16:12,16:20", + "varrefp": [ + {"type":"VARREF","name":"printclk","addr":"(YJC)","loc":"f,16:12,16:20","dtypep":"(J)","access":"WR","varp":"(OB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZJC)","loc":"f,20:14,20:15", + "varrefp": [ + {"type":"VARREF","name":"a","addr":"(AKC)","loc":"f,20:14,20:15","dtypep":"(HR)","access":"WR","varp":"(TR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BKC)","loc":"f,56:40,56:41", + "varrefp": [ + {"type":"VARREF","name":"u.z","addr":"(CKC)","loc":"f,56:40,56:41","dtypep":"(HR)","access":"WR","varp":"(UR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DKC)","loc":"f,57:15,57:17", + "varrefp": [ + {"type":"VARREF","name":"u.z0","addr":"(EKC)","loc":"f,57:15,57:17","dtypep":"(HR)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FKC)","loc":"f,57:30,57:32", + "varrefp": [ + {"type":"VARREF","name":"u.z1","addr":"(GKC)","loc":"f,57:30,57:32","dtypep":"(HR)","access":"WR","varp":"(WR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HKC)","loc":"f,62:40,62:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.z","addr":"(IKC)","loc":"f,62:40,62:41","dtypep":"(HR)","access":"WR","varp":"(XR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JKC)","loc":"f,63:15,63:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.z0","addr":"(KKC)","loc":"f,63:15,63:17","dtypep":"(HR)","access":"WR","varp":"(YR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LKC)","loc":"f,63:30,63:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.z1","addr":"(MKC)","loc":"f,63:30,63:32","dtypep":"(HR)","access":"WR","varp":"(ZR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NKC)","loc":"f,69:40,69:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.z","addr":"(OKC)","loc":"f,69:40,69:41","dtypep":"(HR)","access":"WR","varp":"(AS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PKC)","loc":"f,70:15,70:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.z0","addr":"(QKC)","loc":"f,70:15,70:17","dtypep":"(HR)","access":"WR","varp":"(BS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RKC)","loc":"f,70:30,70:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.z1","addr":"(SKC)","loc":"f,70:30,70:32","dtypep":"(HR)","access":"WR","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TKC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z","addr":"(UKC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(DS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VKC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z0","addr":"(WKC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(ES)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XKC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.z1","addr":"(YKC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(FS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZKC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z","addr":"(ALC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(GS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BLC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z0","addr":"(CLC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(HS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DLC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u0.z1","addr":"(ELC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(IS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FLC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z","addr":"(GLC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(JS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HLC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z0","addr":"(ILC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(KS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JLC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u0.u1.z1","addr":"(KLC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(LS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LLC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z","addr":"(MLC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(MS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NLC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z0","addr":"(OLC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(NS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PLC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.z1","addr":"(QLC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(OS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RLC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z","addr":"(SLC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(PS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TLC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z0","addr":"(ULC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(QS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VLC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u0.z1","addr":"(WLC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(RS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XLC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z","addr":"(YLC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(SS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZLC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z0","addr":"(AMC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(TS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BMC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u0.u1.u1.z1","addr":"(CMC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(US)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DMC)","loc":"f,69:40,69:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.z","addr":"(EMC)","loc":"f,69:40,69:41","dtypep":"(HR)","access":"WR","varp":"(VS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FMC)","loc":"f,70:15,70:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.z0","addr":"(GMC)","loc":"f,70:15,70:17","dtypep":"(HR)","access":"WR","varp":"(WS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HMC)","loc":"f,70:30,70:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.z1","addr":"(IMC)","loc":"f,70:30,70:32","dtypep":"(HR)","access":"WR","varp":"(XS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JMC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z","addr":"(KMC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(YS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LMC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z0","addr":"(MMC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(ZS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NMC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.z1","addr":"(OMC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(AT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PMC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z","addr":"(QMC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(BT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RMC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z0","addr":"(SMC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(CT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TMC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u0.z1","addr":"(UMC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(DT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VMC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z","addr":"(WMC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(ET)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XMC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z0","addr":"(YMC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(FT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZMC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u0.u1.z1","addr":"(ANC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(GT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BNC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z","addr":"(CNC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(HT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DNC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z0","addr":"(ENC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(IT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FNC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.z1","addr":"(GNC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(JT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HNC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z","addr":"(INC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(KT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JNC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z0","addr":"(KNC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(LT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LNC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u0.z1","addr":"(MNC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(MT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NNC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z","addr":"(ONC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(NT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PNC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z0","addr":"(QNC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(OT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RNC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u0.u1.u1.u1.z1","addr":"(SNC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(PT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TNC)","loc":"f,62:40,62:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.z","addr":"(UNC)","loc":"f,62:40,62:41","dtypep":"(HR)","access":"WR","varp":"(QT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VNC)","loc":"f,63:15,63:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.z0","addr":"(WNC)","loc":"f,63:15,63:17","dtypep":"(HR)","access":"WR","varp":"(RT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XNC)","loc":"f,63:30,63:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.z1","addr":"(YNC)","loc":"f,63:30,63:32","dtypep":"(HR)","access":"WR","varp":"(ST)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZNC)","loc":"f,69:40,69:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.z","addr":"(AOC)","loc":"f,69:40,69:41","dtypep":"(HR)","access":"WR","varp":"(TT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BOC)","loc":"f,70:15,70:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.z0","addr":"(COC)","loc":"f,70:15,70:17","dtypep":"(HR)","access":"WR","varp":"(UT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DOC)","loc":"f,70:30,70:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.z1","addr":"(EOC)","loc":"f,70:30,70:32","dtypep":"(HR)","access":"WR","varp":"(VT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FOC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z","addr":"(GOC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(WT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HOC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z0","addr":"(IOC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(XT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JOC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.z1","addr":"(KOC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(YT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LOC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z","addr":"(MOC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(ZT)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NOC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z0","addr":"(OOC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(AU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(POC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u0.z1","addr":"(QOC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(BU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ROC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z","addr":"(SOC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(CU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TOC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z0","addr":"(UOC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(DU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VOC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u0.u1.z1","addr":"(WOC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(EU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XOC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z","addr":"(YOC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(FU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZOC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z0","addr":"(APC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(GU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BPC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.z1","addr":"(CPC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(HU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DPC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z","addr":"(EPC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(IU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FPC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z0","addr":"(GPC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(JU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HPC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u0.z1","addr":"(IPC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(KU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JPC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z","addr":"(KPC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(LU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LPC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z0","addr":"(MPC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(MU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NPC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u0.u1.u1.z1","addr":"(OPC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(NU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PPC)","loc":"f,69:40,69:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.z","addr":"(QPC)","loc":"f,69:40,69:41","dtypep":"(HR)","access":"WR","varp":"(OU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RPC)","loc":"f,70:15,70:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.z0","addr":"(SPC)","loc":"f,70:15,70:17","dtypep":"(HR)","access":"WR","varp":"(PU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TPC)","loc":"f,70:30,70:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.z1","addr":"(UPC)","loc":"f,70:30,70:32","dtypep":"(HR)","access":"WR","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VPC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z","addr":"(WPC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(RU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XPC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z0","addr":"(YPC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(SU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZPC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.z1","addr":"(AQC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(TU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BQC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z","addr":"(CQC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(UU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DQC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z0","addr":"(EQC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(VU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FQC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u0.z1","addr":"(GQC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(WU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HQC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z","addr":"(IQC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(XU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JQC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z0","addr":"(KQC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(YU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LQC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u0.u1.z1","addr":"(MQC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(ZU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NQC)","loc":"f,76:40,76:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z","addr":"(OQC)","loc":"f,76:40,76:41","dtypep":"(HR)","access":"WR","varp":"(AV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PQC)","loc":"f,77:15,77:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z0","addr":"(QQC)","loc":"f,77:15,77:17","dtypep":"(HR)","access":"WR","varp":"(BV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RQC)","loc":"f,77:30,77:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.z1","addr":"(SQC)","loc":"f,77:30,77:32","dtypep":"(HR)","access":"WR","varp":"(CV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TQC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z","addr":"(UQC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(DV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VQC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z0","addr":"(WQC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(EV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XQC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u0.z1","addr":"(YQC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(FV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZQC)","loc":"f,83:40,83:41", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z","addr":"(ARC)","loc":"f,83:40,83:41","dtypep":"(HR)","access":"WR","varp":"(GV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BRC)","loc":"f,85:15,85:17", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z0","addr":"(CRC)","loc":"f,85:15,85:17","dtypep":"(HR)","access":"WR","varp":"(HV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DRC)","loc":"f,85:30,85:32", + "varrefp": [ + {"type":"VARREF","name":"u.u1.u1.u1.u1.z1","addr":"(ERC)","loc":"f,85:30,85:32","dtypep":"(HR)","access":"WR","varp":"(IV)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1__Syms.cpp","addr":"(FRC)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1__Syms.h","addr":"(GRC)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1__Dpi.h","addr":"(HRC)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1__Dpi.cpp","addr":"(IRC)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1.h","addr":"(JRC)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1.cpp","addr":"(KRC)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_$root.h","addr":"(LRC)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_t.h","addr":"(MRC)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_$root__Slow.cpp","addr":"(NRC)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_$root__DepSet_h12e84266__0__Slow.cpp","addr":"(ORC)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_$root__DepSet_h5096c3b7__0__Slow.cpp","addr":"(PRC)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_$root__DepSet_h12e84266__0.cpp","addr":"(QRC)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_$root__DepSet_h5096c3b7__0.cpp","addr":"(RRC)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_t__Slow.cpp","addr":"(SRC)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_t__DepSet_h4f950ff6__0__Slow.cpp","addr":"(TRC)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_t__DepSet_h13ee1659__0.cpp","addr":"(URC)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_inst_tree_inl1_pub1/Vt_inst_tree_inl1_pub1_t__DepSet_h4f950ff6__0.cpp","addr":"(VRC)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(GB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(GB)","loc":"d,51:21,51:30","dtypep":"(GB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(ZSB)","loc":"d,156:10,156:16","dtypep":"(ZSB)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(LE)","loc":"f,53:62,53:67","dtypep":"(LE)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(KV)","loc":"f,13:4,13:11","dtypep":"(KV)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(HR)","loc":"f,20:4,20:7","dtypep":"(HR)","keyword":"logic","range":"7:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(OV)","loc":"f,13:29,13:30","dtypep":"(OV)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(T)","loc":"f,7:8,7:9","dtypep":"(T)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WB)","loc":"f,7:8,7:9","dtypep":"(WB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(IE)","loc":"f,7:8,7:9","dtypep":"(IE)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(L)","loc":"f,7:8,7:9","dtypep":"(L)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(R)","loc":"f,7:8,7:9","dtypep":"(R)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(V)","loc":"f,7:8,7:9","dtypep":"(V)","keyword":"VlTriggerVec","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(X)","loc":"f,7:8,7:9","dtypep":"(X)","keyword":"VlTriggerVec","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(JB)","loc":"f,25:22,25:25","dtypep":"(JB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(SH)","loc":"f,7:8,7:9","dtypep":"(SH)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RV)","loc":"f,20:14,20:15","dtypep":"(RV)","keyword":"logic","range":"31:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(WRC)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(XRC)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(WRC)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_inst_tree_inl1_pub1.pl b/test_regress/t/t_inst_tree_inl1_pub1.pl index 33596f45b..23ec04b64 100755 --- a/test_regress/t/t_inst_tree_inl1_pub1.pl +++ b/test_regress/t/t_inst_tree_inl1_pub1.pl @@ -11,17 +11,17 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_inst_tree.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - v_flags2 => ["-fno-dfg-post-inline", "t/$Self->{name}.vlt", + v_flags2 => ["--no-json-edit-nums", "-fno-dfg-post-inline", "t/$Self->{name}.vlt", $Self->wno_unopthreads_for_few_cores()] ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"u.u0.u0.z0",.*"loc":"f,70:[^"]*",.*"origName":"z0",.*"isSigPublic":true,.*"dtypeName":"logic",.*"isSigUserRdPublic":true.*"isSigUserRWPublic":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"u.u0.u0.u0.u0.z1",.*"loc":"f,85:[^"]*",.*"origName":"z1",.*"isSigPublic":true,.*"dtypeName":"logic",.*"isSigUserRdPublic":true,.*"isSigUserRWPublic":true/); + file_grep("$out_filename", qr/{"type":"VAR","name":"u.u0.u1.u0.u0.z",.*"loc":"f,83:[^"]*",.*"origName":"z",.*,"isSigPublic":true,.*dtypeName":"logic",.*"isSigUserRdPublic":true,.*"isSigUserRWPublic":true/); } execute( diff --git a/test_regress/t/t_json_only_begin_hier.out b/test_regress/t/t_json_only_begin_hier.out new file mode 100644 index 000000000..07760a9a5 --- /dev/null +++ b/test_regress/t/t_json_only_begin_hier.out @@ -0,0 +1,54 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"UNLINKED", + "modulesp": [ + {"type":"MODULE","name":"test","addr":"(E)","loc":"d,22:8,22:12","origName":"test","level":2,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"N","addr":"(F)","loc":"d,24:12,24:13","dtypep":"(G)","origName":"N","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":true,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"GENVAR","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"BEGIN","name":"FOR_GENERATE","addr":"(H)","loc":"d,25:14,25:17","generate":true,"genfor":false,"implied":true,"needProcess":false,"unnamed":false,"genforp": [],"stmtsp": []}, + {"type":"BEGIN","name":"FOR_GENERATE[0]","addr":"(I)","loc":"d,27:21,27:31","generate":true,"genfor":false,"implied":false,"needProcess":false,"unnamed":false,"genforp": [], + "stmtsp": [ + {"type":"CELL","name":"submod_for","addr":"(J)","loc":"d,27:21,27:31","origName":"submod_for","recursive":false,"modp":"(K)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"BEGIN","name":"genblk1","addr":"(L)","loc":"d,28:19,28:24","generate":true,"genfor":false,"implied":false,"needProcess":false,"unnamed":true,"genforp": [], + "stmtsp": [ + {"type":"CELL","name":"submod_2","addr":"(M)","loc":"d,29:25,29:33","origName":"submod_2","recursive":false,"modp":"(K)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []} + ]}, + {"type":"CELL","name":"submod_3","addr":"(N)","loc":"d,31:21,31:29","origName":"submod_3","recursive":false,"modp":"(K)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []} + ]}, + {"type":"BEGIN","name":"FOR_GENERATE[1]","addr":"(O)","loc":"d,27:21,27:31","generate":true,"genfor":false,"implied":false,"needProcess":false,"unnamed":false,"genforp": [], + "stmtsp": [ + {"type":"CELL","name":"submod_for","addr":"(P)","loc":"d,27:21,27:31","origName":"submod_for","recursive":false,"modp":"(K)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"BEGIN","name":"genblk1","addr":"(Q)","loc":"d,28:19,28:24","generate":true,"genfor":false,"implied":false,"needProcess":false,"unnamed":true,"genforp": [], + "stmtsp": [ + {"type":"CELL","name":"submod_2","addr":"(R)","loc":"d,29:25,29:33","origName":"submod_2","recursive":false,"modp":"(K)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []} + ]}, + {"type":"CELL","name":"submod_3","addr":"(S)","loc":"d,31:21,31:29","origName":"submod_3","recursive":false,"modp":"(K)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []} + ]} + ],"activesp": []}, + {"type":"MODULE","name":"submod","addr":"(K)","loc":"d,10:8,10:14","origName":"submod","level":3,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"BEGIN","name":"submod_gen","addr":"(T)","loc":"d,12:19,12:29","generate":true,"genfor":false,"implied":false,"needProcess":false,"unnamed":false,"genforp": [], + "stmtsp": [ + {"type":"VAR","name":"l1_sig","addr":"(U)","loc":"d,13:14,13:20","dtypep":"(V)","origName":"l1_sig","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"BEGIN","name":"nested_gen","addr":"(W)","loc":"d,14:23,14:33","generate":true,"genfor":false,"implied":false,"needProcess":false,"unnamed":false,"genforp": [], + "stmtsp": [ + {"type":"CELL","name":"submod_nested","addr":"(X)","loc":"d,15:21,15:34","origName":"submod_nested","recursive":false,"modp":"(Y)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []} + ]}, + {"type":"CELL","name":"submod_l1","addr":"(Z)","loc":"d,17:17,17:26","origName":"submod_l1","recursive":false,"modp":"(Y)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []} + ]}, + {"type":"CELL","name":"submod_l0","addr":"(AB)","loc":"d,19:13,19:22","origName":"submod_l0","recursive":false,"modp":"(Y)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"submod2","addr":"(Y)","loc":"d,7:8,7:15","origName":"submod2","level":4,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [],"stmtsp": [],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"BASICDTYPE","name":"integer","addr":"(G)","loc":"d,24:12,24:13","dtypep":"(G)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(V)","loc":"d,13:14,13:20","dtypep":"(V)","keyword":"logic","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(BB)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(CB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(BB)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_begin_hier.pl b/test_regress/t/t_json_only_begin_hier.pl new file mode 100755 index 000000000..d6d231360 --- /dev/null +++ b/test_regress/t/t_json_only_begin_hier.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_json_only_begin_hier.v b/test_regress/t/t_json_only_begin_hier.v new file mode 100644 index 000000000..1e29f0133 --- /dev/null +++ b/test_regress/t/t_json_only_begin_hier.v @@ -0,0 +1,33 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2023 by Risto Pejasinovic. +// SPDX-License-Identifier: CC0-1.0 + +module submod2 (); +endmodule + +module submod #( +)(); + if(1) begin : submod_gen + wire l1_sig; + if(1) begin : nested_gen + submod2 submod_nested(); + end + submod2 submod_l1(); + end + submod2 submod_l0(); +endmodule + +module test( +); + genvar N; + generate for(N=0; N<2; N=N+1) + begin : FOR_GENERATE + submod submod_for(); + if(1) begin + submod submod_2(); + end + submod submod_3(); + end endgenerate +endmodule diff --git a/test_regress/t/t_json_only_debugcheck.out b/test_regress/t/t_json_only_debugcheck.out new file mode 100644 index 000000000..dff61009a --- /dev/null +++ b/test_regress/t/t_json_only_debugcheck.out @@ -0,0 +1,3004 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"(E)","stdPackagep":"UNLINKED","evalp":"(F)","evalNbap":"(G)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(H)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(I)","loc":"d,11:8,11:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(J)","loc":"d,15:10,15:13","dtypep":"(K)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.e","addr":"(L)","loc":"d,24:9,24:10","dtypep":"(M)","origName":"e","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","dtypeName":"my_t","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(N)","loc":"d,11:8,11:9","dtypep":"(K)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(O)","loc":"d,11:8,11:9","dtypep":"(P)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(Q)","loc":"d,23:17,23:20","dtypep":"(R)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(S)","loc":"d,11:8,11:9","dtypep":"(T)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(U)","loc":"d,11:8,11:9","dtypep":"(V)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"VlTriggerVec","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(W)","loc":"d,11:8,11:9","dtypep":"(V)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"VlTriggerVec","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"$unit","addr":"(X)","loc":"a,0:0,0:0","origName":"__024unit","recursive":false,"modp":"(E)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(H)","loc":"d,11:8,11:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(Y)","loc":"d,11:8,11:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(I)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(Z)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(AB)","loc":"d,11:8,11:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BB)","loc":"d,11:8,11:9","dtypep":"(CB)","funcName":"_eval_static__TOP","funcp":"(DB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_static__TOP","addr":"(DB)","loc":"d,11:8,11:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(EB)","loc":"d,23:23,23:24","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(FB)","loc":"d,23:23,23:24","dtypep":"(GB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(HB)","loc":"d,23:23,23:24","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(IB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(JB)","loc":"d,11:8,11:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(KB)","loc":"d,11:8,11:9","dtypep":"(CB)","funcName":"_eval_initial__TOP","funcp":"(LB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(MB)","loc":"d,61:22,61:25","dtypep":"(NB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(OB)","loc":"d,61:22,61:25","dtypep":"(NB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(PB)","loc":"d,61:22,61:25","dtypep":"(NB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(LB)","loc":"d,11:8,11:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"t.all","addr":"(QB)","loc":"d,28:11,28:14","dtypep":"(RB)","origName":"t__DOT__all","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"VAR","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(SB)","loc":"d,28:11,28:14", + "varrefp": [ + {"type":"VARREF","name":"t.all","addr":"(TB)","loc":"d,28:11,28:14","dtypep":"(RB)","access":"WR","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"t.unnamedblk1.e","addr":"(UB)","loc":"d,52:17,52:18","dtypep":"(VB)","origName":"t__DOT__unnamedblk1__DOT__e","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"VAR","dtypeName":"my_t","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(WB)","loc":"d,52:17,52:18", + "varrefp": [ + {"type":"VARREF","name":"t.unnamedblk1.e","addr":"(XB)","loc":"d,52:17,52:18","dtypep":"(VB)","access":"WR","varp":"(UB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vtemp_1","addr":"(YB)","loc":"d,49:123,49:127","dtypep":"(RB)","origName":"__Vtemp_1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"STMTTEMP","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(ZB)","loc":"d,32:9,32:10","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"4'h3","addr":"(BC)","loc":"d,32:11,32:14","dtypep":"(AC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(CC)","loc":"d,32:7,32:8","dtypep":"(AC)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(DC)","loc":"d,38:10,38:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(EC)","loc":"d,38:26,38:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(FC)","loc":"d,38:31,38:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(GC)","loc":"d,38:17,38:21","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(HC)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(KC)","loc":"d,38:17,38:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(MC)","loc":"d,38:17,38:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OC)","loc":"d,38:15,38:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(PC)","loc":"d,38:15,38:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(QC)","loc":"d,38:43,38:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:38: got='h%x exp='h4\\n","addr":"(RC)","loc":"d,38:43,38:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(SC)","loc":"d,38:124,38:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(TC)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(UC)","loc":"d,38:124,38:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(VC)","loc":"d,38:124,38:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WC)","loc":"d,38:122,38:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(XC)","loc":"d,38:122,38:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(YC)","loc":"d,38:142,38:147"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ZC)","loc":"d,39:10,39:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(AD)","loc":"d,39:34,39:37","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(BD)","loc":"d,39:39,39:42","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(CD)","loc":"d,39:25,39:29","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(DD)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(ED)","loc":"d,39:25,39:29","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(FD)","loc":"d,39:25,39:29","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(GD)","loc":"d,39:17,39:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(HD)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(ID)","loc":"d,39:17,39:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(JD)","loc":"d,39:17,39:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KD)","loc":"d,39:15,39:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(LD)","loc":"d,39:15,39:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(MD)","loc":"d,39:51,39:57", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:39: got='h%x exp='h1\\n","addr":"(ND)","loc":"d,39:51,39:57","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(OD)","loc":"d,39:140,39:144","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(PD)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(QD)","loc":"d,39:140,39:144","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(RD)","loc":"d,39:140,39:144","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(SD)","loc":"d,39:132,39:136","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(TD)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(UD)","loc":"d,39:132,39:136","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(VD)","loc":"d,39:132,39:136","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WD)","loc":"d,39:130,39:131","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(XD)","loc":"d,39:130,39:131","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(YD)","loc":"d,39:158,39:163"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ZD)","loc":"d,40:10,40:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(AE)","loc":"d,40:26,40:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(BE)","loc":"d,40:31,40:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(CE)","loc":"d,40:17,40:21","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(DE)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(EE)","loc":"d,40:17,40:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(FE)","loc":"d,40:17,40:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(GE)","loc":"d,40:17,40:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(HE)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(IE)","loc":"d,40:17,40:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(JE)","loc":"d,40:17,40:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KE)","loc":"d,40:15,40:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(LE)","loc":"d,40:15,40:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(ME)","loc":"d,40:43,40:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:40: got='h%x exp='h1\\n","addr":"(NE)","loc":"d,40:43,40:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(OE)","loc":"d,40:124,40:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(PE)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(QE)","loc":"d,40:124,40:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(RE)","loc":"d,40:124,40:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(SE)","loc":"d,40:124,40:128","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(TE)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(UE)","loc":"d,40:124,40:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(VE)","loc":"d,40:124,40:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WE)","loc":"d,40:122,40:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(XE)","loc":"d,40:122,40:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(YE)","loc":"d,40:142,40:147"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ZE)","loc":"d,41:10,41:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(AF)","loc":"d,41:42,41:45","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(BF)","loc":"d,41:47,41:50","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(CF)","loc":"d,41:33,41:37","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(DF)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(EF)","loc":"d,41:33,41:37","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(FF)","loc":"d,41:33,41:37","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(GF)","loc":"d,41:25,41:29","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(HF)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(IF)","loc":"d,41:25,41:29","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(JF)","loc":"d,41:25,41:29","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(KF)","loc":"d,41:17,41:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(LF)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(MF)","loc":"d,41:17,41:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(NF)","loc":"d,41:17,41:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OF)","loc":"d,41:15,41:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(PF)","loc":"d,41:15,41:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(QF)","loc":"d,41:59,41:65", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:41: got='h%x exp='h3\\n","addr":"(RF)","loc":"d,41:59,41:65","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(SF)","loc":"d,41:156,41:160","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(TF)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(UF)","loc":"d,41:156,41:160","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(VF)","loc":"d,41:156,41:160","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(WF)","loc":"d,41:148,41:152","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(XF)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(YF)","loc":"d,41:148,41:152","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(ZF)","loc":"d,41:148,41:152","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(AG)","loc":"d,41:140,41:144","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(BG)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(CG)","loc":"d,41:140,41:144","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(DG)","loc":"d,41:140,41:144","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EG)","loc":"d,41:138,41:139","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(FG)","loc":"d,41:138,41:139","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(GG)","loc":"d,41:174,41:179"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(HG)","loc":"d,42:10,42:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(IG)","loc":"d,42:34,42:37","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(JG)","loc":"d,42:39,42:42","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(KG)","loc":"d,42:25,42:29","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(LG)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(MG)","loc":"d,42:25,42:29","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(NG)","loc":"d,42:25,42:29","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(OG)","loc":"d,42:25,42:29","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(PG)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(QG)","loc":"d,42:25,42:29","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(RG)","loc":"d,42:25,42:29","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(SG)","loc":"d,42:17,42:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(TG)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(UG)","loc":"d,42:17,42:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(VG)","loc":"d,42:17,42:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(WG)","loc":"d,42:15,42:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(XG)","loc":"d,42:15,42:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(YG)","loc":"d,42:51,42:57", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:42: got='h%x exp='h3\\n","addr":"(ZG)","loc":"d,42:51,42:57","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(AH)","loc":"d,42:140,42:144","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(BH)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(CH)","loc":"d,42:140,42:144","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(DH)","loc":"d,42:140,42:144","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(EH)","loc":"d,42:140,42:144","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(FH)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(GH)","loc":"d,42:140,42:144","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(HH)","loc":"d,42:140,42:144","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(IH)","loc":"d,42:132,42:136","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(JH)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(KH)","loc":"d,42:132,42:136","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(LH)","loc":"d,42:132,42:136","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(MH)","loc":"d,42:130,42:131","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(NH)","loc":"d,42:130,42:131","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(OH)","loc":"d,42:158,42:163"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(PH)","loc":"d,43:10,43:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(QH)","loc":"d,43:26,43:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(RH)","loc":"d,43:31,43:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(SH)","loc":"d,43:17,43:21","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(TH)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(UH)","loc":"d,43:17,43:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(VH)","loc":"d,43:17,43:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(WH)","loc":"d,43:17,43:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(XH)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(YH)","loc":"d,43:17,43:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(ZH)","loc":"d,43:17,43:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(AI)","loc":"d,43:17,43:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(BI)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(CI)","loc":"d,43:17,43:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(DI)","loc":"d,43:17,43:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EI)","loc":"d,43:15,43:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(FI)","loc":"d,43:15,43:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(GI)","loc":"d,43:43,43:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:43: got='h%x exp='h3\\n","addr":"(HI)","loc":"d,43:43,43:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(II)","loc":"d,43:124,43:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(JI)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(KI)","loc":"d,43:124,43:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(LI)","loc":"d,43:124,43:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(MI)","loc":"d,43:124,43:128","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(NI)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(OI)","loc":"d,43:124,43:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(PI)","loc":"d,43:124,43:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(QI)","loc":"d,43:124,43:128","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(RI)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(SI)","loc":"d,43:124,43:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(TI)","loc":"d,43:124,43:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(UI)","loc":"d,43:122,43:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(VI)","loc":"d,43:122,43:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(WI)","loc":"d,43:142,43:147"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(XI)","loc":"d,44:10,44:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(YI)","loc":"d,44:23,44:26","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(ZI)","loc":"d,44:28,44:31","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(AJ)","loc":"d,44:17,44:21","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(BJ)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(EJ)","loc":"d,44:17,44:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(FJ)","loc":"d,44:17,44:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(GJ)","loc":"d,44:15,44:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(HJ)","loc":"d,44:15,44:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(IJ)","loc":"d,44:40,44:46", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:44: got='h%x exp='h1\\n","addr":"(JJ)","loc":"d,44:40,44:46","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(KJ)","loc":"d,44:121,44:125","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(LJ)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(MJ)","loc":"d,44:121,44:125","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(NJ)","loc":"d,44:121,44:125","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OJ)","loc":"d,44:119,44:120","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(PJ)","loc":"d,44:119,44:120","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(QJ)","loc":"d,44:136,44:141"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(RJ)","loc":"d,45:10,45:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(SJ)","loc":"d,45:26,45:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(TJ)","loc":"d,45:31,45:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(UJ)","loc":"d,45:17,45:21","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(VJ)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(WJ)","loc":"d,45:17,45:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(XJ)","loc":"d,45:17,45:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(YJ)","loc":"d,45:15,45:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(ZJ)","loc":"d,45:15,45:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(AK)","loc":"d,45:43,45:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:45: got='h%x exp='h1\\n","addr":"(BK)","loc":"d,45:43,45:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(CK)","loc":"d,45:124,45:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(DK)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(EK)","loc":"d,45:124,45:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(FK)","loc":"d,45:124,45:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(GK)","loc":"d,45:122,45:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(HK)","loc":"d,45:122,45:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(IK)","loc":"d,45:142,45:147"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JK)","loc":"d,46:10,46:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(KK)","loc":"d,46:34,46:37","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(LK)","loc":"d,46:39,46:42","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(MK)","loc":"d,46:25,46:29","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(NK)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(OK)","loc":"d,46:25,46:29","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(PK)","loc":"d,46:25,46:29","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(QK)","loc":"d,46:17,46:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(RK)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(SK)","loc":"d,46:17,46:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(TK)","loc":"d,46:17,46:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(UK)","loc":"d,46:15,46:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(VK)","loc":"d,46:15,46:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(WK)","loc":"d,46:51,46:57", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:46: got='h%x exp='h4\\n","addr":"(XK)","loc":"d,46:51,46:57","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(YK)","loc":"d,46:140,46:144","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(ZK)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(AL)","loc":"d,46:140,46:144","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(BL)","loc":"d,46:140,46:144","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(CL)","loc":"d,46:132,46:136","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(DL)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(EL)","loc":"d,46:132,46:136","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(FL)","loc":"d,46:132,46:136","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(GL)","loc":"d,46:130,46:131","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(HL)","loc":"d,46:130,46:131","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(IL)","loc":"d,46:158,46:163"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JL)","loc":"d,47:10,47:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(KL)","loc":"d,47:26,47:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(LL)","loc":"d,47:31,47:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(ML)","loc":"d,47:17,47:21","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(NL)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(OL)","loc":"d,47:17,47:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(PL)","loc":"d,47:17,47:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(QL)","loc":"d,47:17,47:21","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(RL)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(SL)","loc":"d,47:17,47:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(TL)","loc":"d,47:17,47:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(UL)","loc":"d,47:15,47:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(VL)","loc":"d,47:15,47:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(WL)","loc":"d,47:43,47:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:47: got='h%x exp='h4\\n","addr":"(XL)","loc":"d,47:43,47:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(YL)","loc":"d,47:124,47:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(ZL)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(AM)","loc":"d,47:124,47:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(BM)","loc":"d,47:124,47:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(CM)","loc":"d,47:124,47:128","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(DM)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(EM)","loc":"d,47:124,47:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(FM)","loc":"d,47:124,47:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(GM)","loc":"d,47:122,47:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(HM)","loc":"d,47:122,47:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(IM)","loc":"d,47:142,47:147"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JM)","loc":"d,49:10,49:12", + "condp": [ + {"type":"NEQN","name":"","addr":"(KM)","loc":"d,49:23,49:26","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"\\\"E03\\\"","addr":"(LM)","loc":"d,49:28,49:33","dtypep":"(RB)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(MM)","loc":"d,49:17,49:21","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(NM)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(QM)","loc":"d,49:17,49:21","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(RM)","loc":"d,49:17,49:21","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(SM)","loc":"d,49:15,49:16","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(TM)","loc":"d,49:15,49:16","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(UM)","loc":"d,49:123,49:127","dtypep":"(RB)", + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VM)","loc":"d,49:123,49:127","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(WM)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XM)","loc":"d,49:123,49:127","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YM)","loc":"d,49:123,49:127","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZM)","loc":"d,49:121,49:122","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(AN)","loc":"d,49:121,49:122","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(BN)","loc":"d,49:123,49:127","dtypep":"(RB)","access":"WR","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"DISPLAY","name":"","addr":"(CN)","loc":"d,49:42,49:48", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:49: got='%@' exp='E03'\\n","addr":"(DN)","loc":"d,49:42,49:48","dtypep":"(RB)", + "exprsp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(EN)","loc":"d,49:123,49:127","dtypep":"(RB)","access":"RD","varp":"(YB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(FN)","loc":"d,49:140,49:145"} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(GN)","loc":"d,51:11,51:12","dtypep":"(RB)", + "rhsp": [ + {"type":"CONST","name":"\\\"\\\"","addr":"(HN)","loc":"d,51:13,51:15","dtypep":"(RB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.all","addr":"(IN)","loc":"d,51:7,51:10","dtypep":"(RB)","access":"WR","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(JN)","loc":"d,52:19,52:20","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"4'h1","addr":"(KN)","loc":"d,52:23,52:28","dtypep":"(AC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.unnamedblk1.e","addr":"(LN)","loc":"d,52:17,52:18","dtypep":"(AC)","access":"WR","varp":"(UB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(MN)","loc":"d,52:7,52:10","precondsp": [], + "condp": [ + {"type":"NEQ","name":"","addr":"(NN)","loc":"d,52:32,52:34","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(ON)","loc":"d,52:37,52:41","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PN)","loc":"d,52:30,52:31","dtypep":"(AC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.unnamedblk1.e","addr":"(QN)","loc":"d,52:30,52:31","dtypep":"(AC)","access":"RD","varp":"(UB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(RN)","loc":"d,53:14,53:15","dtypep":"(RB)", + "rhsp": [ + {"type":"CONCATN","name":"","addr":"(SN)","loc":"d,53:20,53:21","dtypep":"(RB)", + "lhsp": [ + {"type":"VARREF","name":"t.all","addr":"(TN)","loc":"d,53:17,53:20","dtypep":"(RB)","access":"RD","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CVTPACKSTRING","name":"","addr":"(UN)","loc":"d,53:24,53:28","dtypep":"(RB)", + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VN)","loc":"d,53:24,53:28","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(WN)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XN)","loc":"d,53:24,53:28","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YN)","loc":"d,53:24,53:28","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZN)","loc":"d,53:22,53:23","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.unnamedblk1.e","addr":"(AO)","loc":"d,53:22,53:23","dtypep":"(LC)","access":"RD","varp":"(UB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.all","addr":"(BO)","loc":"d,53:10,53:13","dtypep":"(RB)","access":"WR","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "incsp": [ + {"type":"ASSIGN","name":"","addr":"(CO)","loc":"d,52:45,52:46","dtypep":"(AC)", + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(DO)","loc":"d,52:49,52:53","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(EO)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(FO)","loc":"d,52:49,52:53","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(GO)","loc":"d,52:49,52:53","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(HO)","loc":"d,52:47,52:48","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.unnamedblk1.e","addr":"(IO)","loc":"d,52:47,52:48","dtypep":"(LC)","access":"RD","varp":"(UB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.unnamedblk1.e","addr":"(JO)","loc":"d,52:43,52:44","dtypep":"(AC)","access":"WR","varp":"(UB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(KO)","loc":"d,55:9,55:10","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"4'h4","addr":"(LO)","loc":"d,55:13,55:17","dtypep":"(AC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(MO)","loc":"d,55:7,55:8","dtypep":"(AC)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NO)","loc":"d,56:11,56:12","dtypep":"(RB)", + "rhsp": [ + {"type":"CONCATN","name":"","addr":"(OO)","loc":"d,56:17,56:18","dtypep":"(RB)", + "lhsp": [ + {"type":"VARREF","name":"t.all","addr":"(PO)","loc":"d,56:14,56:17","dtypep":"(RB)","access":"RD","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"\\\"E04\\\"","addr":"(QO)","loc":"d,56:21,56:25","dtypep":"(RB)"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.all","addr":"(RO)","loc":"d,56:7,56:10","dtypep":"(RB)","access":"WR","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(SO)","loc":"d,57:10,57:12", + "condp": [ + {"type":"NEQN","name":"","addr":"(TO)","loc":"d,57:20,57:23","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"\\\"E01E03E04\\\"","addr":"(UO)","loc":"d,57:25,57:36","dtypep":"(RB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.all","addr":"(VO)","loc":"d,57:15,57:18","dtypep":"(RB)","access":"RD","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(WO)","loc":"d,57:45,57:51", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:57: got='%@' exp='E01E03E04'\\n","addr":"(XO)","loc":"d,57:45,57:51","dtypep":"(RB)", + "exprsp": [ + {"type":"VARREF","name":"t.all","addr":"(YO)","loc":"d,57:124,57:127","dtypep":"(RB)","access":"RD","varp":"(QB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(ZO)","loc":"d,57:146,57:151"} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(AP)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(BP)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(CP)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(DP)","loc":"d,11:8,11:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(EP)","loc":"d,11:8,11:9","dtypep":"(CB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(FP)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(GP)","loc":"d,11:8,11:9","dtypep":"(NC)"}, + {"type":"AND","name":"","addr":"(HP)","loc":"d,61:14,61:21","dtypep":"(NB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IP)","loc":"d,61:22,61:25","dtypep":"(NB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(JP)","loc":"d,61:22,61:25","dtypep":"(NB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(KP)","loc":"d,61:14,61:21","dtypep":"(NB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LP)","loc":"d,61:14,61:21","dtypep":"(NB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(MP)","loc":"d,61:14,61:21","dtypep":"(NB)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(NP)","loc":"d,61:22,61:25","dtypep":"(NB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(OP)","loc":"d,61:22,61:25","dtypep":"(NB)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(PP)","loc":"d,61:22,61:25","dtypep":"(NB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(QP)","loc":"d,11:8,11:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RP)","loc":"d,11:8,11:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(SP)","loc":"d,11:8,11:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(TP)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(UP)","loc":"a,0:0,0:0","dtypep":"(CB)","funcName":"_dump_triggers__act","funcp":"(VP)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(WP)","loc":"d,11:8,11:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(XP)","loc":"d,11:8,11:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(VP)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YP)","loc":"d,11:8,11:9", + "condp": [ + {"type":"AND","name":"","addr":"(ZP)","loc":"d,11:8,11:9","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AQ)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(BQ)","loc":"d,11:8,11:9","dtypep":"(NB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CQ)","loc":"d,11:8,11:9","dtypep":"(NB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(DQ)","loc":"d,11:8,11:9","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(EQ)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(FQ)","loc":"d,11:8,11:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GQ)","loc":"d,11:8,11:9", + "condp": [ + {"type":"AND","name":"","addr":"(HQ)","loc":"d,11:8,11:9","dtypep":"(IQ)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(JQ)","loc":"d,11:8,11:9","dtypep":"(IQ)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(KQ)","loc":"d,11:8,11:9","dtypep":"(LQ)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(MQ)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(NQ)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(OQ)","loc":"d,11:8,11:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(PQ)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(QQ)","loc":"d,11:8,11:9", + "condp": [ + {"type":"AND","name":"","addr":"(RQ)","loc":"d,11:8,11:9","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(SQ)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(TQ)","loc":"d,11:8,11:9","dtypep":"(NB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(UQ)","loc":"d,11:8,11:9","dtypep":"(NB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(VQ)","loc":"d,11:8,11:9","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(WQ)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(XQ)","loc":"d,11:8,11:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(YQ)","loc":"d,11:8,11:9", + "condp": [ + {"type":"AND","name":"","addr":"(ZQ)","loc":"d,11:8,11:9","dtypep":"(IQ)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(AR)","loc":"d,11:8,11:9","dtypep":"(IQ)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(BR)","loc":"d,11:8,11:9","dtypep":"(LQ)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CR)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(DR)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(ER)","loc":"d,11:8,11:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(FR)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(GR)","loc":"d,65:10,65:11","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(HR)","loc":"d,23:17,23:20","dtypep":"(R)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(IR)","loc":"d,23:17,23:20", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(JR)","loc":"d,23:17,23:20","dtypep":"(R)","access":"WR","varp":"(HR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdly__t.e","addr":"(KR)","loc":"d,24:9,24:10","dtypep":"(M)","origName":"__Vdly__t__DOT__e","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"my_t","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(LR)","loc":"d,24:9,24:10", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.e","addr":"(MR)","loc":"d,24:9,24:10","dtypep":"(M)","access":"WR","varp":"(KR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vtemp_1","addr":"(NR)","loc":"d,68:126,68:130","dtypep":"(RB)","origName":"__Vtemp_1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"STMTTEMP","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtemp_2","addr":"(OR)","loc":"d,78:126,78:130","dtypep":"(RB)","origName":"__Vtemp_2","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"STMTTEMP","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtemp_3","addr":"(PR)","loc":"d,88:126,88:130","dtypep":"(RB)","origName":"__Vtemp_3","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"STMTTEMP","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(QR)","loc":"d,65:10,65:11","dtypep":"(AC)", + "rhsp": [ + {"type":"VARREF","name":"t.e","addr":"(RR)","loc":"d,65:10,65:11","dtypep":"(AC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.e","addr":"(SR)","loc":"d,65:10,65:11","dtypep":"(AC)","access":"WR","varp":"(KR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPRE","name":"","addr":"(TR)","loc":"d,62:7,62:10","dtypep":"(R)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(UR)","loc":"d,62:7,62:10","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(VR)","loc":"d,62:7,62:10","dtypep":"(R)","access":"WR","varp":"(HR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(WR)","loc":"d,62:11,62:13","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(XR)","loc":"d,62:18,62:19","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YR)","loc":"d,62:20,62:21","dtypep":"(NC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(ZR)","loc":"d,62:20,62:21","dtypep":"(GB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(AS)","loc":"d,62:14,62:17","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(BS)","loc":"d,62:7,62:10","dtypep":"(R)","access":"WR","varp":"(HR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(CS)","loc":"d,63:7,63:9", + "condp": [ + {"type":"EQ","name":"","addr":"(DS)","loc":"d,63:14,63:16","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(ES)","loc":"d,63:16,63:17","dtypep":"(GB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(FS)","loc":"d,63:11,63:14","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(GS)","loc":"d,65:12,65:14","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"4'h1","addr":"(HS)","loc":"d,65:15,65:18","dtypep":"(AC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.e","addr":"(IS)","loc":"d,65:10,65:11","dtypep":"(AC)","access":"WR","varp":"(KR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(JS)","loc":"d,67:12,67:14", + "condp": [ + {"type":"EQ","name":"","addr":"(KS)","loc":"d,67:19,67:21","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(LS)","loc":"d,67:21,67:22","dtypep":"(GB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(MS)","loc":"d,67:16,67:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(NS)","loc":"d,68:13,68:15", + "condp": [ + {"type":"NEQN","name":"","addr":"(OS)","loc":"d,68:26,68:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"\\\"E01\\\"","addr":"(PS)","loc":"d,68:31,68:36","dtypep":"(RB)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(QS)","loc":"d,68:20,68:24","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(RS)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(SS)","loc":"d,68:20,68:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(TS)","loc":"d,68:20,68:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(US)","loc":"d,68:18,68:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(VS)","loc":"d,68:18,68:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(WS)","loc":"d,68:126,68:130","dtypep":"(RB)", + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(XS)","loc":"d,68:126,68:130","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(YS)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(ZS)","loc":"d,68:126,68:130","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(AT)","loc":"d,68:126,68:130","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BT)","loc":"d,68:124,68:125","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(CT)","loc":"d,68:124,68:125","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(DT)","loc":"d,68:126,68:130","dtypep":"(RB)","access":"WR","varp":"(NR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"DISPLAY","name":"","addr":"(ET)","loc":"d,68:45,68:51", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:68: got='%@' exp='E01'\\n","addr":"(FT)","loc":"d,68:45,68:51","dtypep":"(RB)", + "exprsp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(GT)","loc":"d,68:126,68:130","dtypep":"(RB)","access":"RD","varp":"(NR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(HT)","loc":"d,68:143,68:148"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(IT)","loc":"d,69:13,69:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(JT)","loc":"d,69:26,69:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(KT)","loc":"d,69:31,69:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(LT)","loc":"d,69:20,69:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(MT)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(NT)","loc":"d,69:20,69:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(OT)","loc":"d,69:20,69:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PT)","loc":"d,69:18,69:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(QT)","loc":"d,69:18,69:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(RT)","loc":"d,69:43,69:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:69: got='h%x exp='h3\\n","addr":"(ST)","loc":"d,69:43,69:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(TT)","loc":"d,69:124,69:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(UT)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(VT)","loc":"d,69:124,69:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(WT)","loc":"d,69:124,69:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(XT)","loc":"d,69:122,69:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(YT)","loc":"d,69:122,69:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(ZT)","loc":"d,69:139,69:144"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(AU)","loc":"d,70:13,70:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(BU)","loc":"d,70:29,70:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(CU)","loc":"d,70:34,70:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(DU)","loc":"d,70:20,70:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(EU)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(FU)","loc":"d,70:20,70:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(GU)","loc":"d,70:20,70:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(HU)","loc":"d,70:18,70:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(IU)","loc":"d,70:18,70:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(JU)","loc":"d,70:46,70:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:70: got='h%x exp='h3\\n","addr":"(KU)","loc":"d,70:46,70:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(LU)","loc":"d,70:127,70:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(MU)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(NU)","loc":"d,70:127,70:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(OU)","loc":"d,70:127,70:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PU)","loc":"d,70:125,70:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(QU)","loc":"d,70:125,70:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(RU)","loc":"d,70:145,70:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(SU)","loc":"d,71:13,71:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(TU)","loc":"d,71:29,71:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(UU)","loc":"d,71:34,71:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VU)","loc":"d,71:20,71:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(WU)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XU)","loc":"d,71:20,71:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YU)","loc":"d,71:20,71:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(ZU)","loc":"d,71:20,71:24","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(AV)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(BV)","loc":"d,71:20,71:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(CV)","loc":"d,71:20,71:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DV)","loc":"d,71:18,71:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(EV)","loc":"d,71:18,71:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(FV)","loc":"d,71:46,71:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:71: got='h%x exp='h4\\n","addr":"(GV)","loc":"d,71:46,71:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(HV)","loc":"d,71:127,71:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(IV)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(JV)","loc":"d,71:127,71:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(KV)","loc":"d,71:127,71:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(LV)","loc":"d,71:127,71:131","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(MV)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(NV)","loc":"d,71:127,71:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(OV)","loc":"d,71:127,71:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PV)","loc":"d,71:125,71:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(QV)","loc":"d,71:125,71:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(RV)","loc":"d,71:145,71:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(SV)","loc":"d,72:13,72:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(TV)","loc":"d,72:26,72:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(UV)","loc":"d,72:31,72:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VV)","loc":"d,72:20,72:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(WV)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XV)","loc":"d,72:20,72:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YV)","loc":"d,72:20,72:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZV)","loc":"d,72:18,72:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(AW)","loc":"d,72:18,72:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(BW)","loc":"d,72:43,72:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:72: got='h%x exp='h4\\n","addr":"(CW)","loc":"d,72:43,72:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(DW)","loc":"d,72:124,72:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(EW)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(FW)","loc":"d,72:124,72:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(GW)","loc":"d,72:124,72:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(HW)","loc":"d,72:122,72:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(IW)","loc":"d,72:122,72:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(JW)","loc":"d,72:139,72:144"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(KW)","loc":"d,73:13,73:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(LW)","loc":"d,73:29,73:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(MW)","loc":"d,73:34,73:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(NW)","loc":"d,73:20,73:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(OW)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(PW)","loc":"d,73:20,73:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(QW)","loc":"d,73:20,73:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(RW)","loc":"d,73:18,73:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(SW)","loc":"d,73:18,73:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(TW)","loc":"d,73:46,73:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:73: got='h%x exp='h4\\n","addr":"(UW)","loc":"d,73:46,73:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VW)","loc":"d,73:127,73:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(WW)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XW)","loc":"d,73:127,73:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YW)","loc":"d,73:127,73:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZW)","loc":"d,73:125,73:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(AX)","loc":"d,73:125,73:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(BX)","loc":"d,73:145,73:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(CX)","loc":"d,74:13,74:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(DX)","loc":"d,74:29,74:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(EX)","loc":"d,74:34,74:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(FX)","loc":"d,74:20,74:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(GX)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(HX)","loc":"d,74:20,74:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(IX)","loc":"d,74:20,74:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(JX)","loc":"d,74:20,74:24","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(KX)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(LX)","loc":"d,74:20,74:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(MX)","loc":"d,74:20,74:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NX)","loc":"d,74:18,74:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(OX)","loc":"d,74:18,74:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(PX)","loc":"d,74:46,74:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:74: got='h%x exp='h3\\n","addr":"(QX)","loc":"d,74:46,74:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(RX)","loc":"d,74:127,74:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(SX)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(TX)","loc":"d,74:127,74:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(UX)","loc":"d,74:127,74:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VX)","loc":"d,74:127,74:131","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(WX)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XX)","loc":"d,74:127,74:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YX)","loc":"d,74:127,74:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZX)","loc":"d,74:125,74:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(AY)","loc":"d,74:125,74:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(BY)","loc":"d,74:145,74:150"} + ],"elsesp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(CY)","loc":"d,75:12,75:14","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"4'h3","addr":"(DY)","loc":"d,75:15,75:18","dtypep":"(AC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.e","addr":"(EY)","loc":"d,75:10,75:11","dtypep":"(AC)","access":"WR","varp":"(KR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(FY)","loc":"d,77:12,77:14", + "condp": [ + {"type":"EQ","name":"","addr":"(GY)","loc":"d,77:19,77:21","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'sh2","addr":"(HY)","loc":"d,77:21,77:22","dtypep":"(GB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(IY)","loc":"d,77:16,77:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(JY)","loc":"d,78:13,78:15", + "condp": [ + {"type":"NEQN","name":"","addr":"(KY)","loc":"d,78:26,78:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"\\\"E03\\\"","addr":"(LY)","loc":"d,78:31,78:36","dtypep":"(RB)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(MY)","loc":"d,78:20,78:24","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(NY)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(OY)","loc":"d,78:20,78:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(PY)","loc":"d,78:20,78:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(QY)","loc":"d,78:18,78:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(RY)","loc":"d,78:18,78:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(SY)","loc":"d,78:126,78:130","dtypep":"(RB)", + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(TY)","loc":"d,78:126,78:130","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(UY)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(VY)","loc":"d,78:126,78:130","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(WY)","loc":"d,78:126,78:130","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(XY)","loc":"d,78:124,78:125","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(YY)","loc":"d,78:124,78:125","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtemp_2","addr":"(ZY)","loc":"d,78:126,78:130","dtypep":"(RB)","access":"WR","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"DISPLAY","name":"","addr":"(AZ)","loc":"d,78:45,78:51", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:78: got='%@' exp='E03'\\n","addr":"(BZ)","loc":"d,78:45,78:51","dtypep":"(RB)", + "exprsp": [ + {"type":"VARREF","name":"__Vtemp_2","addr":"(CZ)","loc":"d,78:126,78:130","dtypep":"(RB)","access":"RD","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(DZ)","loc":"d,78:143,78:148"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(EZ)","loc":"d,79:13,79:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(FZ)","loc":"d,79:26,79:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(GZ)","loc":"d,79:31,79:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(HZ)","loc":"d,79:20,79:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(IZ)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(JZ)","loc":"d,79:20,79:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(KZ)","loc":"d,79:20,79:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LZ)","loc":"d,79:18,79:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(MZ)","loc":"d,79:18,79:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(NZ)","loc":"d,79:43,79:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:79: got='h%x exp='h4\\n","addr":"(OZ)","loc":"d,79:43,79:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(PZ)","loc":"d,79:124,79:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(QZ)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(RZ)","loc":"d,79:124,79:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(SZ)","loc":"d,79:124,79:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(TZ)","loc":"d,79:122,79:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(UZ)","loc":"d,79:122,79:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(VZ)","loc":"d,79:139,79:144"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(WZ)","loc":"d,80:13,80:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(XZ)","loc":"d,80:29,80:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(YZ)","loc":"d,80:34,80:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(ZZ)","loc":"d,80:20,80:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(AAB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(BAB)","loc":"d,80:20,80:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(CAB)","loc":"d,80:20,80:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DAB)","loc":"d,80:18,80:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(EAB)","loc":"d,80:18,80:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(FAB)","loc":"d,80:46,80:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:80: got='h%x exp='h4\\n","addr":"(GAB)","loc":"d,80:46,80:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(HAB)","loc":"d,80:127,80:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(IAB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(JAB)","loc":"d,80:127,80:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(KAB)","loc":"d,80:127,80:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LAB)","loc":"d,80:125,80:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(MAB)","loc":"d,80:125,80:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(NAB)","loc":"d,80:145,80:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(OAB)","loc":"d,81:13,81:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(PAB)","loc":"d,81:29,81:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(QAB)","loc":"d,81:34,81:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(RAB)","loc":"d,81:20,81:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(SAB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(TAB)","loc":"d,81:20,81:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(UAB)","loc":"d,81:20,81:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VAB)","loc":"d,81:20,81:24","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(WAB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XAB)","loc":"d,81:20,81:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YAB)","loc":"d,81:20,81:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZAB)","loc":"d,81:18,81:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(ABB)","loc":"d,81:18,81:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(BBB)","loc":"d,81:46,81:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:81: got='h%x exp='h1\\n","addr":"(CBB)","loc":"d,81:46,81:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(DBB)","loc":"d,81:127,81:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(EBB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(FBB)","loc":"d,81:127,81:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(GBB)","loc":"d,81:127,81:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(HBB)","loc":"d,81:127,81:131","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(IBB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(JBB)","loc":"d,81:127,81:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(KBB)","loc":"d,81:127,81:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LBB)","loc":"d,81:125,81:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(MBB)","loc":"d,81:125,81:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(NBB)","loc":"d,81:145,81:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(OBB)","loc":"d,82:13,82:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(PBB)","loc":"d,82:26,82:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(QBB)","loc":"d,82:31,82:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(RBB)","loc":"d,82:20,82:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(SBB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(TBB)","loc":"d,82:20,82:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(UBB)","loc":"d,82:20,82:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VBB)","loc":"d,82:18,82:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(WBB)","loc":"d,82:18,82:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(XBB)","loc":"d,82:43,82:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:82: got='h%x exp='h1\\n","addr":"(YBB)","loc":"d,82:43,82:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(ZBB)","loc":"d,82:124,82:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(ACB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(BCB)","loc":"d,82:124,82:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(CCB)","loc":"d,82:124,82:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DCB)","loc":"d,82:122,82:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(ECB)","loc":"d,82:122,82:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(FCB)","loc":"d,82:139,82:144"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(GCB)","loc":"d,83:13,83:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(HCB)","loc":"d,83:29,83:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(ICB)","loc":"d,83:34,83:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(JCB)","loc":"d,83:20,83:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(KCB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(LCB)","loc":"d,83:20,83:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(MCB)","loc":"d,83:20,83:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NCB)","loc":"d,83:18,83:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(OCB)","loc":"d,83:18,83:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(PCB)","loc":"d,83:46,83:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:83: got='h%x exp='h1\\n","addr":"(QCB)","loc":"d,83:46,83:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(RCB)","loc":"d,83:127,83:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(SCB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(TCB)","loc":"d,83:127,83:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(UCB)","loc":"d,83:127,83:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VCB)","loc":"d,83:125,83:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(WCB)","loc":"d,83:125,83:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(XCB)","loc":"d,83:145,83:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(YCB)","loc":"d,84:13,84:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(ZCB)","loc":"d,84:29,84:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h4","addr":"(ADB)","loc":"d,84:34,84:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(BDB)","loc":"d,84:20,84:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(CDB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(DDB)","loc":"d,84:20,84:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(EDB)","loc":"d,84:20,84:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(FDB)","loc":"d,84:20,84:24","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(GDB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(HDB)","loc":"d,84:20,84:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(IDB)","loc":"d,84:20,84:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(JDB)","loc":"d,84:18,84:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(KDB)","loc":"d,84:18,84:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(LDB)","loc":"d,84:46,84:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:84: got='h%x exp='h4\\n","addr":"(MDB)","loc":"d,84:46,84:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(NDB)","loc":"d,84:127,84:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(ODB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(PDB)","loc":"d,84:127,84:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(QDB)","loc":"d,84:127,84:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(RDB)","loc":"d,84:127,84:131","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(SDB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(TDB)","loc":"d,84:127,84:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(UDB)","loc":"d,84:127,84:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VDB)","loc":"d,84:125,84:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(WDB)","loc":"d,84:125,84:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(XDB)","loc":"d,84:145,84:150"} + ],"elsesp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(YDB)","loc":"d,85:12,85:14","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"4'h4","addr":"(ZDB)","loc":"d,85:15,85:18","dtypep":"(AC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.e","addr":"(AEB)","loc":"d,85:10,85:11","dtypep":"(AC)","access":"WR","varp":"(KR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(BEB)","loc":"d,87:12,87:14", + "condp": [ + {"type":"EQ","name":"","addr":"(CEB)","loc":"d,87:19,87:21","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'sh3","addr":"(DEB)","loc":"d,87:21,87:22","dtypep":"(GB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(EEB)","loc":"d,87:16,87:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(FEB)","loc":"d,88:13,88:15", + "condp": [ + {"type":"NEQN","name":"","addr":"(GEB)","loc":"d,88:26,88:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"\\\"E04\\\"","addr":"(HEB)","loc":"d,88:31,88:36","dtypep":"(RB)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(IEB)","loc":"d,88:20,88:24","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(JEB)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(KEB)","loc":"d,88:20,88:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(LEB)","loc":"d,88:20,88:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(MEB)","loc":"d,88:18,88:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(NEB)","loc":"d,88:18,88:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(OEB)","loc":"d,88:126,88:130","dtypep":"(RB)", + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(PEB)","loc":"d,88:126,88:130","dtypep":"(RB)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(QEB)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"RD","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(REB)","loc":"d,88:126,88:130","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(SEB)","loc":"d,88:126,88:130","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(TEB)","loc":"d,88:124,88:125","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(UEB)","loc":"d,88:124,88:125","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtemp_3","addr":"(VEB)","loc":"d,88:126,88:130","dtypep":"(RB)","access":"WR","varp":"(PR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"DISPLAY","name":"","addr":"(WEB)","loc":"d,88:45,88:51", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:88: got='%@' exp='E04'\\n","addr":"(XEB)","loc":"d,88:45,88:51","dtypep":"(RB)", + "exprsp": [ + {"type":"VARREF","name":"__Vtemp_3","addr":"(YEB)","loc":"d,88:126,88:130","dtypep":"(RB)","access":"RD","varp":"(PR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(ZEB)","loc":"d,88:143,88:148"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(AFB)","loc":"d,89:13,89:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(BFB)","loc":"d,89:26,89:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(CFB)","loc":"d,89:31,89:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(DFB)","loc":"d,89:20,89:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(EFB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(FFB)","loc":"d,89:20,89:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(GFB)","loc":"d,89:20,89:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(HFB)","loc":"d,89:18,89:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(IFB)","loc":"d,89:18,89:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(JFB)","loc":"d,89:43,89:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:89: got='h%x exp='h1\\n","addr":"(KFB)","loc":"d,89:43,89:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(LFB)","loc":"d,89:124,89:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(MFB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(NFB)","loc":"d,89:124,89:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(OFB)","loc":"d,89:124,89:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PFB)","loc":"d,89:122,89:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(QFB)","loc":"d,89:122,89:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(RFB)","loc":"d,89:139,89:144"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(SFB)","loc":"d,90:13,90:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(TFB)","loc":"d,90:29,90:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(UFB)","loc":"d,90:34,90:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VFB)","loc":"d,90:20,90:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(WFB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XFB)","loc":"d,90:20,90:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YFB)","loc":"d,90:20,90:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZFB)","loc":"d,90:18,90:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(AGB)","loc":"d,90:18,90:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(BGB)","loc":"d,90:46,90:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:90: got='h%x exp='h1\\n","addr":"(CGB)","loc":"d,90:46,90:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(DGB)","loc":"d,90:127,90:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(EGB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(FGB)","loc":"d,90:127,90:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(GGB)","loc":"d,90:127,90:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(HGB)","loc":"d,90:125,90:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(IGB)","loc":"d,90:125,90:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(JGB)","loc":"d,90:145,90:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(KGB)","loc":"d,91:13,91:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(LGB)","loc":"d,91:29,91:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(MGB)","loc":"d,91:34,91:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(NGB)","loc":"d,91:20,91:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(OGB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(PGB)","loc":"d,91:20,91:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(QGB)","loc":"d,91:20,91:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(RGB)","loc":"d,91:20,91:24","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(SGB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(TGB)","loc":"d,91:20,91:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(UGB)","loc":"d,91:20,91:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VGB)","loc":"d,91:18,91:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(WGB)","loc":"d,91:18,91:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(XGB)","loc":"d,91:46,91:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:91: got='h%x exp='h3\\n","addr":"(YGB)","loc":"d,91:46,91:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(ZGB)","loc":"d,91:127,91:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(AHB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(BHB)","loc":"d,91:127,91:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(CHB)","loc":"d,91:127,91:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(DHB)","loc":"d,91:127,91:131","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(EHB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"RD","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(FHB)","loc":"d,91:127,91:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(GHB)","loc":"d,91:127,91:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(HHB)","loc":"d,91:125,91:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(IHB)","loc":"d,91:125,91:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(JHB)","loc":"d,91:145,91:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(KHB)","loc":"d,92:13,92:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(LHB)","loc":"d,92:26,92:29","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(MHB)","loc":"d,92:31,92:34","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(NHB)","loc":"d,92:20,92:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(OHB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(PHB)","loc":"d,92:20,92:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(QHB)","loc":"d,92:20,92:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(RHB)","loc":"d,92:18,92:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(SHB)","loc":"d,92:18,92:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(THB)","loc":"d,92:43,92:49", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:92: got='h%x exp='h3\\n","addr":"(UHB)","loc":"d,92:43,92:49","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VHB)","loc":"d,92:124,92:128","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(WHB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(XHB)","loc":"d,92:124,92:128","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(YHB)","loc":"d,92:124,92:128","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZHB)","loc":"d,92:122,92:123","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(AIB)","loc":"d,92:122,92:123","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(BIB)","loc":"d,92:139,92:144"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(CIB)","loc":"d,93:13,93:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(DIB)","loc":"d,93:29,93:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(EIB)","loc":"d,93:34,93:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(FIB)","loc":"d,93:20,93:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(GIB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(HIB)","loc":"d,93:20,93:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(IIB)","loc":"d,93:20,93:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(JIB)","loc":"d,93:18,93:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(KIB)","loc":"d,93:18,93:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(LIB)","loc":"d,93:46,93:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:93: got='h%x exp='h3\\n","addr":"(MIB)","loc":"d,93:46,93:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(NIB)","loc":"d,93:127,93:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(OIB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(PIB)","loc":"d,93:127,93:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(QIB)","loc":"d,93:127,93:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(RIB)","loc":"d,93:125,93:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(SIB)","loc":"d,93:125,93:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(TIB)","loc":"d,93:145,93:150"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(UIB)","loc":"d,94:13,94:15", + "condp": [ + {"type":"NEQ","name":"","addr":"(VIB)","loc":"d,94:29,94:32","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(WIB)","loc":"d,94:34,94:37","dtypep":"(AC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(XIB)","loc":"d,94:20,94:24","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(YIB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(ZIB)","loc":"d,94:20,94:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(AJB)","loc":"d,94:20,94:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(BJB)","loc":"d,94:20,94:24","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(CJB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(DJB)","loc":"d,94:20,94:24","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(EJB)","loc":"d,94:20,94:24","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(FJB)","loc":"d,94:18,94:19","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(GJB)","loc":"d,94:18,94:19","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(HJB)","loc":"d,94:46,94:52", + "fmtp": [ + {"type":"SFORMATF","name":"%%Error: t/t_enum_type_methods.v:94: got='h%x exp='h1\\n","addr":"(IJB)","loc":"d,94:46,94:52","dtypep":"(RB)", + "exprsp": [ + {"type":"ARRAYSEL","name":"","addr":"(JJB)","loc":"d,94:127,94:131","dtypep":"(AC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(KJB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(LJB)","loc":"d,94:127,94:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(MJB)","loc":"d,94:127,94:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(NJB)","loc":"d,94:127,94:131","dtypep":"(LC)", + "fromp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(OJB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"RD","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"AND","name":"","addr":"(PJB)","loc":"d,94:127,94:131","dtypep":"(LC)", + "lhsp": [ + {"type":"CONST","name":"32'h7","addr":"(QJB)","loc":"d,94:127,94:131","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(RJB)","loc":"d,94:125,94:126","dtypep":"(LC)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(SJB)","loc":"d,94:125,94:126","dtypep":"(LC)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"STOP","name":"","addr":"(TJB)","loc":"d,94:145,94:150"} + ],"elsesp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(UJB)","loc":"d,95:12,95:14","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"4'h1","addr":"(VJB)","loc":"d,95:15,95:18","dtypep":"(AC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.e","addr":"(WJB)","loc":"d,95:10,95:11","dtypep":"(AC)","access":"WR","varp":"(KR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(XJB)","loc":"d,97:12,97:14", + "condp": [ + {"type":"EQ","name":"","addr":"(YJB)","loc":"d,97:19,97:21","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'sh63","addr":"(ZJB)","loc":"d,97:21,97:23","dtypep":"(GB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(AKB)","loc":"d,97:16,97:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(BKB)","loc":"d,98:10,98:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n","addr":"(CKB)","loc":"d,98:10,98:16","dtypep":"(RB)","exprsp": [],"scopeNamep": []} + ],"filep": []}, + {"type":"FINISH","name":"","addr":"(DKB)","loc":"d,99:10,99:17"} + ],"elsesp": []} + ]} + ]} + ]} + ]}, + {"type":"ASSIGNPOST","name":"","addr":"(EKB)","loc":"d,62:7,62:10","dtypep":"(R)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(FKB)","loc":"d,62:7,62:10","dtypep":"(R)","access":"RD","varp":"(HR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(GKB)","loc":"d,62:7,62:10","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(HKB)","loc":"d,65:10,65:11","dtypep":"(AC)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.e","addr":"(IKB)","loc":"d,65:10,65:11","dtypep":"(AC)","access":"RD","varp":"(KR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.e","addr":"(JKB)","loc":"d,65:10,65:11","dtypep":"(AC)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(G)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(KKB)","loc":"d,11:8,11:9", + "condp": [ + {"type":"AND","name":"","addr":"(LKB)","loc":"d,11:8,11:9","dtypep":"(IQ)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(MKB)","loc":"d,11:8,11:9","dtypep":"(IQ)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(NKB)","loc":"d,11:8,11:9","dtypep":"(LQ)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(OKB)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(PKB)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(QKB)","loc":"d,65:10,65:11", + "exprp": [ + {"type":"CCALL","name":"","addr":"(RKB)","loc":"d,65:10,65:11","dtypep":"(CB)","funcName":"_nba_sequent__TOP__0","funcp":"(GR)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(SKB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(TKB)","loc":"d,11:8,11:9","dtypep":"(V)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"VlTriggerVec","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(UKB)","loc":"d,11:8,11:9","dtypep":"(P)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(VKB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(WKB)","loc":"a,0:0,0:0","dtypep":"(CB)","funcName":"_eval_triggers__act","funcp":"(CP)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(XKB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(YKB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(ZKB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(ALB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"WR","varp":"(UKB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(BLB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(CLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(UKB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(DLB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(ELB)","loc":"a,0:0,0:0","dtypep":"(CB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(FLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"WR","varp":"(TKB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(GLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(ILB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(JLB)","loc":"a,0:0,0:0","dtypep":"(CB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(KLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(LLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(MLB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(NLB)","loc":"a,0:0,0:0","dtypep":"(CB)","funcName":"_eval_act","funcp":"(FR)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(OLB)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(PLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(UKB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(QLB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(RLB)","loc":"d,11:8,11:9","dtypep":"(P)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(SLB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(TLB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(ULB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(VLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"WR","varp":"(RLB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(WLB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(XLB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(RLB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(YLB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(ZLB)","loc":"a,0:0,0:0","dtypep":"(CB)","funcName":"_eval_nba","funcp":"(G)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(AMB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(BMB)","loc":"a,0:0,0:0","dtypep":"(CB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(CMB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(DMB)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(EMB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(RLB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(Y)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(FMB)","loc":"d,11:8,11:9","dtypep":"(T)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(GMB)","loc":"d,11:8,11:9","dtypep":"(P)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","dtypeName":"bit","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(HMB)","loc":"d,11:8,11:9","dtypep":"(T)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(IMB)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(JMB)","loc":"d,11:8,11:9","dtypep":"(T)","access":"WR","varp":"(FMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(KMB)","loc":"d,11:8,11:9","dtypep":"(NB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(LMB)","loc":"d,11:8,11:9","dtypep":"(NB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(MMB)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"WR","varp":"(GMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(NMB)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(OMB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(GMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(PMB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(QMB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(RMB)","loc":"a,0:0,0:0","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(SMB)","loc":"a,0:0,0:0","dtypep":"(T)","access":"RD","varp":"(FMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(TMB)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(UMB)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(VMB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(WMB)","loc":"a,0:0,0:0","dtypep":"(CB)","funcName":"_dump_triggers__nba","funcp":"(PQ)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(XMB)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(YMB)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_enum_type_methods.v\", 11, \"\", "}, + {"type":"TEXT","name":"","addr":"(ZMB)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(ANB)","loc":"d,11:8,11:9","dtypep":"(T)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(BNB)","loc":"d,11:8,11:9","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CNB)","loc":"d,11:8,11:9","dtypep":"(NC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(DNB)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(ENB)","loc":"d,11:8,11:9","dtypep":"(T)","access":"RD","varp":"(FMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(FNB)","loc":"d,11:8,11:9","dtypep":"(T)","access":"WR","varp":"(FMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(GNB)","loc":"d,11:8,11:9","dtypep":"(NB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(HNB)","loc":"d,11:8,11:9","dtypep":"(NB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(INB)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"WR","varp":"(GMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(JNB)","loc":"d,11:8,11:9","dtypep":"(T)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(KNB)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(LNB)","loc":"d,11:8,11:9","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(MNB)","loc":"d,11:8,11:9","dtypep":"(NB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(NNB)","loc":"d,11:8,11:9","dtypep":"(NB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(ONB)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(PNB)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(QNB)","loc":"a,0:0,0:0","dtypep":"(NB)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(RNB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(SNB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(TNB)","loc":"a,0:0,0:0","dtypep":"(NC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(UNB)","loc":"a,0:0,0:0","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(VNB)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(WNB)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(XNB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(YNB)","loc":"a,0:0,0:0","dtypep":"(CB)","funcName":"_dump_triggers__act","funcp":"(VP)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(ZNB)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(AOB)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_enum_type_methods.v\", 11, \"\", "}, + {"type":"TEXT","name":"","addr":"(BOB)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(COB)","loc":"d,11:8,11:9","dtypep":"(T)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(DOB)","loc":"d,11:8,11:9","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EOB)","loc":"d,11:8,11:9","dtypep":"(NC)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(FOB)","loc":"d,11:8,11:9","dtypep":"(NC)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(GOB)","loc":"d,11:8,11:9","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(HOB)","loc":"d,11:8,11:9","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(IOB)","loc":"d,11:8,11:9","dtypep":"(NB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(JOB)","loc":"d,11:8,11:9","dtypep":"(NB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(KOB)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(LOB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(MOB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_phase__act","funcp":"(SKB)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(NOB)","loc":"d,11:8,11:9","dtypep":"(NB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(OOB)","loc":"d,11:8,11:9","dtypep":"(NB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(POB)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(QOB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ROB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_phase__nba","funcp":"(QLB)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(SOB)","loc":"d,11:8,11:9","dtypep":"(NB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(TOB)","loc":"d,11:8,11:9","dtypep":"(NB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(UOB)","loc":"d,11:8,11:9","dtypep":"(NB)","access":"WR","varp":"(GMB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(VOB)","loc":"d,11:8,11:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(WOB)","loc":"d,15:10,15:13", + "condp": [ + {"type":"AND","name":"","addr":"(XOB)","loc":"d,15:10,15:13","dtypep":"(K)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(YOB)","loc":"d,15:10,15:13","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(ZOB)","loc":"d,15:10,15:13","dtypep":"(APB)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(BPB)","loc":"d,15:10,15:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(CPB)","loc":"d,15:10,15:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(DPB)","loc":"d,11:8,11:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(EPB)","loc":"d,15:10,15:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(FPB)","loc":"d,15:10,15:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(GPB)","loc":"d,23:17,23:20", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(HPB)","loc":"d,23:17,23:20","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(IPB)","loc":"d,24:9,24:10", + "varrefp": [ + {"type":"VARREF","name":"t.e","addr":"(JPB)","loc":"d,24:9,24:10","dtypep":"(M)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(KPB)","loc":"d,11:8,11:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(LPB)","loc":"d,11:8,11:9","dtypep":"(K)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"$unit","addr":"(MPB)","loc":"a,0:0,0:0","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":0,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"__Venumtab_enum_next0","addr":"(JC)","loc":"d,17:12,17:16","dtypep":"(IC)","origName":"__Venumtab_enum_next0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":true,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"MODULETEMP","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + "valuep": [ + {"type":"INITARRAY","name":"","addr":"(NPB)","loc":"d,17:12,17:16","dtypep":"(IC)","initList":" [1]=(OPB) [3]=(PPB) [4]=(QPB)", + "defaultp": [ + {"type":"CONST","name":"4'h0","addr":"(RPB)","loc":"d,17:12,17:16","dtypep":"(AC)"} + ], + "initsp": [ + {"type":"INITITEM","name":"","addr":"(OPB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"4'h3","addr":"(SPB)","loc":"d,19:30,19:31","dtypep":"(AC)"} + ]}, + {"type":"INITITEM","name":"","addr":"(PPB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"4'h4","addr":"(TPB)","loc":"d,20:30,20:31","dtypep":"(AC)"} + ]}, + {"type":"INITITEM","name":"","addr":"(QPB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"4'h1","addr":"(UPB)","loc":"d,18:30,18:31","dtypep":"(AC)"} + ]} + ]} + ],"attrsp": []}, + {"type":"VAR","name":"__Venumtab_enum_prev1","addr":"(DJ)","loc":"d,17:12,17:16","dtypep":"(CJ)","origName":"__Venumtab_enum_prev1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":true,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"MODULETEMP","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + "valuep": [ + {"type":"INITARRAY","name":"","addr":"(VPB)","loc":"d,17:12,17:16","dtypep":"(CJ)","initList":" [1]=(WPB) [3]=(XPB) [4]=(YPB)", + "defaultp": [ + {"type":"CONST","name":"4'h0","addr":"(ZPB)","loc":"d,17:12,17:16","dtypep":"(AC)"} + ], + "initsp": [ + {"type":"INITITEM","name":"","addr":"(WPB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"4'h4","addr":"(AQB)","loc":"d,20:30,20:31","dtypep":"(AC)"} + ]}, + {"type":"INITITEM","name":"","addr":"(XPB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"4'h1","addr":"(BQB)","loc":"d,18:30,18:31","dtypep":"(AC)"} + ]}, + {"type":"INITITEM","name":"","addr":"(YPB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"4'h3","addr":"(CQB)","loc":"d,19:30,19:31","dtypep":"(AC)"} + ]} + ]} + ],"attrsp": []}, + {"type":"VAR","name":"__Venumtab_enum_name2","addr":"(PM)","loc":"d,17:12,17:16","dtypep":"(OM)","origName":"__Venumtab_enum_name2","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":true,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"MODULETEMP","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + "valuep": [ + {"type":"INITARRAY","name":"","addr":"(DQB)","loc":"d,17:12,17:16","dtypep":"(OM)","initList":" [1]=(EQB) [3]=(FQB) [4]=(GQB)", + "defaultp": [ + {"type":"CONST","name":"\\\"\\\"","addr":"(HQB)","loc":"d,17:12,17:16","dtypep":"(RB)"} + ], + "initsp": [ + {"type":"INITITEM","name":"","addr":"(EQB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"\\\"E01\\\"","addr":"(IQB)","loc":"d,17:12,17:16","dtypep":"(RB)"} + ]}, + {"type":"INITITEM","name":"","addr":"(FQB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"\\\"E03\\\"","addr":"(JQB)","loc":"d,17:12,17:16","dtypep":"(RB)"} + ]}, + {"type":"INITITEM","name":"","addr":"(GQB)","loc":"d,17:12,17:16", + "valuep": [ + {"type":"CONST","name":"\\\"E04\\\"","addr":"(KQB)","loc":"d,17:12,17:16","dtypep":"(RB)"} + ]} + ]} + ],"attrsp": []}, + {"type":"SCOPE","name":"$unit","addr":"(LQB)","loc":"a,0:0,0:0","aboveScopep":"(Y)","aboveCellp":"(X)","modp":"(E)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(MQB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(NQB)","loc":"d,17:12,17:16", + "varrefp": [ + {"type":"VARREF","name":"__Venumtab_enum_next0","addr":"(OQB)","loc":"d,17:12,17:16","dtypep":"(IC)","access":"WR","varp":"(JC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PQB)","loc":"d,17:12,17:16", + "varrefp": [ + {"type":"VARREF","name":"__Venumtab_enum_prev1","addr":"(QQB)","loc":"d,17:12,17:16","dtypep":"(CJ)","access":"WR","varp":"(DJ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(RQB)","loc":"d,17:12,17:16", + "varrefp": [ + {"type":"VARREF","name":"__Venumtab_enum_name2","addr":"(SQB)","loc":"d,17:12,17:16","dtypep":"(OM)","access":"WR","varp":"(PM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck__Syms.cpp","addr":"(TQB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck__Syms.h","addr":"(UQB)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck.h","addr":"(VQB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck.cpp","addr":"(WQB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$root.h","addr":"(XQB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$unit.h","addr":"(YQB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$root__Slow.cpp","addr":"(ZQB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$root__DepSet_h########__0__Slow.cpp","addr":"(ARB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$root__DepSet_h########__0__Slow.cpp","addr":"(BRB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$root__DepSet_h########__0.cpp","addr":"(CRB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$root__DepSet_h########__0.cpp","addr":"(DRB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$unit__Slow.cpp","addr":"(ERB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_json_only_debugcheck/Vt_json_only_debugcheck_$unit__DepSet_h########__0__Slow.cpp","addr":"(FRB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(CB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,33:24,33:27","dtypep":"(K)","keyword":"logic","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NC)","loc":"d,53:16,53:17","dtypep":"(NC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GRB)","loc":"d,17:17,17:18","dtypep":"(GRB)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, + {"type":"ENUMDTYPE","name":"t.my_t","addr":"(HRB)","loc":"d,17:12,17:16","dtypep":"(HRB)","enum":true,"generic":false,"refDTypep":"(GRB)","childDTypep": [], + "itemsp": [ + {"type":"ENUMITEM","name":"E01","addr":"(IRB)","loc":"d,18:24,18:27","dtypep":"(AC)","rangep": [], + "valuep": [ + {"type":"CONST","name":"4'h1","addr":"(JRB)","loc":"d,18:30,18:31","dtypep":"(AC)"} + ]}, + {"type":"ENUMITEM","name":"E03","addr":"(KRB)","loc":"d,19:24,19:27","dtypep":"(AC)","rangep": [], + "valuep": [ + {"type":"CONST","name":"4'h3","addr":"(LRB)","loc":"d,19:30,19:31","dtypep":"(AC)"} + ]}, + {"type":"ENUMITEM","name":"E04","addr":"(MRB)","loc":"d,20:24,20:27","dtypep":"(AC)","rangep": [], + "valuep": [ + {"type":"CONST","name":"4'h4","addr":"(NRB)","loc":"d,20:30,20:31","dtypep":"(AC)"} + ]} + ]}, + {"type":"BASICDTYPE","name":"integer","addr":"(R)","loc":"d,23:4,23:11","dtypep":"(R)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"REFDTYPE","name":"my_t","addr":"(M)","loc":"d,24:4,24:8","dtypep":"(HRB)","generic":false,"typedefp":"UNLINKED","refDTypep":"(HRB)","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []}, + {"type":"BASICDTYPE","name":"string","addr":"(RB)","loc":"d,28:4,28:10","dtypep":"(RB)","keyword":"string","generic":true,"rangep": []}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(IC)","loc":"d,17:12,17:16","dtypep":"(IC)","isCompound":false,"declRange":"[7:0]","generic":false,"refDTypep":"(HRB)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(ORB)","loc":"d,17:12,17:16","ascending":false, + "leftp": [ + {"type":"CONST","name":"32'h7","addr":"(PRB)","loc":"d,17:12,17:16","dtypep":"(NC)"} + ], + "rightp": [ + {"type":"CONST","name":"32'h0","addr":"(QRB)","loc":"d,17:12,17:16","dtypep":"(NC)"} + ]} + ]}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(CJ)","loc":"d,17:12,17:16","dtypep":"(CJ)","isCompound":false,"declRange":"[7:0]","generic":false,"refDTypep":"(HRB)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(RRB)","loc":"d,17:12,17:16","ascending":false, + "leftp": [ + {"type":"CONST","name":"32'h7","addr":"(SRB)","loc":"d,17:12,17:16","dtypep":"(NC)"} + ], + "rightp": [ + {"type":"CONST","name":"32'h0","addr":"(TRB)","loc":"d,17:12,17:16","dtypep":"(NC)"} + ]} + ]}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(OM)","loc":"d,17:12,17:16","dtypep":"(OM)","isCompound":true,"declRange":"[7:0]","generic":false,"refDTypep":"(RB)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(URB)","loc":"d,17:12,17:16","ascending":false, + "leftp": [ + {"type":"CONST","name":"32'h7","addr":"(VRB)","loc":"d,17:12,17:16","dtypep":"(NC)"} + ], + "rightp": [ + {"type":"CONST","name":"32'h0","addr":"(WRB)","loc":"d,17:12,17:16","dtypep":"(NC)"} + ]} + ]}, + {"type":"REFDTYPE","name":"my_t","addr":"(VB)","loc":"d,52:12,52:16","dtypep":"(HRB)","generic":false,"typedefp":"UNLINKED","refDTypep":"(HRB)","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GB)","loc":"d,23:23,23:24","dtypep":"(GB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(CB)","loc":"d,11:8,11:9","dtypep":"(CB)","generic":false}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(V)","loc":"d,11:8,11:9","dtypep":"(V)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(LQ)","loc":"d,11:8,11:9","dtypep":"(LQ)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(IQ)","loc":"d,11:8,11:9","dtypep":"(IQ)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(P)","loc":"d,11:8,11:9","dtypep":"(P)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(T)","loc":"d,11:8,11:9","dtypep":"(T)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NB)","loc":"d,61:22,61:25","dtypep":"(NB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(AC)","loc":"d,32:11,32:14","dtypep":"(AC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LC)","loc":"d,38:17,38:21","dtypep":"(LC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(APB)","loc":"d,15:10,15:13","dtypep":"(APB)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(XRB)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(YRB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(XRB)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_debugcheck.pl b/test_regress/t/t_json_only_debugcheck.pl new file mode 100755 index 000000000..9eb18a787 --- /dev/null +++ b/test_regress/t/t_json_only_debugcheck.pl @@ -0,0 +1,28 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +top_filename("t/t_enum_type_methods.v"); + +compile( + verilator_flags2 => ['--no-std', '--debug-check', '--no-json-edit-nums', '--flatten'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}, 'logfile'); + + +ok(1); +1; diff --git a/test_regress/t/t_json_only_first.out b/test_regress/t/t_json_only_first.out new file mode 100644 index 000000000..c40fe0178 --- /dev/null +++ b/test_regress/t/t_json_only_first.out @@ -0,0 +1,101 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"UNLINKED", + "modulesp": [ + {"type":"MODULE","name":"t","addr":"(E)","loc":"d,7:8,7:9","origName":"t","level":2,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"q","addr":"(F)","loc":"d,15:22,15:23","dtypep":"(G)","origName":"q","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"clk","addr":"(H)","loc":"d,13:10,13:13","dtypep":"(I)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"d","addr":"(J)","loc":"d,14:16,14:17","dtypep":"(G)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"between","addr":"(K)","loc":"d,17:22,17:29","dtypep":"(G)","origName":"between","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"cell1","addr":"(L)","loc":"d,20:4,20:9","origName":"cell1","recursive":false,"modp":"(M)", + "pinsp": [ + {"type":"PIN","name":"q","addr":"(N)","loc":"d,20:12,20:13","svDotName":true,"svImplicit":false,"modVarp":"(O)","modPTypep":"UNLINKED", + "exprp": [ + {"type":"VARREF","name":"between","addr":"(P)","loc":"d,20:14,20:21","dtypep":"(G)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"PIN","name":"clk","addr":"(Q)","loc":"d,21:12,21:15","svDotName":true,"svImplicit":false,"modVarp":"(R)","modPTypep":"UNLINKED", + "exprp": [ + {"type":"VARREF","name":"clk","addr":"(S)","loc":"d,21:42,21:45","dtypep":"(I)","access":"RD","varp":"(H)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"PIN","name":"d","addr":"(T)","loc":"d,22:12,22:13","svDotName":true,"svImplicit":false,"modVarp":"(U)","modPTypep":"UNLINKED", + "exprp": [ + {"type":"VARREF","name":"d","addr":"(V)","loc":"d,22:42,22:43","dtypep":"(G)","access":"RD","varp":"(J)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"CELL","name":"cell2","addr":"(W)","loc":"d,25:6,25:11","origName":"cell2","recursive":false,"modp":"(X)", + "pinsp": [ + {"type":"PIN","name":"d","addr":"(Y)","loc":"d,25:14,25:15","svDotName":true,"svImplicit":false,"modVarp":"(Z)","modPTypep":"UNLINKED", + "exprp": [ + {"type":"VARREF","name":"between","addr":"(AB)","loc":"d,25:16,25:23","dtypep":"(G)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"PIN","name":"q","addr":"(BB)","loc":"d,26:14,26:15","svDotName":true,"svImplicit":false,"modVarp":"(CB)","modPTypep":"UNLINKED", + "exprp": [ + {"type":"VARREF","name":"q","addr":"(DB)","loc":"d,26:42,26:43","dtypep":"(G)","access":"WR","varp":"(F)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"PIN","name":"clk","addr":"(EB)","loc":"d,27:14,27:17","svDotName":true,"svImplicit":false,"modVarp":"(FB)","modPTypep":"UNLINKED", + "exprp": [ + {"type":"VARREF","name":"clk","addr":"(GB)","loc":"d,27:42,27:45","dtypep":"(I)","access":"RD","varp":"(H)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"paramsp": [],"rangep": [],"intfRefsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mod2","addr":"(X)","loc":"d,46:8,46:12","origName":"mod2","level":3,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(FB)","loc":"d,48:10,48:13","dtypep":"(I)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"d","addr":"(Z)","loc":"d,49:16,49:17","dtypep":"(G)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"q","addr":"(CB)","loc":"d,50:22,50:23","dtypep":"(G)","origName":"q","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"ASSIGNW","name":"","addr":"(HB)","loc":"d,53:13,53:14","dtypep":"(G)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(IB)","loc":"d,49:16,49:17","dtypep":"(G)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"q","addr":"(JB)","loc":"d,50:22,50:23","dtypep":"(G)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mod1__W4","addr":"(M)","loc":"d,31:8,31:12","origName":"mod1","level":3,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"WIDTH","addr":"(KB)","loc":"d,32:15,32:20","dtypep":"(LB)","origName":"WIDTH","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"GPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":true,"isParam":true,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + "valuep": [ + {"type":"CONST","name":"32'sh4","addr":"(MB)","loc":"d,19:18,19:19","dtypep":"(LB)"} + ],"attrsp": []}, + {"type":"VAR","name":"clk","addr":"(R)","loc":"d,34:24,34:27","dtypep":"(I)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"d","addr":"(U)","loc":"d,35:30,35:31","dtypep":"(G)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"q","addr":"(O)","loc":"d,36:30,36:31","dtypep":"(G)","origName":"q","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"IGNORED","addr":"(NB)","loc":"d,39:15,39:22","dtypep":"(LB)","origName":"IGNORED","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"LPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":true,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + "valuep": [ + {"type":"CONST","name":"32'sh1","addr":"(OB)","loc":"d,39:25,39:26","dtypep":"(LB)"} + ],"attrsp": []}, + {"type":"ALWAYS","name":"","addr":"(PB)","loc":"d,41:4,41:10","keyword":"always","isSuspendable":false,"needProcess":false, + "sensesp": [ + {"type":"SENTREE","name":"","addr":"(QB)","loc":"d,41:11,41:12","isMulti":false, + "sensesp": [ + {"type":"SENITEM","name":"","addr":"(RB)","loc":"d,41:13,41:20","edgeType":"POS", + "sensp": [ + {"type":"VARREF","name":"clk","addr":"(SB)","loc":"d,41:21,41:24","dtypep":"(I)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"condp": []} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNDLY","name":"","addr":"(TB)","loc":"d,42:8,42:10","dtypep":"(G)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(UB)","loc":"d,42:11,42:12","dtypep":"(G)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"q","addr":"(VB)","loc":"d,42:6,42:7","dtypep":"(G)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(I)","loc":"d,34:24,34:27","dtypep":"(I)","keyword":"logic","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(G)","loc":"d,15:16,15:17","dtypep":"(G)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LB)","loc":"d,19:18,19:19","dtypep":"(LB)","keyword":"logic","range":"31:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(WB)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(XB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(WB)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_first.pl b/test_regress/t/t_json_only_first.pl new file mode 100755 index 000000000..d6d231360 --- /dev/null +++ b/test_regress/t/t_json_only_first.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_json_only_first.v b/test_regress/t/t_json_only_first.v new file mode 100644 index 000000000..7e594036d --- /dev/null +++ b/test_regress/t/t_json_only_first.v @@ -0,0 +1,55 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2012 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module t (/*AUTOARG*/ + // Outputs + q, + // Inputs + clk, d + ); + input clk; + input [3:0] d; + output wire [3:0] q; + + logic [3:0] between; + + mod1 #(.WIDTH(4)) + cell1 (.q(between), + .clk (clk), + .d (d[3:0])); + + mod2 + cell2 (.d(between), + .q (q[3:0]), + .clk (clk)); + +endmodule + +module mod1 + #(parameter WIDTH = 32) + ( + input clk, + input [WIDTH-1:0] d, + output logic [WIDTH-1:0] q + ); + + localparam IGNORED = 1; + + always @(posedge clk) + q <= d; + +endmodule + +module mod2 + ( + input clk, + input [3:0] d, + output wire [3:0] q + ); + + assign q = d; + +endmodule diff --git a/test_regress/t/t_json_only_flat.out b/test_regress/t/t_json_only_flat.out new file mode 100644 index 000000000..e735e5a7e --- /dev/null +++ b/test_regress/t/t_json_only_flat.out @@ -0,0 +1,154 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(E)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(F)","loc":"d,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"q","addr":"(G)","loc":"d,15:22,15:23","dtypep":"(H)","origName":"q","isSc":false,"isPrimaryIO":true,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"clk","addr":"(I)","loc":"d,13:10,13:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"d","addr":"(K)","loc":"d,14:16,14:17","dtypep":"(H)","origName":"d","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.q","addr":"(L)","loc":"d,15:22,15:23","dtypep":"(H)","origName":"q","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.clk","addr":"(M)","loc":"d,13:10,13:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.d","addr":"(N)","loc":"d,14:16,14:17","dtypep":"(H)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.between","addr":"(O)","loc":"d,17:22,17:29","dtypep":"(H)","origName":"between","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cell1.WIDTH","addr":"(P)","loc":"d,32:15,32:20","dtypep":"(Q)","origName":"WIDTH","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"GPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":true,"isParam":true,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + "valuep": [ + {"type":"CONST","name":"32'sh4","addr":"(R)","loc":"d,19:18,19:19","dtypep":"(Q)"} + ],"attrsp": []}, + {"type":"VAR","name":"t.cell1.clk","addr":"(S)","loc":"d,34:24,34:27","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cell1.d","addr":"(T)","loc":"d,35:30,35:31","dtypep":"(H)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cell1.q","addr":"(U)","loc":"d,36:30,36:31","dtypep":"(H)","origName":"q","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cell1.IGNORED","addr":"(V)","loc":"d,39:15,39:22","dtypep":"(Q)","origName":"IGNORED","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"LPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":true,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + "valuep": [ + {"type":"CONST","name":"32'sh1","addr":"(W)","loc":"d,39:25,39:26","dtypep":"(Q)"} + ],"attrsp": []}, + {"type":"VAR","name":"t.cell2.clk","addr":"(X)","loc":"d,48:10,48:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cell2.d","addr":"(Y)","loc":"d,49:16,49:17","dtypep":"(H)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cell2.q","addr":"(Z)","loc":"d,50:22,50:23","dtypep":"(H)","origName":"q","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(E)","loc":"d,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(AB)","loc":"d,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(F)", + "varsp": [ + {"type":"VARSCOPE","name":"q","addr":"(BB)","loc":"d,15:22,15:23","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(G)"}, + {"type":"VARSCOPE","name":"clk","addr":"(CB)","loc":"d,13:10,13:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(I)"}, + {"type":"VARSCOPE","name":"d","addr":"(DB)","loc":"d,14:16,14:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(K)"}, + {"type":"VARSCOPE","name":"t.q","addr":"(EB)","loc":"d,15:22,15:23","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(L)"}, + {"type":"VARSCOPE","name":"t.clk","addr":"(FB)","loc":"d,13:10,13:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(M)"}, + {"type":"VARSCOPE","name":"t.d","addr":"(GB)","loc":"d,14:16,14:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(N)"}, + {"type":"VARSCOPE","name":"t.between","addr":"(HB)","loc":"d,17:22,17:29","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(O)"}, + {"type":"VARSCOPE","name":"t.cell1.WIDTH","addr":"(IB)","loc":"d,32:15,32:20","dtypep":"(Q)","isTrace":true,"scopep":"(AB)","varp":"(P)"}, + {"type":"VARSCOPE","name":"t.cell1.clk","addr":"(JB)","loc":"d,34:24,34:27","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(S)"}, + {"type":"VARSCOPE","name":"t.cell1.d","addr":"(KB)","loc":"d,35:30,35:31","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(T)"}, + {"type":"VARSCOPE","name":"t.cell1.q","addr":"(LB)","loc":"d,36:30,36:31","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(U)"}, + {"type":"VARSCOPE","name":"t.cell1.IGNORED","addr":"(MB)","loc":"d,39:15,39:22","dtypep":"(Q)","isTrace":true,"scopep":"(AB)","varp":"(V)"}, + {"type":"VARSCOPE","name":"t.cell2.clk","addr":"(NB)","loc":"d,48:10,48:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(X)"}, + {"type":"VARSCOPE","name":"t.cell2.d","addr":"(OB)","loc":"d,49:16,49:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(Y)"}, + {"type":"VARSCOPE","name":"t.cell2.q","addr":"(PB)","loc":"d,50:22,50:23","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(Z)"} + ], + "blocksp": [ + {"type":"ASSIGNALIAS","name":"","addr":"(QB)","loc":"d,15:22,15:23","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"q","addr":"(RB)","loc":"d,15:22,15:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.q","addr":"(SB)","loc":"d,15:22,15:23","dtypep":"(H)","access":"WR","varp":"(L)","varScopep":"(EB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(TB)","loc":"d,13:10,13:13","dtypep":"(J)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(UB)","loc":"d,13:10,13:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.clk","addr":"(VB)","loc":"d,13:10,13:13","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(WB)","loc":"d,14:16,14:17","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(XB)","loc":"d,14:16,14:17","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(YB)","loc":"d,14:16,14:17","dtypep":"(H)","access":"WR","varp":"(N)","varScopep":"(GB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(ZB)","loc":"d,34:24,34:27","dtypep":"(J)", + "rhsp": [ + {"type":"VARREF","name":"t.clk","addr":"(AC)","loc":"d,21:42,21:45","dtypep":"(J)","access":"RD","varp":"(M)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell1.clk","addr":"(BC)","loc":"d,34:24,34:27","dtypep":"(J)","access":"WR","varp":"(S)","varScopep":"(JB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(CC)","loc":"d,35:30,35:31","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.d","addr":"(DC)","loc":"d,22:42,22:43","dtypep":"(H)","access":"RD","varp":"(N)","varScopep":"(GB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell1.d","addr":"(EC)","loc":"d,35:30,35:31","dtypep":"(H)","access":"WR","varp":"(T)","varScopep":"(KB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(FC)","loc":"d,36:30,36:31","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.between","addr":"(GC)","loc":"d,20:14,20:21","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(HB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell1.q","addr":"(HC)","loc":"d,36:30,36:31","dtypep":"(H)","access":"WR","varp":"(U)","varScopep":"(LB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ALWAYS","name":"","addr":"(IC)","loc":"d,41:4,41:10","keyword":"always","isSuspendable":false,"needProcess":false, + "sensesp": [ + {"type":"SENTREE","name":"","addr":"(JC)","loc":"d,41:11,41:12","isMulti":false, + "sensesp": [ + {"type":"SENITEM","name":"","addr":"(KC)","loc":"d,41:13,41:20","edgeType":"POS", + "sensp": [ + {"type":"VARREF","name":"clk","addr":"(LC)","loc":"d,41:21,41:24","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} + ],"condp": []} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNDLY","name":"","addr":"(MC)","loc":"d,42:8,42:10","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(NC)","loc":"d,42:11,42:12","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.between","addr":"(OC)","loc":"d,42:6,42:7","dtypep":"(H)","access":"WR","varp":"(O)","varScopep":"(HB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]}, + {"type":"ASSIGNALIAS","name":"","addr":"(PC)","loc":"d,48:10,48:13","dtypep":"(J)", + "rhsp": [ + {"type":"VARREF","name":"t.clk","addr":"(QC)","loc":"d,27:42,27:45","dtypep":"(J)","access":"RD","varp":"(M)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell2.clk","addr":"(RC)","loc":"d,48:10,48:13","dtypep":"(J)","access":"WR","varp":"(X)","varScopep":"(NB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(SC)","loc":"d,49:16,49:17","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.between","addr":"(TC)","loc":"d,25:16,25:23","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(HB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell2.d","addr":"(UC)","loc":"d,49:16,49:17","dtypep":"(H)","access":"WR","varp":"(Y)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(VC)","loc":"d,50:22,50:23","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.q","addr":"(WC)","loc":"d,26:42,26:43","dtypep":"(H)","access":"RD","varp":"(L)","varScopep":"(EB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell2.q","addr":"(XC)","loc":"d,50:22,50:23","dtypep":"(H)","access":"WR","varp":"(Z)","varScopep":"(PB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNW","name":"","addr":"(YC)","loc":"d,53:13,53:14","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.between","addr":"(ZC)","loc":"d,17:22,17:29","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(HB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"q","addr":"(AD)","loc":"d,15:22,15:23","dtypep":"(H)","access":"WR","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]} + ]} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,34:24,34:27","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(H)","loc":"d,15:16,15:17","dtypep":"(H)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(Q)","loc":"d,19:18,19:19","dtypep":"(Q)","keyword":"logic","range":"31:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(BD)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(CD)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(BD)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_flat.pl b/test_regress/t/t_json_only_flat.pl new file mode 100755 index 000000000..339b4b5a3 --- /dev/null +++ b/test_regress/t/t_json_only_flat.pl @@ -0,0 +1,27 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +top_filename("t/t_json_only_first.v"); + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums', '--flatten'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_json_only_flat_no_inline_mod.out b/test_regress/t/t_json_only_flat_no_inline_mod.out new file mode 100644 index 000000000..3862e60a3 --- /dev/null +++ b/test_regress/t/t_json_only_flat_no_inline_mod.out @@ -0,0 +1,47 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(E)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(F)","loc":"d,11:8,11:11","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"i_clk","addr":"(G)","loc":"d,11:24,11:29","dtypep":"(H)","origName":"i_clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"top.i_clk","addr":"(I)","loc":"d,11:24,11:29","dtypep":"(H)","origName":"i_clk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"top.f.i_clk","addr":"(J)","loc":"d,7:24,7:29","dtypep":"(H)","origName":"i_clk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(E)","loc":"d,11:8,11:11","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(K)","loc":"d,11:8,11:11","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(F)", + "varsp": [ + {"type":"VARSCOPE","name":"i_clk","addr":"(L)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(G)"}, + {"type":"VARSCOPE","name":"top.i_clk","addr":"(M)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(I)"}, + {"type":"VARSCOPE","name":"top.f.i_clk","addr":"(N)","loc":"d,7:24,7:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(J)"} + ], + "blocksp": [ + {"type":"ASSIGNALIAS","name":"","addr":"(O)","loc":"d,11:24,11:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_clk","addr":"(P)","loc":"d,11:24,11:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.i_clk","addr":"(Q)","loc":"d,11:24,11:29","dtypep":"(H)","access":"WR","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(R)","loc":"d,7:24,7:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"top.i_clk","addr":"(S)","loc":"d,12:7,12:8","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.f.i_clk","addr":"(T)","loc":"d,7:24,7:29","dtypep":"(H)","access":"WR","varp":"(J)","varScopep":"(N)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]} + ]} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(H)","loc":"d,11:18,11:23","dtypep":"(H)","keyword":"logic","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(U)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(V)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(U)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_flat_no_inline_mod.pl b/test_regress/t/t_json_only_flat_no_inline_mod.pl new file mode 100755 index 000000000..4ae513fb6 --- /dev/null +++ b/test_regress/t/t_json_only_flat_no_inline_mod.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums', '--flatten'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_json_only_flat_no_inline_mod.v b/test_regress/t/t_json_only_flat_no_inline_mod.v new file mode 100644 index 000000000..cf87b71a0 --- /dev/null +++ b/test_regress/t/t_json_only_flat_no_inline_mod.v @@ -0,0 +1,13 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2008 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module foo(input logic i_clk); /* verilator no_inline_module */ +endmodule + +// --flatten forces inlining of 'no_inline_module' module foo. +module top(input logic i_clk); + foo f(.*); +endmodule diff --git a/test_regress/t/t_json_only_flat_pub_mod.out b/test_regress/t/t_json_only_flat_pub_mod.out new file mode 100644 index 000000000..3862e60a3 --- /dev/null +++ b/test_regress/t/t_json_only_flat_pub_mod.out @@ -0,0 +1,47 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(E)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(F)","loc":"d,11:8,11:11","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"i_clk","addr":"(G)","loc":"d,11:24,11:29","dtypep":"(H)","origName":"i_clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"top.i_clk","addr":"(I)","loc":"d,11:24,11:29","dtypep":"(H)","origName":"i_clk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"top.f.i_clk","addr":"(J)","loc":"d,7:24,7:29","dtypep":"(H)","origName":"i_clk","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(E)","loc":"d,11:8,11:11","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(K)","loc":"d,11:8,11:11","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(F)", + "varsp": [ + {"type":"VARSCOPE","name":"i_clk","addr":"(L)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(G)"}, + {"type":"VARSCOPE","name":"top.i_clk","addr":"(M)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(I)"}, + {"type":"VARSCOPE","name":"top.f.i_clk","addr":"(N)","loc":"d,7:24,7:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(J)"} + ], + "blocksp": [ + {"type":"ASSIGNALIAS","name":"","addr":"(O)","loc":"d,11:24,11:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_clk","addr":"(P)","loc":"d,11:24,11:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.i_clk","addr":"(Q)","loc":"d,11:24,11:29","dtypep":"(H)","access":"WR","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(R)","loc":"d,7:24,7:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"top.i_clk","addr":"(S)","loc":"d,12:7,12:8","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.f.i_clk","addr":"(T)","loc":"d,7:24,7:29","dtypep":"(H)","access":"WR","varp":"(J)","varScopep":"(N)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]} + ]} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(H)","loc":"d,11:18,11:23","dtypep":"(H)","keyword":"logic","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(U)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(V)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(U)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_flat_pub_mod.pl b/test_regress/t/t_json_only_flat_pub_mod.pl new file mode 100755 index 000000000..4ae513fb6 --- /dev/null +++ b/test_regress/t/t_json_only_flat_pub_mod.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums', '--flatten'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_json_only_flat_pub_mod.v b/test_regress/t/t_json_only_flat_pub_mod.v new file mode 100644 index 000000000..4fa40e587 --- /dev/null +++ b/test_regress/t/t_json_only_flat_pub_mod.v @@ -0,0 +1,13 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2008 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module foo(input logic i_clk); /* verilator public_module */ +endmodule + +// --flatten forces inlining of public module foo. +module top(input logic i_clk); + foo f(.*); +endmodule diff --git a/test_regress/t/t_json_only_flat_vlvbound.out b/test_regress/t/t_json_only_flat_vlvbound.out new file mode 100644 index 000000000..9bdfbbd28 --- /dev/null +++ b/test_regress/t/t_json_only_flat_vlvbound.out @@ -0,0 +1,323 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(E)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(F)","loc":"d,7:8,7:21","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"i_a","addr":"(G)","loc":"d,9:25,9:28","dtypep":"(H)","origName":"i_a","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"i_b","addr":"(I)","loc":"d,10:25,10:28","dtypep":"(H)","origName":"i_b","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"o_a","addr":"(J)","loc":"d,11:25,11:28","dtypep":"(K)","origName":"o_a","isSc":false,"isPrimaryIO":true,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"o_b","addr":"(L)","loc":"d,12:25,12:28","dtypep":"(K)","origName":"o_b","isSc":false,"isPrimaryIO":true,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"vlvbound_test.i_a","addr":"(M)","loc":"d,9:25,9:28","dtypep":"(H)","origName":"i_a","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"vlvbound_test.i_b","addr":"(N)","loc":"d,10:25,10:28","dtypep":"(H)","origName":"i_b","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"vlvbound_test.o_a","addr":"(O)","loc":"d,11:25,11:28","dtypep":"(K)","origName":"o_a","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"vlvbound_test.o_b","addr":"(P)","loc":"d,12:25,12:28","dtypep":"(K)","origName":"o_b","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(E)","loc":"d,7:8,7:21","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(Q)","loc":"d,7:8,7:21","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(F)", + "varsp": [ + {"type":"VARSCOPE","name":"i_a","addr":"(R)","loc":"d,9:25,9:28","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(G)"}, + {"type":"VARSCOPE","name":"i_b","addr":"(S)","loc":"d,10:25,10:28","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(I)"}, + {"type":"VARSCOPE","name":"o_a","addr":"(T)","loc":"d,11:25,11:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(J)"}, + {"type":"VARSCOPE","name":"o_b","addr":"(U)","loc":"d,12:25,12:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(L)"}, + {"type":"VARSCOPE","name":"vlvbound_test.i_a","addr":"(V)","loc":"d,9:25,9:28","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(M)"}, + {"type":"VARSCOPE","name":"vlvbound_test.i_b","addr":"(W)","loc":"d,10:25,10:28","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(N)"}, + {"type":"VARSCOPE","name":"vlvbound_test.o_a","addr":"(X)","loc":"d,11:25,11:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(O)"}, + {"type":"VARSCOPE","name":"vlvbound_test.o_b","addr":"(Y)","loc":"d,12:25,12:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(P)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(Z)","loc":"d,15:34,15:37","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(AB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(BB)","loc":"d,15:57,15:60","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(CB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(DB)","loc":"d,16:17,16:20","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(EB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(FB)","loc":"d,17:13,17:14","dtypep":"(GB)","isTrace":true,"scopep":"(Q)","varp":"(HB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(IB)","loc":"d,15:34,15:37","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(JB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(KB)","loc":"d,15:57,15:60","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(LB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(MB)","loc":"d,16:17,16:20","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(NB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(OB)","loc":"d,17:13,17:14","dtypep":"(GB)","isTrace":true,"scopep":"(Q)","varp":"(PB)"} + ], + "blocksp": [ + {"type":"ASSIGNALIAS","name":"","addr":"(QB)","loc":"d,9:25,9:28","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_a","addr":"(RB)","loc":"d,9:25,9:28","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(R)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.i_a","addr":"(SB)","loc":"d,9:25,9:28","dtypep":"(H)","access":"WR","varp":"(M)","varScopep":"(V)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(TB)","loc":"d,10:25,10:28","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_b","addr":"(UB)","loc":"d,10:25,10:28","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(S)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.i_b","addr":"(VB)","loc":"d,10:25,10:28","dtypep":"(H)","access":"WR","varp":"(N)","varScopep":"(W)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(WB)","loc":"d,11:25,11:28","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"o_a","addr":"(XB)","loc":"d,11:25,11:28","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"(T)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.o_a","addr":"(YB)","loc":"d,11:25,11:28","dtypep":"(K)","access":"WR","varp":"(O)","varScopep":"(X)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNALIAS","name":"","addr":"(ZB)","loc":"d,12:25,12:28","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"o_b","addr":"(AC)","loc":"d,12:25,12:28","dtypep":"(K)","access":"RD","varp":"(L)","varScopep":"(U)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.o_b","addr":"(BC)","loc":"d,12:25,12:28","dtypep":"(K)","access":"WR","varp":"(P)","varScopep":"(Y)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ALWAYS","name":"","addr":"(CC)","loc":"d,24:14,24:15","keyword":"always","isSuspendable":false,"needProcess":false,"sensesp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: foo","addr":"(DC)","loc":"d,24:16,24:19"}, + {"type":"ASSIGN","name":"","addr":"(EC)","loc":"d,24:20,24:23","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_a","addr":"(FC)","loc":"d,24:20,24:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(R)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(GC)","loc":"d,15:57,15:60","dtypep":"(H)","access":"WR","varp":"(CB)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HC)","loc":"d,18:11,18:12","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(IC)","loc":"d,18:12,18:13","dtypep":"(JC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(KC)","loc":"d,18:10,18:11","dtypep":"(GB)","access":"WR","varp":"(HB)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(LC)","loc":"d,18:5,18:8","precondsp": [], + "condp": [ + {"type":"GTS","name":"","addr":"(MC)","loc":"d,18:18,18:19","dtypep":"(NC)", + "lhsp": [ + {"type":"CONST","name":"32'sh7","addr":"(OC)","loc":"d,18:20,18:21","dtypep":"(JC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(PC)","loc":"d,18:16,18:17","dtypep":"(GB)","access":"RD","varp":"(HB)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(QC)","loc":"d,19:14,19:15","dtypep":"(NC)", + "rhsp": [ + {"type":"EQ","name":"","addr":"(RC)","loc":"d,19:31,19:33","dtypep":"(NC)", + "lhsp": [ + {"type":"CONST","name":"2'h0","addr":"(SC)","loc":"d,19:34,19:39","dtypep":"(TC)"} + ], + "rhsp": [ + {"type":"SEL","name":"","addr":"(UC)","loc":"d,19:20,19:21","dtypep":"(TC)","declRange":"[15:0]","declElWidth":1, + "fromp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(VC)","loc":"d,19:17,19:20","dtypep":"(H)","access":"RD","varp":"(CB)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} + ], + "lsbp": [ + {"type":"SEL","name":"","addr":"(WC)","loc":"d,19:22,19:23","dtypep":"(XC)", + "fromp": [ + {"type":"MULS","name":"","addr":"(YC)","loc":"d,19:22,19:23","dtypep":"(JC)", + "lhsp": [ + {"type":"CONST","name":"32'sh2","addr":"(ZC)","loc":"d,19:23,19:24","dtypep":"(JC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(AD)","loc":"d,19:21,19:22","dtypep":"(GB)","access":"RD","varp":"(HB)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ]} + ], + "lsbp": [ + {"type":"CONST","name":"32'h0","addr":"(BD)","loc":"d,19:22,19:23","dtypep":"(CD)"} + ], + "widthp": [ + {"type":"CONST","name":"32'h4","addr":"(DD)","loc":"d,19:22,19:23","dtypep":"(CD)"} + ]} + ], + "widthp": [ + {"type":"CONST","name":"32'sh2","addr":"(ED)","loc":"d,19:28,19:29","dtypep":"(JC)"} + ]} + ]} + ], + "lhsp": [ + {"type":"SEL","name":"","addr":"(FD)","loc":"d,19:10,19:11","dtypep":"(NC)","declRange":"[6:0]","declElWidth":1, + "fromp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(GD)","loc":"d,19:7,19:10","dtypep":"(K)","access":"WR","varp":"(EB)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} + ], + "lsbp": [ + {"type":"SEL","name":"","addr":"(HD)","loc":"d,19:11,19:12","dtypep":"(ID)", + "fromp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(JD)","loc":"d,19:11,19:12","dtypep":"(GB)","access":"RD","varp":"(HB)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ], + "lsbp": [ + {"type":"CONST","name":"32'h0","addr":"(KD)","loc":"d,19:11,19:12","dtypep":"(CD)"} + ], + "widthp": [ + {"type":"CONST","name":"32'h3","addr":"(LD)","loc":"d,19:11,19:12","dtypep":"(CD)"} + ]} + ], + "widthp": [ + {"type":"CONST","name":"32'h1","addr":"(MD)","loc":"d,19:10,19:11","dtypep":"(CD)"} + ]} + ],"timingControlp": []} + ], + "incsp": [ + {"type":"ASSIGN","name":"","addr":"(ND)","loc":"d,18:24,18:26","dtypep":"(GB)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(OD)","loc":"d,18:24,18:26","dtypep":"(CD)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(PD)","loc":"d,18:24,18:26","dtypep":"(CD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(QD)","loc":"d,18:23,18:24","dtypep":"(GB)","access":"RD","varp":"(HB)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(RD)","loc":"d,18:23,18:24","dtypep":"(GB)","access":"WR","varp":"(HB)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(SD)","loc":"d,21:5,21:11","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(TD)","loc":"d,21:12,21:15","dtypep":"(K)","access":"RD","varp":"(EB)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(UD)","loc":"d,21:5,21:11","dtypep":"(K)","access":"WR","varp":"(AB)","varScopep":"(Z)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VD)","loc":"d,24:14,24:15","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(WD)","loc":"d,24:16,24:19","dtypep":"(K)","access":"RD","varp":"(AB)","varScopep":"(Z)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"o_a","addr":"(XD)","loc":"d,24:10,24:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"(T)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]}, + {"type":"ALWAYS","name":"","addr":"(YD)","loc":"d,25:14,25:15","keyword":"always","isSuspendable":false,"needProcess":false,"sensesp": [], + "stmtsp": [ + {"type":"COMMENT","name":"Function: foo","addr":"(ZD)","loc":"d,25:16,25:19"}, + {"type":"ASSIGN","name":"","addr":"(AE)","loc":"d,25:20,25:23","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_b","addr":"(BE)","loc":"d,25:20,25:23","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(S)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(CE)","loc":"d,15:57,15:60","dtypep":"(H)","access":"WR","varp":"(LB)","varScopep":"(KB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(DE)","loc":"d,18:11,18:12","dtypep":"(GB)", + "rhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(EE)","loc":"d,18:12,18:13","dtypep":"(JC)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(FE)","loc":"d,18:10,18:11","dtypep":"(GB)","access":"WR","varp":"(PB)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(GE)","loc":"d,18:5,18:8","precondsp": [], + "condp": [ + {"type":"GTS","name":"","addr":"(HE)","loc":"d,18:18,18:19","dtypep":"(NC)", + "lhsp": [ + {"type":"CONST","name":"32'sh7","addr":"(IE)","loc":"d,18:20,18:21","dtypep":"(JC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(JE)","loc":"d,18:16,18:17","dtypep":"(GB)","access":"RD","varp":"(PB)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(KE)","loc":"d,19:14,19:15","dtypep":"(NC)", + "rhsp": [ + {"type":"EQ","name":"","addr":"(LE)","loc":"d,19:31,19:33","dtypep":"(NC)", + "lhsp": [ + {"type":"CONST","name":"2'h0","addr":"(ME)","loc":"d,19:34,19:39","dtypep":"(TC)"} + ], + "rhsp": [ + {"type":"SEL","name":"","addr":"(NE)","loc":"d,19:20,19:21","dtypep":"(TC)","declRange":"[15:0]","declElWidth":1, + "fromp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(OE)","loc":"d,19:17,19:20","dtypep":"(H)","access":"RD","varp":"(LB)","varScopep":"(KB)","classOrPackagep":"UNLINKED"} + ], + "lsbp": [ + {"type":"SEL","name":"","addr":"(PE)","loc":"d,19:22,19:23","dtypep":"(XC)", + "fromp": [ + {"type":"MULS","name":"","addr":"(QE)","loc":"d,19:22,19:23","dtypep":"(JC)", + "lhsp": [ + {"type":"CONST","name":"32'sh2","addr":"(RE)","loc":"d,19:23,19:24","dtypep":"(JC)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(SE)","loc":"d,19:21,19:22","dtypep":"(GB)","access":"RD","varp":"(PB)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ]} + ], + "lsbp": [ + {"type":"CONST","name":"32'h0","addr":"(TE)","loc":"d,19:22,19:23","dtypep":"(CD)"} + ], + "widthp": [ + {"type":"CONST","name":"32'h4","addr":"(UE)","loc":"d,19:22,19:23","dtypep":"(CD)"} + ]} + ], + "widthp": [ + {"type":"CONST","name":"32'sh2","addr":"(VE)","loc":"d,19:28,19:29","dtypep":"(JC)"} + ]} + ]} + ], + "lhsp": [ + {"type":"SEL","name":"","addr":"(WE)","loc":"d,19:10,19:11","dtypep":"(NC)","declRange":"[6:0]","declElWidth":1, + "fromp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(XE)","loc":"d,19:7,19:10","dtypep":"(K)","access":"WR","varp":"(NB)","varScopep":"(MB)","classOrPackagep":"UNLINKED"} + ], + "lsbp": [ + {"type":"SEL","name":"","addr":"(YE)","loc":"d,19:11,19:12","dtypep":"(ID)", + "fromp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(ZE)","loc":"d,19:11,19:12","dtypep":"(GB)","access":"RD","varp":"(PB)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ], + "lsbp": [ + {"type":"CONST","name":"32'h0","addr":"(AF)","loc":"d,19:11,19:12","dtypep":"(CD)"} + ], + "widthp": [ + {"type":"CONST","name":"32'h3","addr":"(BF)","loc":"d,19:11,19:12","dtypep":"(CD)"} + ]} + ], + "widthp": [ + {"type":"CONST","name":"32'h1","addr":"(CF)","loc":"d,19:10,19:11","dtypep":"(CD)"} + ]} + ],"timingControlp": []} + ], + "incsp": [ + {"type":"ASSIGN","name":"","addr":"(DF)","loc":"d,18:24,18:26","dtypep":"(GB)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(EF)","loc":"d,18:24,18:26","dtypep":"(CD)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(FF)","loc":"d,18:24,18:26","dtypep":"(CD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(GF)","loc":"d,18:23,18:24","dtypep":"(GB)","access":"RD","varp":"(PB)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(HF)","loc":"d,18:23,18:24","dtypep":"(GB)","access":"WR","varp":"(PB)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(IF)","loc":"d,21:5,21:11","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(JF)","loc":"d,21:12,21:15","dtypep":"(K)","access":"RD","varp":"(NB)","varScopep":"(MB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(KF)","loc":"d,21:5,21:11","dtypep":"(K)","access":"WR","varp":"(JB)","varScopep":"(IB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LF)","loc":"d,25:14,25:15","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(MF)","loc":"d,25:16,25:19","dtypep":"(K)","access":"RD","varp":"(JB)","varScopep":"(IB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"o_b","addr":"(NF)","loc":"d,25:10,25:13","dtypep":"(K)","access":"WR","varp":"(L)","varScopep":"(U)","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ]} + ]} + ]}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(AB)","loc":"d,15:34,15:37","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__Vfuncout","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(CB)","loc":"d,15:57,15:60","dtypep":"(H)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__val","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(EB)","loc":"d,16:17,16:20","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__ret","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(HB)","loc":"d,17:13,17:14","dtypep":"(GB)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__i","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(JB)","loc":"d,15:34,15:37","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__Vfuncout","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(LB)","loc":"d,15:57,15:60","dtypep":"(H)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__val","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(NB)","loc":"d,16:17,16:20","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__ret","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(PB)","loc":"d,17:13,17:14","dtypep":"(GB)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__i","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(NC)","loc":"d,18:18,18:19","dtypep":"(NC)","keyword":"logic","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(TC)","loc":"d,19:34,19:39","dtypep":"(TC)","keyword":"logic","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(H)","loc":"d,9:11,9:16","dtypep":"(H)","keyword":"logic","range":"15:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,11:12,11:17","dtypep":"(K)","keyword":"logic","range":"6:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(GB)","loc":"d,17:5,17:12","dtypep":"(GB)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(ID)","loc":"d,19:10,19:11","dtypep":"(ID)","keyword":"logic","range":"2:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(CD)","loc":"d,19:11,19:12","dtypep":"(CD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(XC)","loc":"d,19:20,19:21","dtypep":"(XC)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(JC)","loc":"d,18:12,18:13","dtypep":"(JC)","keyword":"logic","range":"31:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(OF)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(PF)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(OF)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_flat_vlvbound.pl b/test_regress/t/t_json_only_flat_vlvbound.pl new file mode 100755 index 000000000..4ae513fb6 --- /dev/null +++ b/test_regress/t/t_json_only_flat_vlvbound.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums', '--flatten'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_json_only_flat_vlvbound.v b/test_regress/t/t_json_only_flat_vlvbound.v new file mode 100644 index 000000000..9ed0db0cf --- /dev/null +++ b/test_regress/t/t_json_only_flat_vlvbound.v @@ -0,0 +1,27 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2012 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module vlvbound_test + ( + input logic [15:0] i_a, + input logic [15:0] i_b, + output logic [6:0] o_a, + output logic [6:0] o_b + ); + + function automatic logic [6:0] foo(input logic [15:0] val); + logic [6:0] ret; + integer i; + for (i=0 ; i < 7; i++) begin + ret[i] = (val[i*2 +: 2] == 2'b00); + end + return ret; + endfunction + + assign o_a = foo(i_a); + assign o_b = foo(i_b); + +endmodule diff --git a/test_regress/t/t_json_only_output.out b/test_regress/t/t_json_only_output.out new file mode 100644 index 000000000..b6631e1a6 --- /dev/null +++ b/test_regress/t/t_json_only_output.out @@ -0,0 +1,20 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"UNLINKED", + "modulesp": [ + {"type":"MODULE","name":"m","addr":"(E)","loc":"d,7:8,7:9","origName":"m","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(F)","loc":"d,8:10,8:13","dtypep":"(G)","origName":"clk","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(G)","loc":"d,8:10,8:13","dtypep":"(G)","keyword":"logic","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(H)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(I)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_output.pl b/test_regress/t/t_json_only_output.pl new file mode 100755 index 000000000..81baf48b0 --- /dev/null +++ b/test_regress/t/t_json_only_output.pl @@ -0,0 +1,33 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/renamed-$Self->{name}.tree.json"; +my $meta_filename = "$Self->{obj_dir}/renamed-$Self->{name}.tree.meta.json"; + +compile( + verilator_flags2 => ["--no-std", "--json-only", "--json-only-output", "$out_filename", "--json-only-meta-output", "$meta_filename", '--no-json-edit-nums'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +foreach my $file (glob("$Self->{obj_dir}/*")) { + next if $file =~ /\.log/; # Made by driver.pl, not Verilator + next if $file =~ /\.status/; # Made by driver.pl, not Verilator + next if $file =~ /renamed-/; # Requested output + error("%Error: Created $file, but --json-only shouldn't create files"); +} + +ok(1); +1; diff --git a/test_regress/t/t_json_only_output.v b/test_regress/t/t_json_only_output.v new file mode 100644 index 000000000..ccde3378d --- /dev/null +++ b/test_regress/t/t_json_only_output.v @@ -0,0 +1,10 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2019 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module m + (input clk); // verilator tag foo_op + +endmodule diff --git a/test_regress/t/t_json_only_tag.out b/test_regress/t/t_json_only_tag.out new file mode 100644 index 000000000..4a4d29c92 --- /dev/null +++ b/test_regress/t/t_json_only_tag.out @@ -0,0 +1,99 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"UNLINKED", + "modulesp": [ + {"type":"MODULE","name":"m","addr":"(E)","loc":"d,12:8,12:9","origName":"m","level":2,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk_ip","addr":"(F)","loc":"d,14:11,14:17","dtypep":"(G)","origName":"clk_ip","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"rst_ip","addr":"(H)","loc":"d,15:11,15:17","dtypep":"(G)","origName":"rst_ip","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"foo_op","addr":"(I)","loc":"d,16:11,16:17","dtypep":"(G)","origName":"foo_op","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TYPEDEF","name":"my_struct","addr":"(J)","loc":"d,25:6,25:15","dtypep":"(K)","attrPublic":false,"childDTypep": [],"attrsp": []}, + {"type":"CELL","name":"itop","addr":"(L)","loc":"d,29:8,29:12","origName":"itop","recursive":false,"modp":"(M)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"VAR","name":"itop__Viftop","addr":"(N)","loc":"d,29:8,29:12","dtypep":"(O)","origName":"itop__Viftop","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"IFACEREF","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"this_struct","addr":"(P)","loc":"d,31:14,31:25","dtypep":"(Q)","origName":"this_struct","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"dotted","addr":"(R)","loc":"d,33:16,33:22","dtypep":"(S)","origName":"dotted","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"ASSIGNW","name":"","addr":"(T)","loc":"d,33:23,33:24","dtypep":"(S)", + "rhsp": [ + {"type":"VARXREF","name":"value","addr":"(U)","loc":"d,33:30,33:35","dtypep":"(V)","dotted":"itop","inlinedDots":"","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"dotted","addr":"(X)","loc":"d,33:16,33:22","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []}, + {"type":"FUNC","name":"f","addr":"(Y)","loc":"d,35:13,35:14","dtypep":"(G)","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"f", + "fvarp": [ + {"type":"VAR","name":"f","addr":"(Z)","loc":"d,35:13,35:14","dtypep":"(G)","origName":"f","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":true,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"VAR","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"classOrPackagep": [], + "stmtsp": [ + {"type":"VAR","name":"m","addr":"(AB)","loc":"d,35:28,35:29","dtypep":"(BB)","origName":"m","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"VAUTOM","varType":"PORT","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"DISPLAY","name":"","addr":"(CB)","loc":"d,36:7,36:15", + "fmtp": [ + {"type":"SFORMATF","name":"%@","addr":"(DB)","loc":"d,36:7,36:15","dtypep":"(BB)", + "exprsp": [ + {"type":"VARREF","name":"m","addr":"(EB)","loc":"d,36:22,36:23","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []} + ],"scopeNamep": []}, + {"type":"INITIAL","name":"","addr":"(FB)","loc":"d,39:4,39:11","isSuspendable":false,"needProcess":false, + "stmtsp": [ + {"type":"BEGIN","name":"","addr":"(GB)","loc":"d,39:12,39:17","generate":false,"genfor":false,"implied":false,"needProcess":false,"unnamed":true,"genforp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(HB)","loc":"d,41:7,41:8", + "exprp": [ + {"type":"TASKREF","name":"f","addr":"(IB)","loc":"d,41:7,41:8","dtypep":"(JB)","dotted":"","taskp":"(Y)","classOrPackagep":"UNLINKED","namep": [], + "pinsp": [ + {"type":"ARG","name":"","addr":"(KB)","loc":"d,41:9,41:736", + "exprp": [ + {"type":"CONST","name":"\\\"\\001\\002\\003\\004\\005\\006\\007\\010\\t\\n\\013\\014\\r\\016\\017\\020\\021\\022\\023\\024\\025\\026\\027\\030\\031\\032\\033\\034\\035\\036\\037 !\\\"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\\\\]^_`abcdefghijklmnopqrstuvwxyz{|}~\\177\\200\\201\\202\\203\\204\\205\\206\\207\\210\\211\\212\\213\\214\\215\\216\\217\\220\\221\\222\\223\\224\\225\\226\\227\\230\\231\\232\\233\\234\\235\\236\\237\\240\\241\\242\\243\\244\\245\\246\\247\\250\\251\\252\\253\\254\\255\\256\\257\\260\\261\\262\\263\\264\\265\\266\\267\\270\\271\\272\\273\\274\\275\\276\\277\\300\\301\\302\\303\\304\\305\\306\\307\\310\\311\\312\\313\\314\\315\\316\\317\\320\\321\\322\\323\\324\\325\\326\\327\\330\\331\\332\\333\\334\\335\\336\\337\\340\\341\\342\\343\\344\\345\\346\\347\\350\\351\\352\\353\\354\\355\\356\\357\\360\\361\\362\\363\\364\\365\\366\\367\\370\\371\\372\\373\\374\\375\\376\\377\\\"","addr":"(LB)","loc":"d,41:9,41:736","dtypep":"(BB)"} + ]} + ],"scopeNamep": []} + ]} + ]} + ]} + ],"activesp": []}, + {"type":"IFACE","name":"ifc","addr":"(M)","loc":"d,7:11,7:14","origName":"ifc","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"value","addr":"(W)","loc":"d,8:12,8:17","dtypep":"(V)","origName":"value","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"MODPORT","name":"out_modport","addr":"(MB)","loc":"d,9:12,9:23", + "varsp": [ + {"type":"MODPORTVARREF","name":"value","addr":"(NB)","loc":"d,9:32,9:37","direction":"OUTPUT","varp":"(W)"} + ]} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(JB)", + "typesp": [ + {"type":"VOIDDTYPE","name":"","addr":"(JB)","loc":"d,41:7,41:8","dtypep":"(JB)","generic":false}, + {"type":"BASICDTYPE","name":"integer","addr":"(V)","loc":"d,8:4,8:11","dtypep":"(V)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(G)","loc":"d,14:11,14:17","dtypep":"(G)","keyword":"logic","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(OB)","loc":"d,21:7,21:12","dtypep":"(OB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"d,22:7,22:12","dtypep":"(PB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(QB)","loc":"d,23:7,23:12","dtypep":"(QB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RB)","loc":"d,24:7,24:12","dtypep":"(RB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"STRUCTDTYPE","name":"m.my_struct","addr":"(K)","loc":"d,20:12,20:18","dtypep":"(K)","packed":true,"isFourstate":true,"generic":false,"classOrPackagep":"UNLINKED", + "membersp": [ + {"type":"MEMBERDTYPE","name":"clk","addr":"(SB)","loc":"d,21:19,21:22","dtypep":"(SB)","generic":false,"childDTypep": [],"valuep": []}, + {"type":"MEMBERDTYPE","name":"k","addr":"(TB)","loc":"d,22:19,22:20","dtypep":"(TB)","generic":false,"childDTypep": [],"valuep": []}, + {"type":"MEMBERDTYPE","name":"enable","addr":"(UB)","loc":"d,23:19,23:25","dtypep":"(UB)","generic":false,"childDTypep": [],"valuep": []}, + {"type":"MEMBERDTYPE","name":"data","addr":"(VB)","loc":"d,24:19,24:23","dtypep":"(VB)","generic":false,"childDTypep": [],"valuep": []} + ]}, + {"type":"IFACEREFDTYPE","name":"","addr":"(O)","loc":"d,29:8,29:12","dtypep":"(O)","cellName":"itop","ifaceName":"ifc","modportName":"","generic":false,"ifacep":"UNLINKED","cellp":"(L)","modportp":"UNLINKED","paramsp": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(S)","loc":"d,31:27,31:28","dtypep":"(S)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"REFDTYPE","name":"my_struct","addr":"(WB)","loc":"d,31:4,31:13","dtypep":"(K)","generic":false,"typedefp":"UNLINKED","refDTypep":"(K)","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(Q)","loc":"d,31:26,31:27","dtypep":"(Q)","isCompound":false,"declRange":"[0:1]","generic":false,"refDTypep":"(WB)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(XB)","loc":"d,31:26,31:27","ascending":true, + "leftp": [ + {"type":"CONST","name":"32'h0","addr":"(YB)","loc":"d,31:27,31:28","dtypep":"(S)"} + ], + "rightp": [ + {"type":"CONST","name":"32'h1","addr":"(ZB)","loc":"d,31:27,31:28","dtypep":"(S)"} + ]} + ]}, + {"type":"BASICDTYPE","name":"string","addr":"(BB)","loc":"d,35:21,35:27","dtypep":"(BB)","keyword":"string","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(AC)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(BC)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(AC)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_json_only_tag.pl b/test_regress/t/t_json_only_tag.pl new file mode 100755 index 000000000..d6d231360 --- /dev/null +++ b/test_regress/t/t_json_only_tag.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical("$out_filename", $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_json_only_tag.v b/test_regress/t/t_json_only_tag.v new file mode 100644 index 000000000..736942cbf --- /dev/null +++ b/test_regress/t/t_json_only_tag.v @@ -0,0 +1,44 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed into the Public Domain, for any use, +// without warranty, 2017 by Chris Randall. +// SPDX-License-Identifier: CC0-1.0 + +interface ifc; + integer value; + modport out_modport (output value); +endinterface + +module m + ( + input clk_ip, // verilator tag clk_ip + input rst_ip, + output foo_op); // verilator tag foo_op + + // This is a comment + + typedef struct packed { + logic clk; /* verilator tag this is clk */ + logic k; /* verilator lint_off UNUSED */ + logic enable; // verilator tag enable + logic data; // verilator tag data + } my_struct; // verilator tag my_struct + + // This is a comment + + ifc itop(); + + my_struct this_struct [2]; // verilator tag this_struct + + wire [31:0] dotted = itop.value; + + function f(input string m); + $display("%s", m); + endfunction + + initial begin + // Contains all 256 characters except 0 (null character) + f("\x01\x02\x03\x04\x05\x06\a\x08\t\n\v\f\r\x0e\x0f\x10\x11\x12\x13\x14\x15\x16\x17\x18\x19\x1a\x1b\x1c\x1d\x1e\x1f !\"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\\]^_`abcdefghijklmnopqrstuvwxyz{|}~\x7f\x80\x81\x82\x83\x84\x85\x86\x87\x88\x89\x8a\x8b\x8c\x8d\x8e\x8f\x90\x91\x92\x93\x94\x95\x96\x97\x98\x99\x9a\x9b\x9c\x9d\x9e\x9f\xa0\xa1\xa2\xa3\xa4\xa5\xa6\xa7\xa8\xa9\xaa\xab\xac\xad\xae\xaf\xb0\xb1\xb2\xb3\xb4\xb5\xb6\xb7\xb8\xb9\xba\xbb\xbc\xbd\xbe\xbf\xc0\xc1\xc2\xc3\xc4\xc5\xc6\xc7\xc8\xc9\xca\xcb\xcc\xcd\xce\xcf\xd0\xd1\xd2\xd3\xd4\xd5\xd6\xd7\xd8\xd9\xda\xdb\xdc\xdd\xde\xdf\xe0\xe1\xe2\xe3\xe4\xe5\xe6\xe7\xe8\xe9\xea\xeb\xec\xed\xee\xef\xf0\xf1\xf2\xf3\xf4\xf5\xf6\xf7\xf8\xf9\xfa\xfb\xfc\xfd\xfe\xff"); + end + +endmodule diff --git a/test_regress/t/t_no_typedef_bad.pl b/test_regress/t/t_no_typedef_bad.pl index 8aaa0fb99..a9a904f17 100755 --- a/test_regress/t/t_no_typedef_bad.pl +++ b/test_regress/t/t_no_typedef_bad.pl @@ -11,7 +11,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(vlt => 1); lint( - verilator_flags2 => ["--xml-only"], + verilator_flags2 => ["--json-only"], fails => 1, expect_filename => $Self->{golden_filename}, ); diff --git a/test_regress/t/t_process_bad.pl b/test_regress/t/t_process_bad.pl index c87b2fc6f..6c378f2d6 100755 --- a/test_regress/t/t_process_bad.pl +++ b/test_regress/t/t_process_bad.pl @@ -11,7 +11,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(vlt => 1); lint( - verilator_flags2 => ["--xml-only", "--timing"], + verilator_flags2 => ["--json-only", "--timing"], fails => 1, expect_filename => $Self->{golden_filename}, ); diff --git a/test_regress/t/t_process_parse.pl b/test_regress/t/t_process_parse.pl index 0023276e1..4b6b64de0 100755 --- a/test_regress/t/t_process_parse.pl +++ b/test_regress/t/t_process_parse.pl @@ -12,10 +12,10 @@ scenarios(vlt => 1); top_filename("t_process.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - verilator_flags2 => ["--debug-exit-uvm", "--xml-only"], + verilator_flags2 => ["--debug-exit-uvm", "--json-only"], make_main => 0, make_top_shell => 0, verilator_make_gmake => 0, diff --git a/test_regress/t/t_trace_public_sig_vlt.out b/test_regress/t/t_trace_public_sig_vlt.out new file mode 100644 index 000000000..4c825d5b7 --- /dev/null +++ b/test_regress/t/t_trace_public_sig_vlt.out @@ -0,0 +1,1915 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"f,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"CLK","addr":"(I)","loc":"f,8:17,8:20","dtypep":"(J)","origName":"CLK","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"RESET","addr":"(K)","loc":"f,9:17,9:22","dtypep":"(J)","origName":"RESET","isSc":false,"isPrimaryIO":true,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(L)","loc":"f,7:8,7:9","dtypep":"(M)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__CLK__0","addr":"(N)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__CLK__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(O)","loc":"f,7:8,7:9","dtypep":"(M)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(P)","loc":"f,7:8,7:9","dtypep":"(Q)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vm_traceActivity","addr":"(R)","loc":"f,7:8,7:9","dtypep":"(S)","origName":"__Vm_traceActivity","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(T)","loc":"f,7:8,7:9","dtypep":"(U)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(V)","loc":"f,7:8,7:9","dtypep":"(W)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(X)","loc":"f,7:8,7:9","dtypep":"(W)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"t","addr":"(Y)","loc":"f,7:8,7:9","origName":"t","recursive":false,"modp":"(Z)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"f,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(AB)","loc":"f,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"trace_init_sub__TOP__0","addr":"(BB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(CB)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(DB)","loc":"f,7:8,7:9","shortText":"const int c = vlSymsp->__Vm_baseCode;..."} + ]} + ], + "stmtsp": [ + {"type":"TRACEPUSHPREFIX","name":"","addr":"(EB)","loc":"f,7:8,7:9"}, + {"type":"STMTEXPR","name":"","addr":"(FB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(GB)","loc":"f,7:8,7:9","dtypep":"(HB)","funcName":"trace_init_sub__TOP__t__0","funcp":"(IB)","argsp": []} + ]}, + {"type":"TRACEPOPPREFIX","name":"","addr":"(JB)","loc":"f,7:8,7:9"}, + {"type":"TRACEDECL","name":"CLK","addr":"(KB)","loc":"f,8:17,8:20","dtypep":"(J)","code":19,"valuep": []}, + {"type":"TRACEDECL","name":"RESET","addr":"(LB)","loc":"f,9:17,9:22","dtypep":"(J)","code":20,"valuep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_init_sub__TOP__t__0","addr":"(IB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(MB)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(NB)","loc":"f,7:8,7:9","shortText":"const int c = vlSymsp->__Vm_baseCode;..."} + ]} + ], + "stmtsp": [ + {"type":"TRACEDECL","name":"CLK","addr":"(OB)","loc":"f,8:17,8:20","dtypep":"(J)","code":19,"valuep": []}, + {"type":"TRACEDECL","name":"RESET","addr":"(PB)","loc":"f,9:17,9:22","dtypep":"(J)","code":1,"valuep": []}, + {"type":"TRACEPUSHPREFIX","name":"","addr":"(QB)","loc":"f,14:9,14:13"}, + {"type":"STMTEXPR","name":"","addr":"(RB)","loc":"f,14:9,14:13", + "exprp": [ + {"type":"CCALL","name":"","addr":"(SB)","loc":"f,14:9,14:13","dtypep":"(HB)","funcName":"trace_init_sub__TOP__t__glbl__0","funcp":"(TB)","argsp": []} + ]}, + {"type":"TRACEPOPPREFIX","name":"","addr":"(UB)","loc":"f,14:9,14:13"}, + {"type":"TRACEPUSHPREFIX","name":"","addr":"(VB)","loc":"f,17:20,17:21"}, + {"type":"TRACEDECL","name":"","addr":"(WB)","loc":"f,17:16,17:19","dtypep":"(XB)","code":2,"valuep": []}, + {"type":"TRACEPOPPREFIX","name":"","addr":"(YB)","loc":"f,17:20,17:21"}, + {"type":"TRACEDECL","name":"val","addr":"(ZB)","loc":"f,19:16,19:19","dtypep":"(AC)","code":4,"valuep": []}, + {"type":"TRACEPUSHPREFIX","name":"","addr":"(BC)","loc":"f,7:8,7:9"}, + {"type":"TRACEDECL","name":"clk","addr":"(CC)","loc":"f,68:10,68:13","dtypep":"(J)","code":19,"valuep": []}, + {"type":"TRACEDECL","name":"i8","addr":"(DC)","loc":"f,72:14,72:16","dtypep":"(EC)","code":5,"valuep": []}, + {"type":"TRACEDECL","name":"i48","addr":"(FC)","loc":"f,73:15,73:18","dtypep":"(GC)","code":6,"valuep": []}, + {"type":"TRACEDECL","name":"i128","addr":"(HC)","loc":"f,74:17,74:21","dtypep":"(IC)","code":8,"valuep": []}, + {"type":"TRACEPOPPREFIX","name":"","addr":"(JC)","loc":"f,7:8,7:9"}, + {"type":"TRACEPUSHPREFIX","name":"","addr":"(KC)","loc":"f,7:8,7:9"}, + {"type":"TRACEDECL","name":"clk","addr":"(LC)","loc":"f,53:10,53:13","dtypep":"(J)","code":19,"valuep": []}, + {"type":"TRACEDECL","name":"i8","addr":"(MC)","loc":"f,56:15,56:17","dtypep":"(NC)","code":12,"valuep": []}, + {"type":"TRACEDECL","name":"i48","addr":"(OC)","loc":"f,57:17,57:20","dtypep":"(PC)","code":13,"valuep": []}, + {"type":"TRACEDECL","name":"i128","addr":"(QC)","loc":"f,58:17,58:21","dtypep":"(RC)","code":15,"valuep": []}, + {"type":"TRACEPOPPREFIX","name":"","addr":"(SC)","loc":"f,7:8,7:9"} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_init_sub__TOP__t__glbl__0","addr":"(TB)","loc":"f,14:9,14:13","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(TC)","loc":"f,14:9,14:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(UC)","loc":"f,14:9,14:13","shortText":"const int c = vlSymsp->__Vm_baseCode;..."} + ]} + ], + "stmtsp": [ + {"type":"TRACEDECL","name":"GSR","addr":"(VC)","loc":"f,47:8,47:11","dtypep":"(J)","code":21,"valuep": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_init_top","addr":"(WC)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(XC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(YC)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"trace_init_sub__TOP__0","funcp":"(BB)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_static","addr":"(ZC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(AD)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BD)","loc":"f,7:8,7:9","dtypep":"(HB)","funcName":"_eval_static__TOP__t","funcp":"(CD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(DD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(FD)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(GD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(HD)","loc":"f,7:8,7:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(ID)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(KD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(LD)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(MD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(ND)","loc":"f,7:8,7:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(OD)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(PD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(QD)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(RD)","loc":"f,7:8,7:9","dtypep":"(HB)","funcName":"_eval_initial__TOP__t","funcp":"(SD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(TD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(UD)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(WD)","loc":"f,7:8,7:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(XD)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(YD)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(ZD)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(AE)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(BE)","loc":"f,7:8,7:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(CE)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(DE)","loc":"f,20:22,20:25","dtypep":"(ED)", + "rhsp": [ + {"type":"VARREF","name":"CLK","addr":"(EE)","loc":"f,20:22,20:25","dtypep":"(ED)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__CLK__0","addr":"(FE)","loc":"f,20:22,20:25","dtypep":"(ED)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(GE)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(HE)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(IE)","loc":"f,7:8,7:9","dtypep":"(Q)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(JE)","loc":"f,7:8,7:9","dtypep":"(M)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(KE)","loc":"f,7:8,7:9","dtypep":"(Q)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(LE)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(ME)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"WR","varp":"(IE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NE)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(OE)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(PE)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(QE)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(RE)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(SE)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(JE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(TE)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(UE)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(JE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(VE)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(WE)","loc":"a,0:0,0:0","dtypep":"(ED)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(XE)","loc":"a,0:0,0:0","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(YE)","loc":"a,0:0,0:0","dtypep":"(Q)","access":"RD","varp":"(IE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(ZE)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(AF)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(BF)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(CF)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_dump_triggers__stl","funcp":"(DF)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(EF)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(FF)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_trace_public.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(GF)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HF)","loc":"f,7:8,7:9","dtypep":"(Q)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(IF)","loc":"f,7:8,7:9","dtypep":"(Q)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JF)","loc":"f,7:8,7:9","dtypep":"(JD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(KF)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(LF)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"RD","varp":"(IE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(MF)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"WR","varp":"(IE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NF)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(OF)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(PF)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(JE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(QF)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(RF)","loc":"a,0:0,0:0","dtypep":"(ED)","funcName":"_eval_phase__stl","funcp":"(SF)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(TF)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(UF)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(VF)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(JE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(WF)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(XF)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(YF)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(ZF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(AG)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(BG)","loc":"f,7:8,7:9","dtypep":"(HB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(CG)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(DG)","loc":"f,7:8,7:9","dtypep":"(JD)"}, + {"type":"CCAST","name":"","addr":"(EG)","loc":"f,7:8,7:9","dtypep":"(ED)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(FG)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(L)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(GG)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(HG)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(IG)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(JG)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(KG)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_dump_triggers__stl","funcp":"(DF)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(LG)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(MG)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(DF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(NG)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(OG)","loc":"f,7:8,7:9","dtypep":"(ED)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(PG)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(QG)","loc":"f,7:8,7:9","dtypep":"(ED)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RG)","loc":"f,7:8,7:9","dtypep":"(ED)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(SG)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(TG)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(UG)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(VG)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(WG)","loc":"f,7:8,7:9","dtypep":"(XG)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(YG)","loc":"f,7:8,7:9","dtypep":"(XG)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(ZG)","loc":"f,7:8,7:9","dtypep":"(AH)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(BH)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(CH)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(DH)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(EH)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FH)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(GH)","loc":"f,7:8,7:9","dtypep":"(XG)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(HH)","loc":"f,7:8,7:9","dtypep":"(XG)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(IH)","loc":"f,7:8,7:9","dtypep":"(AH)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(JH)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(KH)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(LH)","loc":"f,9:17,9:22", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MH)","loc":"f,9:17,9:22","dtypep":"(HB)","funcName":"_nba_sequent__TOP__0","funcp":"(NH)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(SF)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(OH)","loc":"f,7:8,7:9","dtypep":"(M)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(PH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(QH)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_eval_triggers__stl","funcp":"(ZF)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(RH)","loc":"a,0:0,0:0","dtypep":"(ED)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(SH)","loc":"a,0:0,0:0","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(TH)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(T)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(UH)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"WR","varp":"(OH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(VH)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(WH)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(OH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(XH)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(YH)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_eval_stl","funcp":"(EH)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(ZH)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(AI)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(OH)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(BI)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(CI)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(DI)","loc":"f,7:8,7:9","dtypep":"(HB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(EI)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(FI)","loc":"f,7:8,7:9","dtypep":"(JD)"}, + {"type":"AND","name":"","addr":"(GI)","loc":"f,20:14,20:21","dtypep":"(ED)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HI)","loc":"f,20:22,20:25","dtypep":"(ED)","size":32, + "lhsp": [ + {"type":"VARREF","name":"CLK","addr":"(II)","loc":"f,20:22,20:25","dtypep":"(ED)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(JI)","loc":"f,20:14,20:21","dtypep":"(ED)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KI)","loc":"f,20:14,20:21","dtypep":"(ED)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__CLK__0","addr":"(LI)","loc":"f,20:14,20:21","dtypep":"(ED)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(MI)","loc":"f,20:22,20:25","dtypep":"(ED)", + "rhsp": [ + {"type":"VARREF","name":"CLK","addr":"(NI)","loc":"f,20:22,20:25","dtypep":"(ED)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__CLK__0","addr":"(OI)","loc":"f,20:22,20:25","dtypep":"(ED)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(PI)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(QI)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(RI)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(SI)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TI)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_dump_triggers__act","funcp":"(UI)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(VI)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(WI)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(UI)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(XI)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(YI)","loc":"f,7:8,7:9","dtypep":"(ED)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ZI)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(AJ)","loc":"f,7:8,7:9","dtypep":"(ED)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BJ)","loc":"f,7:8,7:9","dtypep":"(ED)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(CJ)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(DJ)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(EJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(FJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(GJ)","loc":"f,7:8,7:9","dtypep":"(XG)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(HJ)","loc":"f,7:8,7:9","dtypep":"(XG)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(IJ)","loc":"f,7:8,7:9","dtypep":"(AH)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(JJ)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(KJ)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge CLK)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(MJ)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(NJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(OJ)","loc":"f,7:8,7:9","dtypep":"(ED)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(PJ)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(QJ)","loc":"f,7:8,7:9","dtypep":"(ED)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RJ)","loc":"f,7:8,7:9","dtypep":"(ED)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(SJ)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(TJ)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(UJ)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(VJ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(WJ)","loc":"f,7:8,7:9","dtypep":"(XG)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(XJ)","loc":"f,7:8,7:9","dtypep":"(XG)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(YJ)","loc":"f,7:8,7:9","dtypep":"(AH)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(ZJ)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(AK)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(BK)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge CLK)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(CK)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(NH)","loc":"f,9:17,9:22","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(DK)","loc":"f,9:17,9:22","dtypep":"(ED)", + "rhsp": [ + {"type":"VARREF","name":"RESET","addr":"(EK)","loc":"f,9:17,9:22","dtypep":"(ED)","access":"RD","varp":"(FK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"RESET","addr":"(GK)","loc":"f,9:17,9:22","dtypep":"(ED)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(HK)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(IK)","loc":"f,7:8,7:9","dtypep":"(XG)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(JK)","loc":"f,7:8,7:9","dtypep":"(XG)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(KK)","loc":"f,7:8,7:9","dtypep":"(AH)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(LK)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(MK)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(NK)","loc":"f,80:7,80:11", + "exprp": [ + {"type":"CCALL","name":"","addr":"(OK)","loc":"f,80:7,80:11","dtypep":"(HB)","funcName":"_nba_sequent__TOP__t__0","funcp":"(PK)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(QK)","loc":"f,80:7,80:11","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(RK)","loc":"f,80:7,80:11","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(SK)","loc":"f,80:7,80:11","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(TK)","loc":"f,80:7,80:11","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(UK)","loc":"f,80:7,80:11","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"STMTEXPR","name":"","addr":"(VK)","loc":"f,9:17,9:22", + "exprp": [ + {"type":"CCALL","name":"","addr":"(WK)","loc":"f,9:17,9:22","dtypep":"(HB)","funcName":"_nba_sequent__TOP__0","funcp":"(NH)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(XK)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(YK)","loc":"f,7:8,7:9","dtypep":"(W)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(ZK)","loc":"f,7:8,7:9","dtypep":"(M)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(AL)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BL)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_eval_triggers__act","funcp":"(BI)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(CL)","loc":"a,0:0,0:0","dtypep":"(ED)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(DL)","loc":"a,0:0,0:0","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(EL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(FL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"WR","varp":"(ZK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(GL)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(HL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(ZK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(IL)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(JL)","loc":"a,0:0,0:0","dtypep":"(HB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(KL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"WR","varp":"(YK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(LL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(ML)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(NL)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(OL)","loc":"a,0:0,0:0","dtypep":"(HB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(PL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(QL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(RL)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(SL)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_eval_act","funcp":"(CK)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(TL)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(UL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(ZK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(VL)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(WL)","loc":"f,7:8,7:9","dtypep":"(M)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(XL)","loc":"a,0:0,0:0","dtypep":"(ED)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(YL)","loc":"a,0:0,0:0","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(ZL)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(AM)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"WR","varp":"(WL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(BM)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(CM)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(WL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(DM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EM)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(FM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(GM)","loc":"a,0:0,0:0","dtypep":"(HB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HM)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(IM)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(JM)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(WL)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(KM)","loc":"f,7:8,7:9","dtypep":"(Q)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(LM)","loc":"f,7:8,7:9","dtypep":"(M)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(MM)","loc":"f,7:8,7:9","dtypep":"(Q)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(NM)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(OM)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"WR","varp":"(KM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PM)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(QM)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(RM)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(LM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(SM)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(TM)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(LM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(UM)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(VM)","loc":"a,0:0,0:0","dtypep":"(ED)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(WM)","loc":"a,0:0,0:0","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(XM)","loc":"a,0:0,0:0","dtypep":"(Q)","access":"RD","varp":"(KM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(YM)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(ZM)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(AN)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BN)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_dump_triggers__nba","funcp":"(MJ)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(CN)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(DN)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_trace_public.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(EN)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(FN)","loc":"f,7:8,7:9","dtypep":"(Q)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(GN)","loc":"f,7:8,7:9","dtypep":"(Q)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(HN)","loc":"f,7:8,7:9","dtypep":"(JD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(IN)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(JN)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"RD","varp":"(KM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(KN)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"WR","varp":"(KM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LN)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(MN)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(NN)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(LM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ON)","loc":"f,7:8,7:9","dtypep":"(Q)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(PN)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(QN)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RN)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(SN)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(TN)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(UN)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(VN)","loc":"a,0:0,0:0","dtypep":"(ED)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(WN)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(XN)","loc":"a,0:0,0:0","dtypep":"(ED)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(YN)","loc":"a,0:0,0:0","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(ZN)","loc":"a,0:0,0:0","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(AO)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(BO)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(CO)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(DO)","loc":"a,0:0,0:0","dtypep":"(HB)","funcName":"_dump_triggers__act","funcp":"(UI)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(EO)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(FO)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_trace_public.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(GO)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HO)","loc":"f,7:8,7:9","dtypep":"(Q)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(IO)","loc":"f,7:8,7:9","dtypep":"(Q)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(JO)","loc":"f,7:8,7:9","dtypep":"(JD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(KO)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(LO)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"RD","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(MO)","loc":"f,7:8,7:9","dtypep":"(Q)","access":"WR","varp":"(P)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NO)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(OO)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(PO)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(QO)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(RO)","loc":"a,0:0,0:0","dtypep":"(ED)","funcName":"_eval_phase__act","funcp":"(XK)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(SO)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(TO)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(UO)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(VO)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(WO)","loc":"a,0:0,0:0","dtypep":"(ED)","funcName":"_eval_phase__nba","funcp":"(VL)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(XO)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(YO)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(ZO)","loc":"f,7:8,7:9","dtypep":"(ED)","access":"WR","varp":"(LM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_register","addr":"(AP)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"TEXT","name":"","addr":"(BP)","loc":"f,7:8,7:9","shortText":"tracep->addConstCb("}, + {"type":"ADDROFCFUNC","name":"","addr":"(CP)","loc":"f,7:8,7:9","dtypep":"(DP)"}, + {"type":"TEXT","name":"","addr":"(EP)","loc":"f,7:8,7:9","shortText":", "}, + {"type":"CONST","name":"32'h0","addr":"(FP)","loc":"f,7:8,7:9","dtypep":"(JD)"}, + {"type":"TEXT","name":"","addr":"(GP)","loc":"f,7:8,7:9","shortText":", vlSelf);..."}, + {"type":"TEXT","name":"","addr":"(HP)","loc":"f,7:8,7:9","shortText":"tracep->addFullCb("}, + {"type":"ADDROFCFUNC","name":"","addr":"(IP)","loc":"f,7:8,7:9","dtypep":"(DP)"}, + {"type":"TEXT","name":"","addr":"(JP)","loc":"f,7:8,7:9","shortText":", "}, + {"type":"CONST","name":"32'h0","addr":"(KP)","loc":"f,7:8,7:9","dtypep":"(JD)"}, + {"type":"TEXT","name":"","addr":"(LP)","loc":"f,7:8,7:9","shortText":", vlSelf);..."}, + {"type":"TEXT","name":"","addr":"(MP)","loc":"f,7:8,7:9","shortText":"tracep->addChgCb("}, + {"type":"ADDROFCFUNC","name":"","addr":"(NP)","loc":"f,7:8,7:9","dtypep":"(DP)"}, + {"type":"TEXT","name":"","addr":"(OP)","loc":"f,7:8,7:9","shortText":", "}, + {"type":"CONST","name":"32'h0","addr":"(PP)","loc":"f,7:8,7:9","dtypep":"(JD)"}, + {"type":"TEXT","name":"","addr":"(QP)","loc":"f,7:8,7:9","shortText":", vlSelf);..."}, + {"type":"TEXT","name":"","addr":"(RP)","loc":"f,7:8,7:9","shortText":"tracep->addCleanupCb("}, + {"type":"ADDROFCFUNC","name":"","addr":"(SP)","loc":"f,7:8,7:9","dtypep":"(DP)"}, + {"type":"TEXT","name":"","addr":"(TP)","loc":"f,7:8,7:9","shortText":", vlSelf);..."} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_const_0","addr":"(UP)","loc":"f,7:8,7:9","slow":true,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(VP)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(WP)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf);..."} + ]}, + {"type":"CSTMT","name":"","addr":"(XP)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(YP)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;..."} + ]} + ],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"trace_full_0","addr":"(ZP)","loc":"f,7:8,7:9","slow":true,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(AQ)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(BQ)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf);..."} + ]}, + {"type":"CSTMT","name":"","addr":"(CQ)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(DQ)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;..."} + ]} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(EQ)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FQ)","loc":"f,7:8,7:9","dtypep":"(HB)","funcName":"trace_full_0_sub_0","funcp":"(GQ)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_chg_0","addr":"(HQ)","loc":"f,7:8,7:9","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(IQ)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JQ)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf);..."} + ]}, + {"type":"CSTMT","name":"","addr":"(KQ)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(LQ)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;..."} + ]}, + {"type":"CSTMT","name":"","addr":"(MQ)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(NQ)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(!vlSymsp->__Vm_activity)) return;..."} + ]} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(OQ)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(PQ)","loc":"f,7:8,7:9","dtypep":"(HB)","funcName":"trace_chg_0_sub_0","funcp":"(QQ)","argsp": []} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_full_0_sub_0","addr":"(GQ)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(RQ)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(SQ)","loc":"f,7:8,7:9","shortText":"uint32_t* const oldp VL_ATTR_UNUSED = bufp->oldp(vlSymsp->__Vm_baseCode);..."} + ]} + ], + "stmtsp": [ + {"type":"TRACEINC","name":"","addr":"(TQ)","loc":"f,9:17,9:22","dtypep":"(J)","declp":"(PB)", + "valuep": [ + {"type":"VARREF","name":"RESET","addr":"(UQ)","loc":"f,9:17,9:22","dtypep":"(ED)","access":"RD","varp":"(FK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(VQ)","loc":"f,17:16,17:19","dtypep":"(XB)","declp":"(WB)", + "valuep": [ + {"type":"VARREF","name":"vec","addr":"(WQ)","loc":"f,17:16,17:19","dtypep":"(XB)","access":"RD","varp":"(XQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(YQ)","loc":"f,19:16,19:19","dtypep":"(AC)","declp":"(ZB)", + "valuep": [ + {"type":"VARREF","name":"val","addr":"(ZQ)","loc":"f,19:16,19:19","dtypep":"(AC)","access":"RD","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(BR)","loc":"f,72:14,72:16","dtypep":"(EC)","declp":"(DC)", + "valuep": [ + {"type":"VARREF","name":"little.i8","addr":"(CR)","loc":"f,72:14,72:16","dtypep":"(DR)","access":"RD","varp":"(ER)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(FR)","loc":"f,73:15,73:18","dtypep":"(GC)","declp":"(FC)", + "valuep": [ + {"type":"VARREF","name":"little.i48","addr":"(GR)","loc":"f,73:15,73:18","dtypep":"(HR)","access":"RD","varp":"(IR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(JR)","loc":"f,74:17,74:21","dtypep":"(IC)","declp":"(HC)", + "valuep": [ + {"type":"VARREF","name":"little.i128","addr":"(KR)","loc":"f,74:17,74:21","dtypep":"(IC)","access":"RD","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(MR)","loc":"f,56:15,56:17","dtypep":"(NC)","declp":"(MC)", + "valuep": [ + {"type":"VARREF","name":"neg.i8","addr":"(NR)","loc":"f,56:15,56:17","dtypep":"(DR)","access":"RD","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(PR)","loc":"f,57:17,57:20","dtypep":"(PC)","declp":"(OC)", + "valuep": [ + {"type":"VARREF","name":"neg.i48","addr":"(QR)","loc":"f,57:17,57:20","dtypep":"(RR)","access":"RD","varp":"(SR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(TR)","loc":"f,58:17,58:21","dtypep":"(RC)","declp":"(QC)", + "valuep": [ + {"type":"VARREF","name":"neg.i128","addr":"(UR)","loc":"f,58:17,58:21","dtypep":"(RC)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(WR)","loc":"f,8:17,8:20","dtypep":"(J)","declp":"(KB)", + "valuep": [ + {"type":"VARREF","name":"CLK","addr":"(XR)","loc":"f,8:17,8:20","dtypep":"(ED)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(YR)","loc":"f,9:17,9:22","dtypep":"(J)","declp":"(LB)", + "valuep": [ + {"type":"VARREF","name":"RESET","addr":"(ZR)","loc":"f,9:17,9:22","dtypep":"(ED)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(AS)","loc":"f,47:8,47:11","dtypep":"(J)","declp":"(VC)", + "valuep": [ + {"type":"VARREF","name":"GSR","addr":"(BS)","loc":"f,47:8,47:11","dtypep":"(ED)","access":"RD","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_chg_0_sub_0","addr":"(QQ)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(DS)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(ES)","loc":"f,7:8,7:9","shortText":"uint32_t* const oldp VL_ATTR_UNUSED = bufp->oldp(vlSymsp->__Vm_baseCode + 1);..."} + ]} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FS)","loc":"f,7:8,7:9", + "condp": [ + {"type":"ARRAYSEL","name":"","addr":"(GS)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(HS)","loc":"f,7:8,7:9","dtypep":"(S)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(IS)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ], + "thensp": [ + {"type":"TRACEINC","name":"","addr":"(JS)","loc":"f,9:17,9:22","dtypep":"(J)","declp":"(PB)", + "valuep": [ + {"type":"VARREF","name":"RESET","addr":"(KS)","loc":"f,9:17,9:22","dtypep":"(ED)","access":"RD","varp":"(FK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(LS)","loc":"f,17:16,17:19","dtypep":"(XB)","declp":"(WB)", + "valuep": [ + {"type":"VARREF","name":"vec","addr":"(MS)","loc":"f,17:16,17:19","dtypep":"(XB)","access":"RD","varp":"(XQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(NS)","loc":"f,19:16,19:19","dtypep":"(AC)","declp":"(ZB)", + "valuep": [ + {"type":"VARREF","name":"val","addr":"(OS)","loc":"f,19:16,19:19","dtypep":"(AC)","access":"RD","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(PS)","loc":"f,72:14,72:16","dtypep":"(EC)","declp":"(DC)", + "valuep": [ + {"type":"VARREF","name":"little.i8","addr":"(QS)","loc":"f,72:14,72:16","dtypep":"(DR)","access":"RD","varp":"(ER)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(RS)","loc":"f,73:15,73:18","dtypep":"(GC)","declp":"(FC)", + "valuep": [ + {"type":"VARREF","name":"little.i48","addr":"(SS)","loc":"f,73:15,73:18","dtypep":"(HR)","access":"RD","varp":"(IR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(TS)","loc":"f,74:17,74:21","dtypep":"(IC)","declp":"(HC)", + "valuep": [ + {"type":"VARREF","name":"little.i128","addr":"(US)","loc":"f,74:17,74:21","dtypep":"(IC)","access":"RD","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(VS)","loc":"f,56:15,56:17","dtypep":"(NC)","declp":"(MC)", + "valuep": [ + {"type":"VARREF","name":"neg.i8","addr":"(WS)","loc":"f,56:15,56:17","dtypep":"(DR)","access":"RD","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(XS)","loc":"f,57:17,57:20","dtypep":"(PC)","declp":"(OC)", + "valuep": [ + {"type":"VARREF","name":"neg.i48","addr":"(YS)","loc":"f,57:17,57:20","dtypep":"(RR)","access":"RD","varp":"(SR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(ZS)","loc":"f,58:17,58:21","dtypep":"(RC)","declp":"(QC)", + "valuep": [ + {"type":"VARREF","name":"neg.i128","addr":"(AT)","loc":"f,58:17,58:21","dtypep":"(RC)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"elsesp": []}, + {"type":"TRACEINC","name":"","addr":"(BT)","loc":"f,8:17,8:20","dtypep":"(J)","declp":"(KB)", + "valuep": [ + {"type":"VARREF","name":"CLK","addr":"(CT)","loc":"f,8:17,8:20","dtypep":"(ED)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(DT)","loc":"f,9:17,9:22","dtypep":"(J)","declp":"(LB)", + "valuep": [ + {"type":"VARREF","name":"RESET","addr":"(ET)","loc":"f,9:17,9:22","dtypep":"(ED)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"TRACEINC","name":"","addr":"(FT)","loc":"f,47:8,47:11","dtypep":"(J)","declp":"(VC)", + "valuep": [ + {"type":"VARREF","name":"GSR","addr":"(GT)","loc":"f,47:8,47:11","dtypep":"(ED)","access":"RD","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"trace_cleanup","addr":"(HT)","loc":"f,7:8,7:9","slow":false,"isStatic":true,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(AB)","argsp": [], + "initsp": [ + {"type":"CSTMT","name":"","addr":"(IT)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(JT)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf);..."} + ]}, + {"type":"CSTMT","name":"","addr":"(KT)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(LT)","loc":"f,7:8,7:9","shortText":"Vt_trace_public_sig_vlt__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;..."} + ]} + ], + "stmtsp": [ + {"type":"CSTMT","name":"","addr":"(MT)","loc":"f,7:8,7:9", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(NT)","loc":"f,7:8,7:9","shortText":"vlSymsp->__Vm_activity = false;..."} + ]}, + {"type":"ASSIGN","name":"","addr":"(OT)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(PT)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(QT)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(RT)","loc":"f,7:8,7:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(ST)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TT)","loc":"f,7:8,7:9","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(UT)","loc":"f,7:8,7:9","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(VT)","loc":"f,7:8,7:9","dtypep":"(ED)", + "fromp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(WT)","loc":"f,7:8,7:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(XT)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(YT)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ZT)","loc":"f,8:17,8:20", + "condp": [ + {"type":"AND","name":"","addr":"(AU)","loc":"f,8:17,8:20","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"CLK","addr":"(BU)","loc":"f,8:17,8:20","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(CU)","loc":"f,8:17,8:20","dtypep":"(DU)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(EU)","loc":"f,8:17,8:20", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(FU)","loc":"f,8:17,8:20","shortText":"Verilated::overWidthError(\"CLK\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(GU)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(HU)","loc":"f,8:17,8:20", + "varrefp": [ + {"type":"VARREF","name":"CLK","addr":"(IU)","loc":"f,8:17,8:20","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(JU)","loc":"f,9:17,9:22", + "varrefp": [ + {"type":"VARREF","name":"RESET","addr":"(KU)","loc":"f,9:17,9:22","dtypep":"(J)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LU)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__CLK__0","addr":"(MU)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NU)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vm_traceActivity","addr":"(OU)","loc":"f,7:8,7:9","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"t","addr":"(PU)","loc":"f,7:8,7:9","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"t","addr":"(Z)","loc":"f,7:8,7:9","origName":"t","level":2,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"CLK","addr":"(QU)","loc":"f,8:17,8:20","dtypep":"(J)","origName":"CLK","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"RESET","addr":"(FK)","loc":"f,9:17,9:22","dtypep":"(J)","origName":"RESET","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"neg.i8","addr":"(OR)","loc":"f,56:15,56:17","dtypep":"(NC)","origName":"i8","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"little.i8","addr":"(ER)","loc":"f,72:14,72:16","dtypep":"(EC)","origName":"i8","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"val","addr":"(AR)","loc":"f,19:16,19:19","dtypep":"(AC)","origName":"val","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"neg.i128","addr":"(VR)","loc":"f,58:17,58:21","dtypep":"(RC)","origName":"i128","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"little.i128","addr":"(LR)","loc":"f,74:17,74:21","dtypep":"(IC)","origName":"i128","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"neg.i48","addr":"(SR)","loc":"f,57:17,57:20","dtypep":"(PC)","origName":"i48","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"little.i48","addr":"(IR)","loc":"f,73:15,73:18","dtypep":"(GC)","origName":"i48","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"vec","addr":"(XQ)","loc":"f,17:16,17:19","dtypep":"(XB)","origName":"vec","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CELL","name":"glbl","addr":"(RU)","loc":"f,14:9,14:13","origName":"glbl","recursive":false,"modp":"(SU)","pinsp": [],"paramsp": [],"rangep": [],"intfRefsp": []}, + {"type":"SCOPE","name":"t","addr":"(TU)","loc":"f,7:8,7:9","aboveScopep":"(AB)","aboveCellp":"(Y)","modp":"(Z)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_eval_static__TOP__t","addr":"(CD)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(TU)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(UU)","loc":"f,19:22,19:23","dtypep":"(AC)", + "rhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(VU)","loc":"f,19:22,19:23","dtypep":"(WU)"} + ], + "lhsp": [ + {"type":"VARREF","name":"val","addr":"(XU)","loc":"f,19:22,19:23","dtypep":"(AC)","access":"WR","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP__t","addr":"(SD)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(TU)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(YU)","loc":"f,27:18,27:19","dtypep":"(ED)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(ZU)","loc":"f,27:20,27:24","dtypep":"(ED)"} + ], + "lhsp": [ + {"type":"VARREF","name":"RESET","addr":"(AV)","loc":"f,27:12,27:17","dtypep":"(ED)","access":"WR","varp":"(FK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(BV)","loc":"f,56:30,56:31","dtypep":"(DR)", + "rhsp": [ + {"type":"CONST","name":"8'h0","addr":"(CV)","loc":"f,56:32,56:34","dtypep":"(DR)"} + ], + "lhsp": [ + {"type":"VARREF","name":"neg.i8","addr":"(DV)","loc":"f,56:27,56:29","dtypep":"(DR)","access":"WR","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(EV)","loc":"f,57:34,57:35","dtypep":"(RR)", + "rhsp": [ + {"type":"CONST","name":"48'h0","addr":"(FV)","loc":"f,57:36,57:38","dtypep":"(RR)"} + ], + "lhsp": [ + {"type":"VARREF","name":"neg.i48","addr":"(GV)","loc":"f,57:30,57:33","dtypep":"(RR)","access":"WR","varp":"(SR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(JV)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(KV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(LV)","loc":"f,58:31,58:35","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(MV)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(OV)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(PV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(QV)","loc":"f,58:31,58:35","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(RV)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(TV)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(UV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(VV)","loc":"f,58:31,58:35","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(WV)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(YV)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(ZV)","loc":"f,58:36,58:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(AW)","loc":"f,58:31,58:35","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(BW)","loc":"f,58:36,58:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(CW)","loc":"f,72:29,72:30","dtypep":"(DR)", + "rhsp": [ + {"type":"CONST","name":"8'h0","addr":"(DW)","loc":"f,72:31,72:33","dtypep":"(DR)"} + ], + "lhsp": [ + {"type":"VARREF","name":"little.i8","addr":"(EW)","loc":"f,72:26,72:28","dtypep":"(DR)","access":"WR","varp":"(ER)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(FW)","loc":"f,73:32,73:33","dtypep":"(HR)", + "rhsp": [ + {"type":"CONST","name":"49'h0","addr":"(GW)","loc":"f,73:34,73:36","dtypep":"(HR)"} + ], + "lhsp": [ + {"type":"VARREF","name":"little.i48","addr":"(HW)","loc":"f,73:28,73:31","dtypep":"(HR)","access":"WR","varp":"(IR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(IW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(JW)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(KW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(LW)","loc":"f,74:31,74:35","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(MW)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(OW)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(PW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(QW)","loc":"f,74:31,74:35","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(RW)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(TW)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(UW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(VW)","loc":"f,74:31,74:35","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(WW)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(YW)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(ZW)","loc":"f,74:36,74:37","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(AX)","loc":"f,74:31,74:35","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(BX)","loc":"f,74:36,74:37","dtypep":"(JD)"} + ]} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__t__0","addr":"(PK)","loc":"f,80:7,80:11","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(TU)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__Vdly__val","addr":"(CX)","loc":"f,19:16,19:19","dtypep":"(AC)","origName":"__Vdly__val","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(DX)","loc":"f,19:16,19:19", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__val","addr":"(EX)","loc":"f,19:16,19:19","dtypep":"(AC)","access":"WR","varp":"(CX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdlyvval__vec__v0","addr":"(FX)","loc":"f,17:16,17:19","dtypep":"(GX)","origName":"__Vdlyvval__vec__v0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(HX)","loc":"f,17:16,17:19", + "varrefp": [ + {"type":"VARREF","name":"__Vdlyvval__vec__v0","addr":"(IX)","loc":"f,17:16,17:19","dtypep":"(GX)","access":"WR","varp":"(FX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdlyvval__vec__v1","addr":"(JX)","loc":"f,17:16,17:19","dtypep":"(GX)","origName":"__Vdlyvval__vec__v1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(KX)","loc":"f,17:16,17:19", + "varrefp": [ + {"type":"VARREF","name":"__Vdlyvval__vec__v1","addr":"(LX)","loc":"f,17:16,17:19","dtypep":"(GX)","access":"WR","varp":"(JX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vtemp_1","addr":"(MX)","loc":"f,80:15,80:16","dtypep":"(IC)","origName":"__Vtemp_1","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"STMTTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtemp_4","addr":"(NX)","loc":"f,63:15,63:16","dtypep":"(RC)","origName":"__Vtemp_4","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"STMTTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(OX)","loc":"f,21:17,21:20","dtypep":"(AC)", + "rhsp": [ + {"type":"VARREF","name":"val","addr":"(PX)","loc":"f,21:17,21:20","dtypep":"(AC)","access":"RD","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__val","addr":"(QX)","loc":"f,21:17,21:20","dtypep":"(AC)","access":"WR","varp":"(CX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RX)","loc":"f,80:15,80:16","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(SX)","loc":"f,80:15,80:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(TX)","loc":"f,80:16,80:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(UX)","loc":"f,80:16,80:20","dtypep":"(IC)","access":"RD","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(VX)","loc":"f,80:16,80:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(WX)","loc":"f,80:15,80:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(XX)","loc":"f,80:15,80:16","dtypep":"(IC)","access":"WR","varp":"(MX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(YX)","loc":"f,80:15,80:16","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZX)","loc":"f,80:15,80:16","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(AY)","loc":"f,80:15,80:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(BY)","loc":"f,80:16,80:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(CY)","loc":"f,80:16,80:20","dtypep":"(IC)","access":"RD","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(DY)","loc":"f,80:16,80:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(EY)","loc":"f,80:15,80:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(FY)","loc":"f,80:15,80:16","dtypep":"(IC)","access":"WR","varp":"(MX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(GY)","loc":"f,80:15,80:16","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HY)","loc":"f,80:15,80:16","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(IY)","loc":"f,80:15,80:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(JY)","loc":"f,80:16,80:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(KY)","loc":"f,80:16,80:20","dtypep":"(IC)","access":"RD","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(LY)","loc":"f,80:16,80:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(MY)","loc":"f,80:15,80:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(NY)","loc":"f,80:15,80:16","dtypep":"(IC)","access":"WR","varp":"(MX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(OY)","loc":"f,80:15,80:16","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PY)","loc":"f,80:12,80:14","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(QY)","loc":"f,80:15,80:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(RY)","loc":"f,80:16,80:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(SY)","loc":"f,80:16,80:20","dtypep":"(IC)","access":"RD","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(TY)","loc":"f,80:16,80:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(UY)","loc":"f,80:12,80:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(VY)","loc":"f,80:7,80:11","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(WY)","loc":"f,80:12,80:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XY)","loc":"f,80:12,80:14","dtypep":"(IV)", + "rhsp": [ + {"type":"WORDSEL","name":"","addr":"(YY)","loc":"f,80:15,80:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(ZY)","loc":"f,80:15,80:16","dtypep":"(IC)","access":"RD","varp":"(MX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(AZ)","loc":"f,80:15,80:16","dtypep":"(JD)"} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(BZ)","loc":"f,80:12,80:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(CZ)","loc":"f,80:7,80:11","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(DZ)","loc":"f,80:12,80:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(EZ)","loc":"f,80:12,80:14","dtypep":"(IV)", + "rhsp": [ + {"type":"WORDSEL","name":"","addr":"(FZ)","loc":"f,80:15,80:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(GZ)","loc":"f,80:15,80:16","dtypep":"(IC)","access":"RD","varp":"(MX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(HZ)","loc":"f,80:15,80:16","dtypep":"(JD)"} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(IZ)","loc":"f,80:12,80:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(JZ)","loc":"f,80:7,80:11","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(KZ)","loc":"f,80:12,80:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LZ)","loc":"f,80:12,80:14","dtypep":"(IV)", + "rhsp": [ + {"type":"WORDSEL","name":"","addr":"(MZ)","loc":"f,80:15,80:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_1","addr":"(NZ)","loc":"f,80:15,80:16","dtypep":"(IC)","access":"RD","varp":"(MX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(OZ)","loc":"f,80:15,80:16","dtypep":"(JD)"} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(PZ)","loc":"f,80:12,80:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"little.i128","addr":"(QZ)","loc":"f,80:7,80:11","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(RZ)","loc":"f,80:12,80:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(SZ)","loc":"f,79:11,79:13","dtypep":"(HR)", + "rhsp": [ + {"type":"AND","name":"","addr":"(TZ)","loc":"f,79:14,79:15","dtypep":"(HR)", + "lhsp": [ + {"type":"CONST","name":"64'h1ffffffffffff","addr":"(UZ)","loc":"f,79:14,79:15","dtypep":"(XG)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(VZ)","loc":"f,79:14,79:15","dtypep":"(HR)", + "lhsp": [ + {"type":"VARREF","name":"little.i48","addr":"(WZ)","loc":"f,79:15,79:18","dtypep":"(HR)","access":"RD","varp":"(IR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"little.i48","addr":"(XZ)","loc":"f,79:7,79:10","dtypep":"(HR)","access":"WR","varp":"(IR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(YZ)","loc":"f,78:10,78:12","dtypep":"(DR)", + "rhsp": [ + {"type":"AND","name":"","addr":"(ZZ)","loc":"f,78:13,78:14","dtypep":"(DR)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(AAB)","loc":"f,78:13,78:14","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(BAB)","loc":"f,78:13,78:14","dtypep":"(DR)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CAB)","loc":"f,78:14,78:16","dtypep":"(DR)","size":32, + "lhsp": [ + {"type":"VARREF","name":"little.i8","addr":"(DAB)","loc":"f,78:14,78:16","dtypep":"(DR)","access":"RD","varp":"(ER)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"little.i8","addr":"(EAB)","loc":"f,78:7,78:9","dtypep":"(DR)","access":"WR","varp":"(ER)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(FAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(GAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(HAB)","loc":"f,63:16,63:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(IAB)","loc":"f,63:16,63:20","dtypep":"(RC)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(JAB)","loc":"f,63:16,63:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(KAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_4","addr":"(LAB)","loc":"f,63:15,63:16","dtypep":"(RC)","access":"WR","varp":"(NX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(MAB)","loc":"f,63:15,63:16","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(NAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(OAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(PAB)","loc":"f,63:16,63:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(QAB)","loc":"f,63:16,63:20","dtypep":"(RC)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(RAB)","loc":"f,63:16,63:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(SAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_4","addr":"(TAB)","loc":"f,63:15,63:16","dtypep":"(RC)","access":"WR","varp":"(NX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(UAB)","loc":"f,63:15,63:16","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(WAB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(XAB)","loc":"f,63:16,63:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(YAB)","loc":"f,63:16,63:20","dtypep":"(RC)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(ZAB)","loc":"f,63:16,63:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(ABB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_4","addr":"(BBB)","loc":"f,63:15,63:16","dtypep":"(RC)","access":"WR","varp":"(NX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(CBB)","loc":"f,63:15,63:16","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(DBB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "rhsp": [ + {"type":"NOT","name":"","addr":"(EBB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(FBB)","loc":"f,63:16,63:20","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(GBB)","loc":"f,63:16,63:20","dtypep":"(RC)","access":"RD","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(HBB)","loc":"f,63:16,63:20","dtypep":"(JD)"} + ]} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(IBB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(JBB)","loc":"f,63:7,63:11","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h0","addr":"(KBB)","loc":"f,63:12,63:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LBB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "rhsp": [ + {"type":"WORDSEL","name":"","addr":"(MBB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_4","addr":"(NBB)","loc":"f,63:15,63:16","dtypep":"(RC)","access":"RD","varp":"(NX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(OBB)","loc":"f,63:15,63:16","dtypep":"(JD)"} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(PBB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(QBB)","loc":"f,63:7,63:11","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h1","addr":"(RBB)","loc":"f,63:12,63:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SBB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "rhsp": [ + {"type":"WORDSEL","name":"","addr":"(TBB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_4","addr":"(UBB)","loc":"f,63:15,63:16","dtypep":"(RC)","access":"RD","varp":"(NX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(VBB)","loc":"f,63:15,63:16","dtypep":"(JD)"} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(WBB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(XBB)","loc":"f,63:7,63:11","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h2","addr":"(YBB)","loc":"f,63:12,63:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZBB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "rhsp": [ + {"type":"WORDSEL","name":"","addr":"(ACB)","loc":"f,63:15,63:16","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"__Vtemp_4","addr":"(BCB)","loc":"f,63:15,63:16","dtypep":"(RC)","access":"RD","varp":"(NX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(CCB)","loc":"f,63:15,63:16","dtypep":"(JD)"} + ]} + ], + "lhsp": [ + {"type":"WORDSEL","name":"","addr":"(DCB)","loc":"f,63:12,63:14","dtypep":"(IV)", + "fromp": [ + {"type":"VARREF","name":"neg.i128","addr":"(ECB)","loc":"f,63:7,63:11","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"32'h3","addr":"(FCB)","loc":"f,63:12,63:14","dtypep":"(JD)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(GCB)","loc":"f,62:11,62:13","dtypep":"(RR)", + "rhsp": [ + {"type":"AND","name":"","addr":"(HCB)","loc":"f,62:14,62:15","dtypep":"(RR)", + "lhsp": [ + {"type":"CONST","name":"64'hffffffffffff","addr":"(ICB)","loc":"f,62:14,62:15","dtypep":"(XG)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(JCB)","loc":"f,62:14,62:15","dtypep":"(RR)", + "lhsp": [ + {"type":"VARREF","name":"neg.i48","addr":"(KCB)","loc":"f,62:15,62:18","dtypep":"(RR)","access":"RD","varp":"(SR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"neg.i48","addr":"(LCB)","loc":"f,62:7,62:10","dtypep":"(RR)","access":"WR","varp":"(SR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(MCB)","loc":"f,61:10,61:12","dtypep":"(DR)", + "rhsp": [ + {"type":"AND","name":"","addr":"(NCB)","loc":"f,61:13,61:14","dtypep":"(DR)", + "lhsp": [ + {"type":"CONST","name":"32'hff","addr":"(OCB)","loc":"f,61:13,61:14","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(PCB)","loc":"f,61:13,61:14","dtypep":"(DR)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(QCB)","loc":"f,61:14,61:16","dtypep":"(DR)","size":32, + "lhsp": [ + {"type":"VARREF","name":"neg.i8","addr":"(RCB)","loc":"f,61:14,61:16","dtypep":"(DR)","access":"RD","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"neg.i8","addr":"(SCB)","loc":"f,61:7,61:9","dtypep":"(DR)","access":"WR","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(TCB)","loc":"f,21:21,21:23","dtypep":"(AC)", + "rhsp": [ + {"type":"COND","name":"","addr":"(UCB)","loc":"f,21:24,21:25","dtypep":"(WU)", + "condp": [ + {"type":"CCAST","name":"","addr":"(VCB)","loc":"f,21:10,21:15","dtypep":"(ED)","size":32, + "lhsp": [ + {"type":"VARREF","name":"RESET","addr":"(WCB)","loc":"f,21:10,21:15","dtypep":"(ED)","access":"RD","varp":"(FK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thenp": [ + {"type":"CONST","name":"32'sh0","addr":"(XCB)","loc":"f,21:24,21:25","dtypep":"(WU)"} + ], + "elsep": [ + {"type":"ADD","name":"","addr":"(YCB)","loc":"f,22:22,22:23","dtypep":"(AC)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZCB)","loc":"f,22:24,22:25","dtypep":"(JD)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(ADB)","loc":"f,22:24,22:25","dtypep":"(WU)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"val","addr":"(BDB)","loc":"f,22:18,22:21","dtypep":"(AC)","access":"RD","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__val","addr":"(CDB)","loc":"f,21:17,21:20","dtypep":"(AC)","access":"WR","varp":"(CX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(DDB)","loc":"f,23:14,23:16","dtypep":"(EDB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(FDB)","loc":"f,23:20,23:21","dtypep":"(EDB)", + "lhsp": [ + {"type":"CONST","name":"32'h3","addr":"(GDB)","loc":"f,23:20,23:21","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"VARREF","name":"val","addr":"(HDB)","loc":"f,23:17,23:20","dtypep":"(EDB)","access":"RD","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdlyvval__vec__v0","addr":"(IDB)","loc":"f,23:14,23:16","dtypep":"(EDB)","access":"WR","varp":"(FX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(JDB)","loc":"f,24:14,24:16","dtypep":"(EDB)", + "rhsp": [ + {"type":"AND","name":"","addr":"(KDB)","loc":"f,24:20,24:21","dtypep":"(EDB)", + "lhsp": [ + {"type":"CONST","name":"32'h3","addr":"(LDB)","loc":"f,24:20,24:21","dtypep":"(JD)"} + ], + "rhsp": [ + {"type":"SHIFTR","name":"","addr":"(MDB)","loc":"f,24:20,24:21","dtypep":"(EDB)", + "lhsp": [ + {"type":"VARREF","name":"val","addr":"(NDB)","loc":"f,24:17,24:20","dtypep":"(AC)","access":"RD","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h2","addr":"(ODB)","loc":"f,24:23,24:24","dtypep":"(PDB)"} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdlyvval__vec__v1","addr":"(QDB)","loc":"f,24:14,24:16","dtypep":"(EDB)","access":"WR","varp":"(JX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(RDB)","loc":"f,21:17,21:20","dtypep":"(AC)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__val","addr":"(SDB)","loc":"f,21:17,21:20","dtypep":"(AC)","access":"RD","varp":"(CX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"val","addr":"(TDB)","loc":"f,21:17,21:20","dtypep":"(AC)","access":"WR","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(UDB)","loc":"f,23:14,23:16","dtypep":"(EDB)", + "rhsp": [ + {"type":"VARREF","name":"__Vdlyvval__vec__v0","addr":"(VDB)","loc":"f,23:14,23:16","dtypep":"(EDB)","access":"RD","varp":"(FX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(WDB)","loc":"f,23:14,23:16","dtypep":"(EDB)", + "fromp": [ + {"type":"VARREF","name":"vec","addr":"(XDB)","loc":"f,23:7,23:10","dtypep":"(XB)","access":"WR","varp":"(XQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"3'h0","addr":"(YDB)","loc":"f,23:11,23:12","dtypep":"(ZDB)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(AEB)","loc":"f,24:14,24:16","dtypep":"(EDB)", + "rhsp": [ + {"type":"VARREF","name":"__Vdlyvval__vec__v1","addr":"(BEB)","loc":"f,24:14,24:16","dtypep":"(EDB)","access":"RD","varp":"(JX)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"ARRAYSEL","name":"","addr":"(CEB)","loc":"f,24:14,24:16","dtypep":"(EDB)", + "fromp": [ + {"type":"VARREF","name":"vec","addr":"(DEB)","loc":"f,24:7,24:10","dtypep":"(XB)","access":"WR","varp":"(XQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "bitp": [ + {"type":"CONST","name":"3'h1","addr":"(EEB)","loc":"f,24:11,24:12","dtypep":"(ZDB)"} + ]} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(FEB)","loc":"f,29:12,29:14","dtypep":"(ED)", + "rhsp": [ + {"type":"VARREF","name":"GSR","addr":"(GEB)","loc":"f,29:20,29:23","dtypep":"(ED)","access":"RD","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"RESET","addr":"(HEB)","loc":"f,29:6,29:11","dtypep":"(ED)","access":"WR","varp":"(FK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(IEB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(JEB)","loc":"f,8:17,8:20", + "varrefp": [ + {"type":"VARREF","name":"CLK","addr":"(KEB)","loc":"f,8:17,8:20","dtypep":"(J)","access":"WR","varp":"(QU)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(LEB)","loc":"f,9:17,9:22", + "varrefp": [ + {"type":"VARREF","name":"RESET","addr":"(MEB)","loc":"f,9:17,9:22","dtypep":"(J)","access":"WR","varp":"(FK)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(NEB)","loc":"f,17:16,17:19", + "varrefp": [ + {"type":"VARREF","name":"vec","addr":"(OEB)","loc":"f,17:16,17:19","dtypep":"(XB)","access":"WR","varp":"(XQ)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PEB)","loc":"f,19:16,19:19", + "varrefp": [ + {"type":"VARREF","name":"val","addr":"(QEB)","loc":"f,19:16,19:19","dtypep":"(AC)","access":"WR","varp":"(AR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(REB)","loc":"f,56:15,56:17", + "varrefp": [ + {"type":"VARREF","name":"neg.i8","addr":"(SEB)","loc":"f,56:15,56:17","dtypep":"(NC)","access":"WR","varp":"(OR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TEB)","loc":"f,57:17,57:20", + "varrefp": [ + {"type":"VARREF","name":"neg.i48","addr":"(UEB)","loc":"f,57:17,57:20","dtypep":"(PC)","access":"WR","varp":"(SR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VEB)","loc":"f,58:17,58:21", + "varrefp": [ + {"type":"VARREF","name":"neg.i128","addr":"(WEB)","loc":"f,58:17,58:21","dtypep":"(RC)","access":"WR","varp":"(VR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XEB)","loc":"f,72:14,72:16", + "varrefp": [ + {"type":"VARREF","name":"little.i8","addr":"(YEB)","loc":"f,72:14,72:16","dtypep":"(EC)","access":"WR","varp":"(ER)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZEB)","loc":"f,73:15,73:18", + "varrefp": [ + {"type":"VARREF","name":"little.i48","addr":"(AFB)","loc":"f,73:15,73:18","dtypep":"(GC)","access":"WR","varp":"(IR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BFB)","loc":"f,74:17,74:21", + "varrefp": [ + {"type":"VARREF","name":"little.i128","addr":"(CFB)","loc":"f,74:17,74:21","dtypep":"(IC)","access":"WR","varp":"(LR)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CUSE","name":"glbl","addr":"(DFB)","loc":"f,14:9,14:13","useType":"INT_FWD"} + ],"activesp": []}, + {"type":"MODULE","name":"glbl","addr":"(SU)","loc":"f,33:8,33:12","origName":"glbl","level":3,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"GSR","addr":"(CS)","loc":"f,47:8,47:11","dtypep":"(J)","origName":"GSR","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"SCOPE","name":"t.glbl","addr":"(EFB)","loc":"f,14:9,14:13","aboveScopep":"(TU)","aboveCellp":"(RU)","modp":"(SU)","varsp": [],"blocksp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(FFB)","loc":"f,33:8,33:12","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(GFB)","loc":"f,47:8,47:11", + "varrefp": [ + {"type":"VARREF","name":"GSR","addr":"(HFB)","loc":"f,47:8,47:11","dtypep":"(J)","access":"WR","varp":"(CS)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt__Syms.cpp","addr":"(IFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt__Syms.h","addr":"(JFB)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt__Dpi.h","addr":"(KFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt__Dpi.cpp","addr":"(LFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt.h","addr":"(MFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt.cpp","addr":"(NFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_$root.h","addr":"(OFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_t.h","addr":"(PFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_glbl.h","addr":"(QFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_$root__Slow.cpp","addr":"(RFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_$root__DepSet_h57c3739d__0__Slow.cpp","addr":"(SFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_$root__DepSet_h1fa4e909__0__Slow.cpp","addr":"(TFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_$root__DepSet_h57c3739d__0.cpp","addr":"(UFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_$root__DepSet_h1fa4e909__0.cpp","addr":"(VFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_t__Slow.cpp","addr":"(WFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_t__DepSet_h4ca1d5da__0__Slow.cpp","addr":"(XFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_t__DepSet_h3ac446c2__0.cpp","addr":"(YFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_glbl__Slow.cpp","addr":"(ZFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt_glbl__DepSet_h149371c9__0__Slow.cpp","addr":"(AGB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt__Trace__0__Slow.cpp","addr":"(BGB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt__TraceDecls__0__Slow.cpp","addr":"(CGB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_trace_public_sig_vlt/Vt_trace_public_sig_vlt__Trace__0.cpp","addr":"(DGB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(HB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(HB)","loc":"d,51:21,51:30","dtypep":"(HB)","generic":false}, + {"type":"BASICDTYPE","name":"logic","addr":"(EC)","loc":"f,72:4,72:7","dtypep":"(EC)","keyword":"logic","range":"0:7","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GC)","loc":"f,73:4,73:7","dtypep":"(GC)","keyword":"logic","range":"1:49","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(IC)","loc":"f,74:4,74:7","dtypep":"(IC)","keyword":"logic","range":"63:190","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NC)","loc":"f,56:4,56:7","dtypep":"(NC)","keyword":"logic","range":"0:-7","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PC)","loc":"f,57:4,57:7","dtypep":"(PC)","keyword":"logic","range":"-1:-48","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RC)","loc":"f,58:4,58:7","dtypep":"(RC)","keyword":"logic","range":"63:-64","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(EGB)","loc":"f,17:4,17:9","dtypep":"(EGB)","keyword":"logic","range":"2:1","generic":true,"rangep": []}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(XB)","loc":"f,17:20,17:21","dtypep":"(XB)","isCompound":false,"declRange":"[4:3]","generic":false,"refDTypep":"(EGB)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(FGB)","loc":"f,17:20,17:21","ascending":false, + "leftp": [ + {"type":"CONST","name":"32'sh4","addr":"(GGB)","loc":"f,17:21,17:22","dtypep":"(WU)"} + ], + "rightp": [ + {"type":"CONST","name":"32'sh3","addr":"(HGB)","loc":"f,17:23,17:24","dtypep":"(WU)"} + ]} + ]}, + {"type":"BASICDTYPE","name":"integer","addr":"(AC)","loc":"f,19:4,19:11","dtypep":"(AC)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GX)","loc":"f,23:20,23:21","dtypep":"(GX)","keyword":"logic","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WU)","loc":"f,17:21,17:22","dtypep":"(WU)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(JD)","loc":"f,23:21,23:22","dtypep":"(JD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(M)","loc":"f,17:16,17:19","dtypep":"(M)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(U)","loc":"f,7:8,7:9","dtypep":"(U)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(AH)","loc":"f,7:8,7:9","dtypep":"(AH)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(XG)","loc":"f,7:8,7:9","dtypep":"(XG)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(Q)","loc":"f,7:8,7:9","dtypep":"(Q)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(W)","loc":"f,7:8,7:9","dtypep":"(W)","keyword":"VlTriggerVec","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(IGB)","loc":"f,7:8,7:9","dtypep":"(IGB)","keyword":"bit","generic":false,"rangep": []}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(S)","loc":"f,7:8,7:9","dtypep":"(S)","isCompound":false,"declRange":"[1:0]","generic":false,"refDTypep":"(IGB)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(JGB)","loc":"f,7:8,7:9","ascending":false, + "leftp": [ + {"type":"CONST","name":"32'h1","addr":"(KGB)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ], + "rightp": [ + {"type":"CONST","name":"32'h0","addr":"(LGB)","loc":"f,7:8,7:9","dtypep":"(JD)"} + ]} + ]}, + {"type":"BASICDTYPE","name":"chandle","addr":"(DP)","loc":"f,7:8,7:9","dtypep":"(DP)","keyword":"chandle","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(ED)","loc":"f,7:8,7:9","dtypep":"(ED)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(DR)","loc":"f,72:14,72:16","dtypep":"(DR)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(HR)","loc":"f,73:15,73:18","dtypep":"(HR)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RR)","loc":"f,57:17,57:20","dtypep":"(RR)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PDB)","loc":"f,23:23,23:24","dtypep":"(PDB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(EDB)","loc":"f,23:20,23:21","dtypep":"(EDB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(ZDB)","loc":"f,23:11,23:12","dtypep":"(ZDB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"IData","addr":"(IV)","loc":"f,58:36,58:37","dtypep":"(IV)","keyword":"IData","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(DU)","loc":"f,8:17,8:20","dtypep":"(DU)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(MGB)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(NGB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(MGB)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_trace_public_sig_vlt.pl b/test_regress/t/t_trace_public_sig_vlt.pl index 60fef27d0..ceb9db1af 100755 --- a/test_regress/t/t_trace_public_sig_vlt.pl +++ b/test_regress/t/t_trace_public_sig_vlt.pl @@ -13,16 +13,16 @@ scenarios(vlt_all => 1); top_filename("t/t_trace_public.v"); golden_filename("t/t_trace_public.out"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( make_top_shell => 0, make_main => 0, - v_flags2 => ["--trace --exe $Self->{t_dir}/t_trace_public_sig.cpp $Self->{t_dir}/t_trace_public_sig.vlt"], + v_flags2 => ["--trace --exe $Self->{t_dir}/t_trace_public_sig.cpp $Self->{t_dir}/t_trace_public_sig.vlt --no-json-edit-nums"], ); if ($Self->{vlt_all}) { - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"GSR",.*"loc":"f,47:[^"]*",.*"origName":"GSR",.*"isSigPublic":true,.*"dtypeName":"logic",.*"isSigUserRdPublic":true.*"isSigUserRWPublic":true/); } execute( diff --git a/test_regress/t/t_unopt_combo_isolate.out b/test_regress/t/t_unopt_combo_isolate.out new file mode 100644 index 000000000..f64528226 --- /dev/null +++ b/test_regress/t/t_unopt_combo_isolate.out @@ -0,0 +1,2124 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"e,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(I)","loc":"e,12:10,12:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(K)","loc":"e,7:8,7:9","dtypep":"(L)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(M)","loc":"e,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(N)","loc":"e,7:8,7:9","dtypep":"(L)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(O)","loc":"e,99:21,99:30","dtypep":"(P)","origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__Vfuncout","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(Q)","loc":"e,13:12,13:15","dtypep":"(R)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.b","addr":"(S)","loc":"e,23:25,23:26","dtypep":"(T)","origName":"b","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.d","addr":"(U)","loc":"e,25:25,25:26","dtypep":"(T)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(V)","loc":"e,100:20,100:25","dtypep":"(T)","origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__t_crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(W)","loc":"e,112:20,112:25","dtypep":"(T)","origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(X)","loc":"e,113:20,113:23","dtypep":"(T)","origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_c","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(Y)","loc":"e,7:8,7:9","dtypep":"(Z)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.crc","addr":"(AB)","loc":"e,14:15,14:18","dtypep":"(BB)","origName":"crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.sum","addr":"(CB)","loc":"e,15:15,15:18","dtypep":"(BB)","origName":"sum","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(DB)","loc":"e,7:8,7:9","dtypep":"(EB)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(FB)","loc":"e,7:8,7:9","dtypep":"(GB)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(HB)","loc":"e,7:8,7:9","dtypep":"(GB)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"e,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(IB)","loc":"e,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(JB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(KB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(LB)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MB)","loc":"e,7:8,7:9","dtypep":"(NB)","funcName":"_eval_initial__TOP","funcp":"(OB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(PB)","loc":"e,36:22,36:25","dtypep":"(QB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(RB)","loc":"e,36:22,36:25","dtypep":"(QB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(SB)","loc":"e,36:22,36:25","dtypep":"(QB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(OB)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(TB)","loc":"e,13:29,13:30","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(UB)","loc":"e,13:31,13:32","dtypep":"(VB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(WB)","loc":"e,13:25,13:28","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(XB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(YB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(ZB)","loc":"e,7:8,7:9","dtypep":"(Z)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(AC)","loc":"e,7:8,7:9","dtypep":"(L)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(BC)","loc":"e,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(CC)","loc":"e,7:8,7:9","dtypep":"(T)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(DC)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(EC)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(FC)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(GC)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HC)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(IC)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(JC)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(KC)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(LC)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MC)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(OC)","loc":"a,0:0,0:0","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(PC)","loc":"a,0:0,0:0","dtypep":"(Z)","access":"RD","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(QC)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RC)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(SC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TC)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__stl","funcp":"(UC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(VC)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(WC)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_unopt_combo.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(XC)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(YC)","loc":"e,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(ZC)","loc":"e,7:8,7:9","dtypep":"(Z)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AD)","loc":"e,7:8,7:9","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BD)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(CD)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"RD","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(DD)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ED)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(FD)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(GD)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(HD)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ID)","loc":"a,0:0,0:0","dtypep":"(QB)","funcName":"_eval_phase__stl","funcp":"(JD)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(KD)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(LD)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(MD)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(ND)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(OD)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(PD)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(QD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(RD)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(SD)","loc":"e,7:8,7:9","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(TD)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(UD)","loc":"e,7:8,7:9","dtypep":"(T)"}, + {"type":"CCAST","name":"","addr":"(VD)","loc":"e,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(WD)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(XD)","loc":"e,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(YD)","loc":"e,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(ZD)","loc":"e,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(AE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BE)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__stl","funcp":"(UC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(CE)","loc":"e,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(DE)","loc":"e,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(UC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(EE)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(FE)","loc":"e,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(GE)","loc":"e,7:8,7:9","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HE)","loc":"e,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IE)","loc":"e,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JE)","loc":"e,7:8,7:9","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(KE)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LE)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ME)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(NE)","loc":"e,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(OE)","loc":"e,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(PE)","loc":"e,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(RE)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(SE)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(TE)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_stl_sequent__TOP__0","addr":"(UE)","loc":"e,84:16,84:17","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"t.c","addr":"(VE)","loc":"e,24:25,24:26","dtypep":"(T)","origName":"t__DOT__c","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(WE)","loc":"e,24:25,24:26", + "varrefp": [ + {"type":"VARREF","name":"t.c","addr":"(XE)","loc":"e,24:25,24:26","dtypep":"(T)","access":"WR","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YE)","loc":"e,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(ZE)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(AF)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CF)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(DF)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EF)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(FF)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(GF)","loc":"e,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(HF)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(IF)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(JF)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(KF)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LF)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MF)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(NF)","loc":"e,93:20,93:23","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OF)","loc":"e,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(PF)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(QF)","loc":"e,112:20,112:25","dtypep":"(T)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(RF)","loc":"e,85:14,85:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(SF)","loc":"e,85:26,85:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(TF)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(UF)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(VF)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(WF)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(XF)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(YF)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(ZF)","loc":"e,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(AG)","loc":"e,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BG)","loc":"e,85:20,85:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DG)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(EG)","loc":"e,85:26,85:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"EXPRSTMT","name":"","addr":"(FG)","loc":"e,85:27,85:36","dtypep":"(CG)", + "stmtsp": [ + {"type":"COMMENT","name":"Function: get_31_16","addr":"(GG)","loc":"e,85:27,85:36"}, + {"type":"ASSIGN","name":"","addr":"(HG)","loc":"e,85:37,85:40","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(IG)","loc":"e,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(JG)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(KG)","loc":"e,100:20,100:25","dtypep":"(T)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LG)","loc":"e,101:17,101:18","dtypep":"(CG)", + "rhsp": [ + {"type":"SHIFTR","name":"","addr":"(MG)","loc":"e,101:24,101:25","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(NG)","loc":"e,101:19,101:24","dtypep":"(T)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(OG)","loc":"e,101:28,101:30","dtypep":"(PG)"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(QG)","loc":"e,101:7,101:16","dtypep":"(CG)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "resultp": [ + {"type":"CCAST","name":"","addr":"(RG)","loc":"e,85:27,85:36","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(SG)","loc":"e,85:27,85:36","dtypep":"(CG)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(TG)","loc":"e,89:26,89:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(UG)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(VG)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(WG)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(XG)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(YG)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(ZG)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(AH)","loc":"e,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(BH)","loc":"e,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CH)","loc":"e,89:20,89:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DH)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(EH)","loc":"e,89:26,89:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(FH)","loc":"e,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(GH)","loc":"e,89:27,89:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HH)","loc":"e,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IH)","loc":"e,89:31,89:32","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(JH)","loc":"e,89:31,89:32","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(KH)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(LH)","loc":"e,89:35,89:37","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(MH)","loc":"e,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(NH)","loc":"e,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(OH)","loc":"e,119:27,119:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(PH)","loc":"e,119:15,119:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(QH)","loc":"e,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(RH)","loc":"e,119:28,119:29","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(SH)","loc":"e,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(TH)","loc":"e,119:34,119:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(UH)","loc":"e,119:29,119:34","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(VH)","loc":"e,119:38,119:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(WH)","loc":"e,85:12,85:13","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XH)","loc":"e,137:14,137:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(YH)","loc":"e,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(ZH)","loc":"e,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AI)","loc":"e,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BI)","loc":"e,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(CI)","loc":"e,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DI)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h3","addr":"(EI)","loc":"e,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"COND","name":"","addr":"(FI)","loc":"e,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(GI)","loc":"e,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(HI)","loc":"e,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(II)","loc":"e,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(JI)","loc":"e,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(KI)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(LI)","loc":"e,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"AND","name":"","addr":"(MI)","loc":"e,137:24,137:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(NI)","loc":"e,137:24,137:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(OI)","loc":"e,137:17,137:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(PI)","loc":"e,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h2","addr":"(QI)","loc":"e,134:25,134:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(SI)","loc":"e,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(TI)","loc":"e,134:24,134:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(UI)","loc":"e,134:17,134:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(VI)","loc":"e,131:24,131:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(WI)","loc":"e,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(XI)","loc":"e,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(YI)","loc":"e,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(ZI)","loc":"e,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(AJ)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(BJ)","loc":"e,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(CJ)","loc":"e,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h1","addr":"(DJ)","loc":"e,131:25,131:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(EJ)","loc":"e,131:18,131:19","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(FJ)","loc":"e,131:24,131:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(GJ)","loc":"e,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(HJ)","loc":"e,131:17,131:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(IJ)","loc":"e,131:24,131:25","dtypep":"(T)"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(JJ)","loc":"e,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h3","addr":"(KJ)","loc":"e,128:25,128:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(LJ)","loc":"e,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(MJ)","loc":"e,128:17,128:18","dtypep":"(NJ)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(OJ)","loc":"e,128:24,128:25","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(PJ)","loc":"e,137:12,137:13","dtypep":"(T)","access":"WR","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(QJ)","loc":"e,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(RJ)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(SJ)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TJ)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UJ)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VJ)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WJ)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(XJ)","loc":"e,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(YJ)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(ZJ)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(AK)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(BK)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(CK)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DK)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(EK)","loc":"e,93:25,93:26","dtypep":"(T)", + "rhsp": [ + {"type":"VARREF","name":"t.c","addr":"(FK)","loc":"e,93:25,93:26","dtypep":"(T)","access":"RD","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(GK)","loc":"e,113:20,113:23","dtypep":"(T)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HK)","loc":"e,86:14,86:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(IK)","loc":"e,86:16,86:17","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(JK)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(KK)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(LK)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(MK)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NK)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(OK)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"VARREF","name":"t.c","addr":"(PK)","loc":"e,86:16,86:17","dtypep":"(T)","access":"RD","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(QK)","loc":"e,90:26,90:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(RK)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(SK)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TK)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UK)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VK)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WK)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(XK)","loc":"e,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(YK)","loc":"e,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZK)","loc":"e,90:20,90:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(AL)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(BL)","loc":"e,90:26,90:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CL)","loc":"e,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(DL)","loc":"e,90:27,90:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EL)","loc":"e,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(FL)","loc":"e,90:29,90:30","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(GL)","loc":"e,90:28,90:29","dtypep":"(T)","access":"RD","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(HL)","loc":"e,90:33,90:35","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(IL)","loc":"e,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(JL)","loc":"e,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(KL)","loc":"e,120:27,120:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(LL)","loc":"e,120:15,120:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(ML)","loc":"e,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(NL)","loc":"e,120:30,120:31","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(OL)","loc":"e,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(PL)","loc":"e,120:34,120:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(QL)","loc":"e,120:31,120:34","dtypep":"(T)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(RL)","loc":"e,120:38,120:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(SL)","loc":"e,86:12,86:13","dtypep":"(T)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(TL)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(UL)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(VL)","loc":"e,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(WL)","loc":"e,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(XL)","loc":"e,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(YL)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(ZL)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(AM)","loc":"e,84:16,84:17", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BM)","loc":"e,84:16,84:17","dtypep":"(NB)","funcName":"_stl_sequent__TOP__0","funcp":"(UE)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(JD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(CM)","loc":"e,7:8,7:9","dtypep":"(L)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(DM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EM)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_triggers__stl","funcp":"(QD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(FM)","loc":"a,0:0,0:0","dtypep":"(QB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GM)","loc":"a,0:0,0:0","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(HM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(IM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(CM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JM)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(KM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(CM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(LM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MM)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_stl","funcp":"(TL)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(NM)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(OM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(CM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(PM)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(QM)","loc":"e,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(RM)","loc":"e,7:8,7:9","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SM)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TM)","loc":"e,7:8,7:9","dtypep":"(T)"}, + {"type":"AND","name":"","addr":"(UM)","loc":"e,36:14,36:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VM)","loc":"e,36:22,36:25","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(WM)","loc":"e,36:22,36:25","dtypep":"(QB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(XM)","loc":"e,36:14,36:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YM)","loc":"e,36:14,36:21","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(ZM)","loc":"e,36:14,36:21","dtypep":"(QB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(AN)","loc":"e,36:22,36:25","dtypep":"(QB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(BN)","loc":"e,36:22,36:25","dtypep":"(QB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(CN)","loc":"e,36:22,36:25","dtypep":"(QB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(DN)","loc":"e,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(EN)","loc":"e,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(FN)","loc":"e,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(GN)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HN)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__act","funcp":"(IN)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(JN)","loc":"e,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(KN)","loc":"e,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(IN)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(LN)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(MN)","loc":"e,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(NN)","loc":"e,7:8,7:9","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(ON)","loc":"e,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PN)","loc":"e,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(QN)","loc":"e,7:8,7:9","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(RN)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(SN)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(TN)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(UN)","loc":"e,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(VN)","loc":"e,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(WN)","loc":"e,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XN)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(YN)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(ZN)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(AO)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(BO)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(CO)","loc":"e,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(DO)","loc":"e,7:8,7:9","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EO)","loc":"e,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FO)","loc":"e,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GO)","loc":"e,7:8,7:9","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HO)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(IO)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JO)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(KO)","loc":"e,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(LO)","loc":"e,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(MO)","loc":"e,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(NO)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(OO)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(PO)","loc":"e,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(QO)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(RO)","loc":"e,42:7,42:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"t.c","addr":"(SO)","loc":"e,24:25,24:26","dtypep":"(T)","origName":"t__DOT__c","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(TO)","loc":"e,24:25,24:26", + "varrefp": [ + {"type":"VARREF","name":"t.c","addr":"(UO)","loc":"e,24:25,24:26","dtypep":"(T)","access":"WR","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(VO)","loc":"e,13:12,13:15","dtypep":"(R)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(WO)","loc":"e,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(XO)","loc":"e,13:12,13:15","dtypep":"(R)","access":"WR","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdly__t.crc","addr":"(YO)","loc":"e,14:15,14:18","dtypep":"(BB)","origName":"__Vdly__t__DOT__crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(ZO)","loc":"e,14:15,14:18", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(AP)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdly__t.sum","addr":"(BP)","loc":"e,15:15,15:18","dtypep":"(BB)","origName":"__Vdly__t__DOT__sum","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(CP)","loc":"e,15:15,15:18", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(DP)","loc":"e,15:15,15:18","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(EP)","loc":"e,42:7,42:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(FP)","loc":"e,42:7,42:10","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(GP)","loc":"e,42:7,42:10","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPRE","name":"","addr":"(HP)","loc":"e,40:7,40:10","dtypep":"(R)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(IP)","loc":"e,40:7,40:10","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(JP)","loc":"e,40:7,40:10","dtypep":"(R)","access":"WR","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPRE","name":"","addr":"(KP)","loc":"e,41:7,41:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(LP)","loc":"e,41:7,41:10","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(MP)","loc":"e,41:7,41:10","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(NP)","loc":"e,40:11,40:13","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(OP)","loc":"e,40:18,40:19","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PP)","loc":"e,40:20,40:21","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(QP)","loc":"e,40:20,40:21","dtypep":"(VB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(RP)","loc":"e,40:14,40:17","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(SP)","loc":"e,40:7,40:10","dtypep":"(R)","access":"WR","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(TP)","loc":"e,41:11,41:13","dtypep":"(BB)", + "rhsp": [ + {"type":"OR","name":"","addr":"(UP)","loc":"e,41:24,41:25","dtypep":"(BB)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(VP)","loc":"e,41:24,41:25","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WP)","loc":"e,41:15,41:18","dtypep":"(XP)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(YP)","loc":"e,41:24,41:25","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZP)","loc":"e,41:24,41:25","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AQ)","loc":"e,41:43,41:44","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"AND","name":"","addr":"(BQ)","loc":"e,41:43,41:44","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(CQ)","loc":"e,41:43,41:44","dtypep":"(QB)"} + ], + "rhsp": [ + {"type":"REDXOR","name":"","addr":"(DQ)","loc":"e,41:26,41:29","dtypep":"(QB)", + "lhsp": [ + {"type":"AND","name":"","addr":"(EQ)","loc":"e,41:26,41:29","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h8000000000000005","addr":"(FQ)","loc":"e,41:26,41:29","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(GQ)","loc":"e,41:26,41:29","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(HQ)","loc":"e,41:7,41:10","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(IQ)","loc":"e,42:11,42:13","dtypep":"(BB)", + "rhsp": [ + {"type":"XOR","name":"","addr":"(JQ)","loc":"e,43:14,43:15","dtypep":"(BB)", + "lhsp": [ + {"type":"OR","name":"","addr":"(KQ)","loc":"e,42:16,42:17","dtypep":"(BB)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(LQ)","loc":"e,42:16,42:17","dtypep":"(BB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MQ)","loc":"e,42:16,42:17","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NQ)","loc":"e,42:15,42:16","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(OQ)","loc":"e,42:15,42:16","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h20","addr":"(PQ)","loc":"e,42:16,42:17","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(QQ)","loc":"e,42:16,42:17","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RQ)","loc":"e,42:18,42:19","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(SQ)","loc":"e,42:18,42:19","dtypep":"(T)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "rhsp": [ + {"type":"OR","name":"","addr":"(TQ)","loc":"e,43:26,43:27","dtypep":"(BB)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(UQ)","loc":"e,43:26,43:27","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(VQ)","loc":"e,43:17,43:20","dtypep":"(XP)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(WQ)","loc":"e,43:26,43:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(XQ)","loc":"e,43:26,43:27","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YQ)","loc":"e,43:45,43:46","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"AND","name":"","addr":"(ZQ)","loc":"e,43:45,43:46","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AR)","loc":"e,43:45,43:46","dtypep":"(QB)"} + ], + "rhsp": [ + {"type":"REDXOR","name":"","addr":"(BR)","loc":"e,43:28,43:31","dtypep":"(QB)", + "lhsp": [ + {"type":"AND","name":"","addr":"(CR)","loc":"e,43:28,43:31","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h8000000000000005","addr":"(DR)","loc":"e,43:28,43:31","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(ER)","loc":"e,43:28,43:31","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(FR)","loc":"e,42:7,42:10","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(GR)","loc":"e,44:7,44:9", + "condp": [ + {"type":"EQ","name":"","addr":"(HR)","loc":"e,44:14,44:16","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(IR)","loc":"e,44:16,44:17","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(JR)","loc":"e,44:11,44:14","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(KR)","loc":"e,46:14,46:16","dtypep":"(BB)", + "rhsp": [ + {"type":"CONST","name":"64'h5aef0c8dd70a4497","addr":"(LR)","loc":"e,46:17,46:38","dtypep":"(BB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(MR)","loc":"e,46:10,46:13","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(NR)","loc":"e,48:12,48:14", + "condp": [ + {"type":"GTS","name":"","addr":"(OR)","loc":"e,48:19,48:20","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sha","addr":"(PR)","loc":"e,48:20,48:22","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(QR)","loc":"e,48:16,48:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(RR)","loc":"e,49:14,49:16","dtypep":"(BB)", + "rhsp": [ + {"type":"CONST","name":"64'h0","addr":"(SR)","loc":"e,49:17,49:22","dtypep":"(BB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(TR)","loc":"e,49:10,49:13","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(UR)","loc":"e,51:12,51:14", + "condp": [ + {"type":"LTES","name":"","addr":"(VR)","loc":"e,51:19,51:20","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sh5a","addr":"(WR)","loc":"e,51:20,51:22","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(XR)","loc":"e,51:16,51:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(YR)","loc":"e,53:12,53:14", + "condp": [ + {"type":"EQ","name":"","addr":"(ZR)","loc":"e,53:19,53:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sh63","addr":"(AS)","loc":"e,53:21,53:23","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(BS)","loc":"e,53:16,53:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(CS)","loc":"e,54:10,54:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n[%0t] cyc==%0~ crc=%x %x\\n","addr":"(DS)","loc":"e,54:10,54:16","dtypep":"(ES)", + "exprsp": [ + {"type":"TIME","name":"","addr":"(FS)","loc":"e,55:47,55:52","dtypep":"(QE)","timeunit":"1ps"}, + {"type":"VARREF","name":"t.cyc","addr":"(GS)","loc":"e,55:54,55:57","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"t.crc","addr":"(HS)","loc":"e,55:59,55:62","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"t.sum","addr":"(IS)","loc":"e,55:64,55:67","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"IF","name":"","addr":"(JS)","loc":"e,56:10,56:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(KS)","loc":"e,56:18,56:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"64'hc77bb9b3784ea091","addr":"(LS)","loc":"e,56:22,56:42","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MS)","loc":"e,56:14,56:17","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(NS)","loc":"e,56:44,56:49"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(OS)","loc":"e,57:10,57:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(PS)","loc":"e,57:18,57:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"64'h649ee1713d624dd9","addr":"(QS)","loc":"e,57:22,57:42","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(RS)","loc":"e,57:14,57:17","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(SS)","loc":"e,57:44,57:49"} + ],"elsesp": []}, + {"type":"FINISH","name":"","addr":"(TS)","loc":"e,58:10,58:17"} + ],"elsesp": []} + ],"elsesp": []} + ]} + ]}, + {"type":"ASSIGNPOST","name":"","addr":"(US)","loc":"e,40:7,40:10","dtypep":"(R)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(VS)","loc":"e,40:7,40:10","dtypep":"(R)","access":"RD","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(WS)","loc":"e,40:7,40:10","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(XS)","loc":"e,42:7,42:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(YS)","loc":"e,42:7,42:10","dtypep":"(BB)","access":"RD","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(ZS)","loc":"e,42:7,42:10","dtypep":"(BB)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(AT)","loc":"e,41:7,41:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(BT)","loc":"e,41:7,41:10","dtypep":"(BB)","access":"RD","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(CT)","loc":"e,41:7,41:10","dtypep":"(BB)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(DT)","loc":"e,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(ET)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(FT)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(GT)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(HT)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(IT)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(JT)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(KT)","loc":"e,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(LT)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(MT)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(NT)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(OT)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PT)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(QT)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(RT)","loc":"e,93:20,93:23","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ST)","loc":"e,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(TT)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(UT)","loc":"e,112:20,112:25","dtypep":"(T)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(VT)","loc":"e,85:14,85:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(WT)","loc":"e,85:26,85:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(XT)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(YT)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(ZT)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(AU)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BU)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(CU)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(DU)","loc":"e,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(EU)","loc":"e,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FU)","loc":"e,85:20,85:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(GU)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(HU)","loc":"e,85:26,85:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"EXPRSTMT","name":"","addr":"(IU)","loc":"e,85:27,85:36","dtypep":"(CG)", + "stmtsp": [ + {"type":"COMMENT","name":"Function: get_31_16","addr":"(JU)","loc":"e,85:27,85:36"}, + {"type":"ASSIGN","name":"","addr":"(KU)","loc":"e,85:37,85:40","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LU)","loc":"e,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MU)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(NU)","loc":"e,100:20,100:25","dtypep":"(T)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(OU)","loc":"e,101:17,101:18","dtypep":"(CG)", + "rhsp": [ + {"type":"SHIFTR","name":"","addr":"(PU)","loc":"e,101:24,101:25","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(QU)","loc":"e,101:19,101:24","dtypep":"(T)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(RU)","loc":"e,101:28,101:30","dtypep":"(PG)"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(SU)","loc":"e,101:7,101:16","dtypep":"(CG)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "resultp": [ + {"type":"CCAST","name":"","addr":"(TU)","loc":"e,85:27,85:36","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(UU)","loc":"e,85:27,85:36","dtypep":"(CG)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(VU)","loc":"e,89:26,89:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(WU)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(XU)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(YU)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(ZU)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(AV)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(BV)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(CV)","loc":"e,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(DV)","loc":"e,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EV)","loc":"e,89:20,89:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(FV)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(GV)","loc":"e,89:26,89:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(HV)","loc":"e,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(IV)","loc":"e,89:27,89:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(JV)","loc":"e,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KV)","loc":"e,89:31,89:32","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(LV)","loc":"e,89:31,89:32","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MV)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(NV)","loc":"e,89:35,89:37","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(OV)","loc":"e,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(PV)","loc":"e,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(QV)","loc":"e,119:27,119:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(RV)","loc":"e,119:15,119:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(SV)","loc":"e,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(TV)","loc":"e,119:28,119:29","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(UV)","loc":"e,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(VV)","loc":"e,119:34,119:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(WV)","loc":"e,119:29,119:34","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(XV)","loc":"e,119:38,119:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(YV)","loc":"e,85:12,85:13","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZV)","loc":"e,137:14,137:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(AW)","loc":"e,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(BW)","loc":"e,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(CW)","loc":"e,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DW)","loc":"e,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(EW)","loc":"e,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(FW)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h3","addr":"(GW)","loc":"e,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"COND","name":"","addr":"(HW)","loc":"e,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(IW)","loc":"e,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JW)","loc":"e,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KW)","loc":"e,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(LW)","loc":"e,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MW)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(NW)","loc":"e,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"AND","name":"","addr":"(OW)","loc":"e,137:24,137:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(PW)","loc":"e,137:24,137:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(QW)","loc":"e,137:17,137:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(RW)","loc":"e,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h2","addr":"(SW)","loc":"e,134:25,134:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TW)","loc":"e,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(UW)","loc":"e,134:24,134:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(VW)","loc":"e,134:17,134:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(WW)","loc":"e,131:24,131:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(XW)","loc":"e,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(YW)","loc":"e,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZW)","loc":"e,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(AX)","loc":"e,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(BX)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(CX)","loc":"e,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(DX)","loc":"e,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h1","addr":"(EX)","loc":"e,131:25,131:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(FX)","loc":"e,131:18,131:19","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(GX)","loc":"e,131:24,131:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(HX)","loc":"e,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(IX)","loc":"e,131:17,131:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JX)","loc":"e,131:24,131:25","dtypep":"(T)"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(KX)","loc":"e,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h3","addr":"(LX)","loc":"e,128:25,128:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(MX)","loc":"e,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(NX)","loc":"e,128:17,128:18","dtypep":"(NJ)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(OX)","loc":"e,128:24,128:25","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(PX)","loc":"e,137:12,137:13","dtypep":"(T)","access":"WR","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(QX)","loc":"e,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(RX)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(SX)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TX)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UX)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VX)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WX)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(XX)","loc":"e,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(YX)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(ZX)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(AY)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(BY)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(CY)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DY)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(EY)","loc":"e,93:25,93:26","dtypep":"(T)", + "rhsp": [ + {"type":"VARREF","name":"t.c","addr":"(FY)","loc":"e,93:25,93:26","dtypep":"(T)","access":"RD","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(GY)","loc":"e,113:20,113:23","dtypep":"(T)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HY)","loc":"e,86:14,86:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(IY)","loc":"e,86:16,86:17","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(JY)","loc":"e,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(KY)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(LY)","loc":"e,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(MY)","loc":"e,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NY)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(OY)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"VARREF","name":"t.c","addr":"(PY)","loc":"e,86:16,86:17","dtypep":"(T)","access":"RD","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(QY)","loc":"e,90:26,90:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(RY)","loc":"e,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(SY)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TY)","loc":"e,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UY)","loc":"e,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VY)","loc":"e,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WY)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(XY)","loc":"e,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(YY)","loc":"e,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZY)","loc":"e,90:20,90:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(AZ)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(BZ)","loc":"e,90:26,90:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CZ)","loc":"e,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(DZ)","loc":"e,90:27,90:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EZ)","loc":"e,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(FZ)","loc":"e,90:29,90:30","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(GZ)","loc":"e,90:28,90:29","dtypep":"(T)","access":"RD","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(HZ)","loc":"e,90:33,90:35","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(IZ)","loc":"e,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(JZ)","loc":"e,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(KZ)","loc":"e,120:27,120:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(LZ)","loc":"e,120:15,120:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(MZ)","loc":"e,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(NZ)","loc":"e,120:30,120:31","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(OZ)","loc":"e,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(PZ)","loc":"e,120:34,120:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(QZ)","loc":"e,120:31,120:34","dtypep":"(T)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(RZ)","loc":"e,120:38,120:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(SZ)","loc":"e,86:12,86:13","dtypep":"(T)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(TZ)","loc":"e,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(UZ)","loc":"e,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(VZ)","loc":"e,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(WZ)","loc":"e,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(XZ)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(YZ)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(ZZ)","loc":"e,42:7,42:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AAB)","loc":"e,42:7,42:10","dtypep":"(NB)","funcName":"_nba_sequent__TOP__0","funcp":"(RO)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(BAB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(CAB)","loc":"e,7:8,7:9","dtypep":"(GB)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(DAB)","loc":"e,7:8,7:9","dtypep":"(L)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(EAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FAB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_triggers__act","funcp":"(PM)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(GAB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(HAB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(IAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(JAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(DAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(KAB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(LAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(DAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(MAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(NAB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(OAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(CAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(PAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(RAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(SAB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(TAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(UAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(VAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(WAB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_act","funcp":"(QO)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(XAB)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(YAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(DAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(ZAB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(ABB)","loc":"e,7:8,7:9","dtypep":"(L)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(BBB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(CBB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(DBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(EBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(ABB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(FBB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(GBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(ABB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(HBB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IBB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(JBB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(KBB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(LBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(MBB)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(NBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(ABB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(OBB)","loc":"e,7:8,7:9","dtypep":"(Z)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(PBB)","loc":"e,7:8,7:9","dtypep":"(L)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(QBB)","loc":"e,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(RBB)","loc":"e,7:8,7:9","dtypep":"(T)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(SBB)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TBB)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(UBB)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(VBB)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(WBB)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(XBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YBB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(ZBB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ACB)","loc":"a,0:0,0:0","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(BCB)","loc":"a,0:0,0:0","dtypep":"(Z)","access":"RD","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(CCB)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(DCB)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(ECB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FCB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__nba","funcp":"(AO)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(GCB)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(HCB)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_unopt_combo.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(ICB)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(JCB)","loc":"e,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(KCB)","loc":"e,7:8,7:9","dtypep":"(Z)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LCB)","loc":"e,7:8,7:9","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(MCB)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(NCB)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"RD","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(OCB)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PCB)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(QCB)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(RCB)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SCB)","loc":"e,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(TCB)","loc":"e,7:8,7:9","dtypep":"(T)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(UCB)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VCB)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(WCB)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(XCB)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(YCB)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(ZCB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ADB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(BDB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(CDB)","loc":"a,0:0,0:0","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(DDB)","loc":"a,0:0,0:0","dtypep":"(Z)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(EDB)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(FDB)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(GDB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HDB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__act","funcp":"(IN)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(IDB)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(JDB)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_unopt_combo.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(KDB)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(LDB)","loc":"e,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(MDB)","loc":"e,7:8,7:9","dtypep":"(Z)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NDB)","loc":"e,7:8,7:9","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ODB)","loc":"e,7:8,7:9","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(PDB)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(QDB)","loc":"e,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RDB)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(SDB)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(TDB)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(UDB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(VDB)","loc":"a,0:0,0:0","dtypep":"(QB)","funcName":"_eval_phase__act","funcp":"(BAB)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(WDB)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(XDB)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(YDB)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(ZDB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(AEB)","loc":"a,0:0,0:0","dtypep":"(QB)","funcName":"_eval_phase__nba","funcp":"(ZAB)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(BEB)","loc":"e,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(CEB)","loc":"e,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(DEB)","loc":"e,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(EEB)","loc":"e,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FEB)","loc":"e,12:10,12:13", + "condp": [ + {"type":"AND","name":"","addr":"(GEB)","loc":"e,12:10,12:13","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(HEB)","loc":"e,12:10,12:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(IEB)","loc":"e,12:10,12:13","dtypep":"(JEB)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(KEB)","loc":"e,12:10,12:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(LEB)","loc":"e,12:10,12:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(MEB)","loc":"e,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(NEB)","loc":"e,12:10,12:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(OEB)","loc":"e,12:10,12:13","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PEB)","loc":"e,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(QEB)","loc":"e,13:12,13:15","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(REB)","loc":"e,14:15,14:18", + "varrefp": [ + {"type":"VARREF","name":"t.crc","addr":"(SEB)","loc":"e,14:15,14:18","dtypep":"(BB)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TEB)","loc":"e,15:15,15:18", + "varrefp": [ + {"type":"VARREF","name":"t.sum","addr":"(UEB)","loc":"e,15:15,15:18","dtypep":"(BB)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VEB)","loc":"e,23:25,23:26", + "varrefp": [ + {"type":"VARREF","name":"t.b","addr":"(WEB)","loc":"e,23:25,23:26","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XEB)","loc":"e,25:25,25:26", + "varrefp": [ + {"type":"VARREF","name":"t.d","addr":"(YEB)","loc":"e,25:25,25:26","dtypep":"(T)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZEB)","loc":"e,99:21,99:30", + "varrefp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(AFB)","loc":"e,99:21,99:30","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BFB)","loc":"e,100:20,100:25", + "varrefp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(CFB)","loc":"e,100:20,100:25","dtypep":"(T)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DFB)","loc":"e,112:20,112:25", + "varrefp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(EFB)","loc":"e,112:20,112:25","dtypep":"(T)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FFB)","loc":"e,113:20,113:23", + "varrefp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(GFB)","loc":"e,113:20,113:23","dtypep":"(T)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HFB)","loc":"e,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(IFB)","loc":"e,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate__Syms.cpp","addr":"(JFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate__Syms.h","addr":"(KFB)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate.h","addr":"(LFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate.cpp","addr":"(MFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate_$root.h","addr":"(NFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate_$root__Slow.cpp","addr":"(OFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate_$root__DepSet_hfbd5f9a7__0__Slow.cpp","addr":"(PFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate_$root__DepSet_h8185e923__0__Slow.cpp","addr":"(QFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate_$root__DepSet_hfbd5f9a7__0.cpp","addr":"(RFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate/Vt_unopt_combo_isolate_$root__DepSet_h8185e923__0.cpp","addr":"(SFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(NB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(NB)","loc":"d,51:21,51:30","dtypep":"(NB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(ES)","loc":"d,156:10,156:16","dtypep":"(ES)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(QE)","loc":"e,55:47,55:52","dtypep":"(QE)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(P)","loc":"e,99:21,99:30","dtypep":"(P)","keyword":"logic","range":"31:16","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(R)","loc":"e,13:4,13:11","dtypep":"(R)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BB)","loc":"e,14:4,14:7","dtypep":"(BB)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(T)","loc":"e,23:9,23:10","dtypep":"(T)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(VB)","loc":"e,13:31,13:32","dtypep":"(VB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(EB)","loc":"e,7:8,7:9","dtypep":"(EB)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(L)","loc":"e,7:8,7:9","dtypep":"(L)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(Z)","loc":"e,7:8,7:9","dtypep":"(Z)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(GB)","loc":"e,7:8,7:9","dtypep":"(GB)","keyword":"VlTriggerVec","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(QB)","loc":"e,36:22,36:25","dtypep":"(QB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BF)","loc":"e,84:16,84:17","dtypep":"(BF)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(CG)","loc":"e,85:20,85:21","dtypep":"(CG)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PG)","loc":"e,101:28,101:30","dtypep":"(PG)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NJ)","loc":"e,137:18,137:19","dtypep":"(NJ)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RI)","loc":"e,137:25,137:30","dtypep":"(RI)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(XP)","loc":"e,41:18,41:19","dtypep":"(XP)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(JEB)","loc":"e,12:10,12:13","dtypep":"(JEB)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(TFB)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(UFB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(TFB)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_unopt_combo_isolate.pl b/test_regress/t/t_unopt_combo_isolate.pl index a6196c6d9..8eccab6d3 100755 --- a/test_regress/t/t_unopt_combo_isolate.pl +++ b/test_regress/t/t_unopt_combo_isolate.pl @@ -11,19 +11,19 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_unopt_combo.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - verilator_flags2 => ["+define+ISOLATE --stats"], + verilator_flags2 => ["--no-json-edit-nums +define+ISOLATE --stats"], ); if ($Self->{vlt_all}) { file_grep($Self->{stats}, qr/Optimizations, isolate_assignments blocks\s+3/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.b",.*"loc":"e,23:[^"]*",.*"origName":"b",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__Vfuncout",.*"loc":"e,99:[^"]*",.*"origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__Vfuncout",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__t_crc",.*"loc":"e,100:[^"]*",.*"origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__t_crc",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_crc",.*"loc":"e,112:[^"]*",.*"origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_crc",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_c",.*"loc":"e,113:[^"]*",.*"origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_c",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); } execute( diff --git a/test_regress/t/t_unopt_combo_isolate_vlt.out b/test_regress/t/t_unopt_combo_isolate_vlt.out new file mode 100644 index 000000000..71d5f703b --- /dev/null +++ b/test_regress/t/t_unopt_combo_isolate_vlt.out @@ -0,0 +1,2124 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"(E)","evalNbap":"(F)","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"(G)", + "modulesp": [ + {"type":"MODULE","name":"$root","addr":"(H)","loc":"f,7:8,7:9","origName":"$root","level":1,"modPublic":true,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"clk","addr":"(I)","loc":"f,12:10,12:13","dtypep":"(J)","origName":"clk","isSc":false,"isPrimaryIO":true,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":true,"isSigPublic":true,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"clker","lifetime":"NONE","varType":"PORT","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlFirstIteration","addr":"(K)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VstlFirstIteration","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(M)","loc":"f,7:8,7:9","dtypep":"(J)","origName":"__Vtrigprevexpr___TOP__clk__0","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactContinue","addr":"(N)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VactContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(O)","loc":"f,104:21,104:30","dtypep":"(P)","origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__Vfuncout","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.cyc","addr":"(Q)","loc":"f,13:12,13:15","dtypep":"(R)","origName":"cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.b","addr":"(S)","loc":"f,23:25,23:26","dtypep":"(T)","origName":"b","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.d","addr":"(U)","loc":"f,25:25,25:26","dtypep":"(T)","origName":"d","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(V)","loc":"f,105:20,105:25","dtypep":"(T)","origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__t_crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(W)","loc":"f,115:20,115:25","dtypep":"(T)","origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(X)","loc":"f,116:20,116:23","dtypep":"(T)","origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_c","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":true,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactIterCount","addr":"(Y)","loc":"f,7:8,7:9","dtypep":"(Z)","origName":"__VactIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.crc","addr":"(AB)","loc":"f,14:15,14:18","dtypep":"(BB)","origName":"crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"t.sum","addr":"(CB)","loc":"f,15:15,15:18","dtypep":"(BB)","origName":"sum","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"VAR","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlTriggered","addr":"(DB)","loc":"f,7:8,7:9","dtypep":"(EB)","origName":"__VstlTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactTriggered","addr":"(FB)","loc":"f,7:8,7:9","dtypep":"(GB)","origName":"__VactTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaTriggered","addr":"(HB)","loc":"f,7:8,7:9","dtypep":"(GB)","origName":"__VnbaTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"TOPSCOPE","name":"","addr":"(G)","loc":"f,7:8,7:9","senTreesp": [], + "scopep": [ + {"type":"SCOPE","name":"TOP","addr":"(IB)","loc":"f,7:8,7:9","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(H)","varsp": [],"blocksp": []} + ]}, + {"type":"CFUNC","name":"_eval_static","addr":"(JB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial","addr":"(KB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(LB)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MB)","loc":"f,7:8,7:9","dtypep":"(NB)","funcName":"_eval_initial__TOP","funcp":"(OB)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(PB)","loc":"f,36:22,36:25","dtypep":"(QB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(RB)","loc":"f,36:22,36:25","dtypep":"(QB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(SB)","loc":"f,36:22,36:25","dtypep":"(QB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_initial__TOP","addr":"(OB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(TB)","loc":"f,13:29,13:30","dtypep":"(R)", + "rhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(UB)","loc":"f,13:31,13:32","dtypep":"(VB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(WB)","loc":"f,13:25,13:28","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_final","addr":"(XB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_eval_settle","addr":"(YB)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlIterCount","addr":"(ZB)","loc":"f,7:8,7:9","dtypep":"(Z)","origName":"__VstlIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VstlContinue","addr":"(AC)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VstlContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(BC)","loc":"f,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(CC)","loc":"f,7:8,7:9","dtypep":"(T)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(DC)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(EC)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(FC)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(GC)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(HC)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(IC)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(JC)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(KC)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(LC)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(MC)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(NC)","loc":"a,0:0,0:0","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(OC)","loc":"a,0:0,0:0","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(PC)","loc":"a,0:0,0:0","dtypep":"(Z)","access":"RD","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(QC)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(RC)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(SC)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(TC)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__stl","funcp":"(UC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(VC)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(WC)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_unopt_combo.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(XC)","loc":"a,0:0,0:0","shortText":"\"Settle region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(YC)","loc":"f,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(ZC)","loc":"f,7:8,7:9","dtypep":"(Z)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AD)","loc":"f,7:8,7:9","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(BD)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(CD)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"RD","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlIterCount","addr":"(DD)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(ZB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ED)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(FD)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(GD)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(HD)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(ID)","loc":"a,0:0,0:0","dtypep":"(QB)","funcName":"_eval_phase__stl","funcp":"(JD)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(KD)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(LD)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlContinue","addr":"(MD)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(AC)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(ND)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(OD)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(PD)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__stl","addr":"(QD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(RD)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(SD)","loc":"f,7:8,7:9","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(TD)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(UD)","loc":"f,7:8,7:9","dtypep":"(T)"}, + {"type":"CCAST","name":"","addr":"(VD)","loc":"f,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__VstlFirstIteration","addr":"(WD)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(K)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]}, + {"type":"TEXTBLOCK","name":"","addr":"(XD)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(YD)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(ZD)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(AE)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BE)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__stl","funcp":"(UC)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(CE)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(DE)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__stl","addr":"(UC)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(EE)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(FE)","loc":"f,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(GE)","loc":"f,7:8,7:9","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HE)","loc":"f,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IE)","loc":"f,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(JE)","loc":"f,7:8,7:9","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(KE)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(LE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(ME)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(NE)","loc":"f,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(OE)","loc":"f,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(PE)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(RE)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(SE)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(TE)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_stl_sequent__TOP__0","addr":"(UE)","loc":"f,84:16,84:17","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"t.c","addr":"(VE)","loc":"f,24:25,24:26","dtypep":"(T)","origName":"t__DOT__c","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(WE)","loc":"f,24:25,24:26", + "varrefp": [ + {"type":"VARREF","name":"t.c","addr":"(XE)","loc":"f,24:25,24:26","dtypep":"(T)","access":"WR","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YE)","loc":"f,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(ZE)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(AF)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CF)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(DF)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(EF)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(FF)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(GF)","loc":"f,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(HF)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(IF)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(JF)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(KF)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LF)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MF)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(NF)","loc":"f,93:20,93:23","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(OF)","loc":"f,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(PF)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(QF)","loc":"f,115:20,115:25","dtypep":"(T)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(RF)","loc":"f,85:14,85:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(SF)","loc":"f,85:26,85:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(TF)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(UF)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(VF)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(WF)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(XF)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(YF)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(ZF)","loc":"f,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(AG)","loc":"f,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(BG)","loc":"f,85:20,85:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DG)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(EG)","loc":"f,85:26,85:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"EXPRSTMT","name":"","addr":"(FG)","loc":"f,85:27,85:36","dtypep":"(CG)", + "stmtsp": [ + {"type":"COMMENT","name":"Function: get_31_16","addr":"(GG)","loc":"f,85:27,85:36"}, + {"type":"ASSIGN","name":"","addr":"(HG)","loc":"f,85:37,85:40","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(IG)","loc":"f,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(JG)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(KG)","loc":"f,105:20,105:25","dtypep":"(T)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(LG)","loc":"f,106:17,106:18","dtypep":"(CG)", + "rhsp": [ + {"type":"SHIFTR","name":"","addr":"(MG)","loc":"f,106:24,106:25","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(NG)","loc":"f,106:19,106:24","dtypep":"(T)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(OG)","loc":"f,106:28,106:30","dtypep":"(PG)"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(QG)","loc":"f,106:7,106:16","dtypep":"(CG)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "resultp": [ + {"type":"CCAST","name":"","addr":"(RG)","loc":"f,85:27,85:36","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(SG)","loc":"f,85:27,85:36","dtypep":"(CG)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(TG)","loc":"f,89:26,89:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(UG)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(VG)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(WG)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(XG)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(YG)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(ZG)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(AH)","loc":"f,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(BH)","loc":"f,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(CH)","loc":"f,89:20,89:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DH)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(EH)","loc":"f,89:26,89:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(FH)","loc":"f,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(GH)","loc":"f,89:27,89:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(HH)","loc":"f,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(IH)","loc":"f,89:31,89:32","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(JH)","loc":"f,89:31,89:32","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(KH)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(LH)","loc":"f,89:35,89:37","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(MH)","loc":"f,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(NH)","loc":"f,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(OH)","loc":"f,119:27,119:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(PH)","loc":"f,119:15,119:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(QH)","loc":"f,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(RH)","loc":"f,119:28,119:29","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(SH)","loc":"f,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(TH)","loc":"f,119:34,119:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(UH)","loc":"f,119:29,119:34","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(VH)","loc":"f,119:38,119:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(WH)","loc":"f,85:12,85:13","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(XH)","loc":"f,137:14,137:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(YH)","loc":"f,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(ZH)","loc":"f,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AI)","loc":"f,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BI)","loc":"f,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(CI)","loc":"f,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DI)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h3","addr":"(EI)","loc":"f,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"COND","name":"","addr":"(FI)","loc":"f,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(GI)","loc":"f,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(HI)","loc":"f,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(II)","loc":"f,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(JI)","loc":"f,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(KI)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(LI)","loc":"f,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"AND","name":"","addr":"(MI)","loc":"f,137:24,137:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(NI)","loc":"f,137:24,137:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(OI)","loc":"f,137:17,137:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(PI)","loc":"f,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h2","addr":"(QI)","loc":"f,134:25,134:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(SI)","loc":"f,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(TI)","loc":"f,134:24,134:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(UI)","loc":"f,134:17,134:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(VI)","loc":"f,131:24,131:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(WI)","loc":"f,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(XI)","loc":"f,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(YI)","loc":"f,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(ZI)","loc":"f,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(AJ)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(BJ)","loc":"f,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(CJ)","loc":"f,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h1","addr":"(DJ)","loc":"f,131:25,131:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(EJ)","loc":"f,131:18,131:19","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(FJ)","loc":"f,131:24,131:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(GJ)","loc":"f,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(HJ)","loc":"f,131:17,131:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(IJ)","loc":"f,131:24,131:25","dtypep":"(T)"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(JJ)","loc":"f,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h3","addr":"(KJ)","loc":"f,128:25,128:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(LJ)","loc":"f,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(MJ)","loc":"f,128:17,128:18","dtypep":"(NJ)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(OJ)","loc":"f,128:24,128:25","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(PJ)","loc":"f,137:12,137:13","dtypep":"(T)","access":"WR","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(QJ)","loc":"f,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(RJ)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(SJ)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TJ)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UJ)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VJ)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WJ)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(XJ)","loc":"f,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(YJ)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(ZJ)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(AK)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(BK)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(CK)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DK)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(EK)","loc":"f,93:25,93:26","dtypep":"(T)", + "rhsp": [ + {"type":"VARREF","name":"t.c","addr":"(FK)","loc":"f,93:25,93:26","dtypep":"(T)","access":"RD","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(GK)","loc":"f,116:20,116:23","dtypep":"(T)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HK)","loc":"f,86:14,86:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(IK)","loc":"f,86:16,86:17","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(JK)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(KK)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(LK)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(MK)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NK)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(OK)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"VARREF","name":"t.c","addr":"(PK)","loc":"f,86:16,86:17","dtypep":"(T)","access":"RD","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(QK)","loc":"f,90:26,90:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(RK)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(SK)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TK)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UK)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VK)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WK)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(XK)","loc":"f,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(YK)","loc":"f,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZK)","loc":"f,90:20,90:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(AL)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(BL)","loc":"f,90:26,90:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CL)","loc":"f,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(DL)","loc":"f,90:27,90:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EL)","loc":"f,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(FL)","loc":"f,90:29,90:30","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(GL)","loc":"f,90:28,90:29","dtypep":"(T)","access":"RD","varp":"(VE)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(HL)","loc":"f,90:33,90:35","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(IL)","loc":"f,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(JL)","loc":"f,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(KL)","loc":"f,120:27,120:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(LL)","loc":"f,120:15,120:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(ML)","loc":"f,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(NL)","loc":"f,120:30,120:31","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(OL)","loc":"f,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(PL)","loc":"f,120:34,120:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(QL)","loc":"f,120:31,120:34","dtypep":"(T)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(RL)","loc":"f,120:38,120:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(SL)","loc":"f,86:12,86:13","dtypep":"(T)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_stl","addr":"(TL)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(UL)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(VL)","loc":"f,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(WL)","loc":"f,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(XL)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(YL)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(ZL)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(AM)","loc":"f,84:16,84:17", + "exprp": [ + {"type":"CCALL","name":"","addr":"(BM)","loc":"f,84:16,84:17","dtypep":"(NB)","funcName":"_stl_sequent__TOP__0","funcp":"(UE)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__stl","addr":"(JD)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VstlExecute","addr":"(CM)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VstlExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(DM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(EM)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_triggers__stl","funcp":"(QD)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(FM)","loc":"a,0:0,0:0","dtypep":"(QB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GM)","loc":"a,0:0,0:0","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VstlTriggered","addr":"(HM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(DB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(IM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(CM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(JM)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(KM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(CM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(LM)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(MM)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_stl","funcp":"(TL)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(NM)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VstlExecute","addr":"(OM)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(CM)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_triggers__act","addr":"(PM)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(QM)","loc":"f,7:8,7:9", + "exprp": [ + {"type":"CMETHODHARD","name":"set","addr":"(RM)","loc":"f,7:8,7:9","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(SM)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(TM)","loc":"f,7:8,7:9","dtypep":"(T)"}, + {"type":"AND","name":"","addr":"(UM)","loc":"f,36:14,36:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(VM)","loc":"f,36:22,36:25","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(WM)","loc":"f,36:22,36:25","dtypep":"(QB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(XM)","loc":"f,36:14,36:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YM)","loc":"f,36:14,36:21","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(ZM)","loc":"f,36:14,36:21","dtypep":"(QB)","access":"RD","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]}, + {"type":"ASSIGN","name":"","addr":"(AN)","loc":"f,36:22,36:25","dtypep":"(QB)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(BN)","loc":"f,36:22,36:25","dtypep":"(QB)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(CN)","loc":"f,36:22,36:25","dtypep":"(QB)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"TEXTBLOCK","name":"","addr":"(DN)","loc":"f,7:8,7:9","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(EN)","loc":"f,7:8,7:9","shortText":"#ifdef VL_DEBUG..."}, + {"type":"TEXT","name":"","addr":"(FN)","loc":"f,7:8,7:9","shortText":"if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) {..."}, + {"type":"STMTEXPR","name":"","addr":"(GN)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HN)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__act","funcp":"(IN)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(JN)","loc":"f,7:8,7:9","shortText":"}..."}, + {"type":"TEXT","name":"","addr":"(KN)","loc":"f,7:8,7:9","shortText":"#endif..."} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__act","addr":"(IN)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(LN)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(MN)","loc":"f,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(NN)","loc":"f,7:8,7:9","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(ON)","loc":"f,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PN)","loc":"f,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(QN)","loc":"f,7:8,7:9","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(RN)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(SN)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(TN)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(UN)","loc":"f,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(VN)","loc":"f,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(WN)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(XN)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(YN)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(ZN)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'act' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_dump_triggers__nba","addr":"(AO)","loc":"a,0:0,0:0","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(BO)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(CO)","loc":"f,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(DO)","loc":"f,7:8,7:9","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EO)","loc":"f,7:8,7:9","dtypep":"(QB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FO)","loc":"f,7:8,7:9","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(GO)","loc":"f,7:8,7:9","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(HO)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(IO)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" No triggers active\\n\");..."} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(JO)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(KO)","loc":"f,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(LO)","loc":"f,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(MO)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(NO)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(OO)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"TEXT","name":"","addr":"(PO)","loc":"f,7:8,7:9","shortText":"VL_DBG_MSGF(\" 'nba' region trigger index 0 is active: @(posedge clk)\\n\");..."} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_act","addr":"(QO)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [],"stmtsp": [],"finalsp": []}, + {"type":"CFUNC","name":"_nba_sequent__TOP__0","addr":"(RO)","loc":"f,42:7,42:10","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"t.c","addr":"(SO)","loc":"f,24:25,24:26","dtypep":"(T)","origName":"t__DOT__c","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"WIRE","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(TO)","loc":"f,24:25,24:26", + "varrefp": [ + {"type":"VARREF","name":"t.c","addr":"(UO)","loc":"f,24:25,24:26","dtypep":"(T)","access":"WR","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdly__t.cyc","addr":"(VO)","loc":"f,13:12,13:15","dtypep":"(R)","origName":"__Vdly__t__DOT__cyc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(WO)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(XO)","loc":"f,13:12,13:15","dtypep":"(R)","access":"WR","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdly__t.crc","addr":"(YO)","loc":"f,14:15,14:18","dtypep":"(BB)","origName":"__Vdly__t__DOT__crc","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(ZO)","loc":"f,14:15,14:18", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(AP)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"VAR","name":"__Vdly__t.sum","addr":"(BP)","loc":"f,15:15,15:18","dtypep":"(BB)","origName":"__Vdly__t__DOT__sum","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"BLOCKTEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"CRESET","name":"","addr":"(CP)","loc":"f,15:15,15:18", + "varrefp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(DP)","loc":"f,15:15,15:18","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "stmtsp": [ + {"type":"ASSIGNPRE","name":"","addr":"(EP)","loc":"f,42:7,42:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(FP)","loc":"f,42:7,42:10","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(GP)","loc":"f,42:7,42:10","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPRE","name":"","addr":"(HP)","loc":"f,40:7,40:10","dtypep":"(R)", + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(IP)","loc":"f,40:7,40:10","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(JP)","loc":"f,40:7,40:10","dtypep":"(R)","access":"WR","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPRE","name":"","addr":"(KP)","loc":"f,41:7,41:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(LP)","loc":"f,41:7,41:10","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(MP)","loc":"f,41:7,41:10","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(NP)","loc":"f,40:11,40:13","dtypep":"(R)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(OP)","loc":"f,40:18,40:19","dtypep":"(R)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(PP)","loc":"f,40:20,40:21","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'sh1","addr":"(QP)","loc":"f,40:20,40:21","dtypep":"(VB)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(RP)","loc":"f,40:14,40:17","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(SP)","loc":"f,40:7,40:10","dtypep":"(R)","access":"WR","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(TP)","loc":"f,41:11,41:13","dtypep":"(BB)", + "rhsp": [ + {"type":"OR","name":"","addr":"(UP)","loc":"f,41:24,41:25","dtypep":"(BB)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(VP)","loc":"f,41:24,41:25","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WP)","loc":"f,41:15,41:18","dtypep":"(XP)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(YP)","loc":"f,41:24,41:25","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZP)","loc":"f,41:24,41:25","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(AQ)","loc":"f,41:43,41:44","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"AND","name":"","addr":"(BQ)","loc":"f,41:43,41:44","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(CQ)","loc":"f,41:43,41:44","dtypep":"(QB)"} + ], + "rhsp": [ + {"type":"REDXOR","name":"","addr":"(DQ)","loc":"f,41:26,41:29","dtypep":"(QB)", + "lhsp": [ + {"type":"AND","name":"","addr":"(EQ)","loc":"f,41:26,41:29","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h8000000000000005","addr":"(FQ)","loc":"f,41:26,41:29","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(GQ)","loc":"f,41:26,41:29","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(HQ)","loc":"f,41:7,41:10","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNDLY","name":"","addr":"(IQ)","loc":"f,42:11,42:13","dtypep":"(BB)", + "rhsp": [ + {"type":"XOR","name":"","addr":"(JQ)","loc":"f,43:14,43:15","dtypep":"(BB)", + "lhsp": [ + {"type":"OR","name":"","addr":"(KQ)","loc":"f,42:16,42:17","dtypep":"(BB)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(LQ)","loc":"f,42:16,42:17","dtypep":"(BB)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(MQ)","loc":"f,42:16,42:17","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NQ)","loc":"f,42:15,42:16","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(OQ)","loc":"f,42:15,42:16","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h20","addr":"(PQ)","loc":"f,42:16,42:17","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(QQ)","loc":"f,42:16,42:17","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(RQ)","loc":"f,42:18,42:19","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(SQ)","loc":"f,42:18,42:19","dtypep":"(T)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "rhsp": [ + {"type":"OR","name":"","addr":"(TQ)","loc":"f,43:26,43:27","dtypep":"(BB)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(UQ)","loc":"f,43:26,43:27","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(VQ)","loc":"f,43:17,43:20","dtypep":"(XP)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(WQ)","loc":"f,43:26,43:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(XQ)","loc":"f,43:26,43:27","dtypep":"(BB)","size":64, + "lhsp": [ + {"type":"CCAST","name":"","addr":"(YQ)","loc":"f,43:45,43:46","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"AND","name":"","addr":"(ZQ)","loc":"f,43:45,43:46","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(AR)","loc":"f,43:45,43:46","dtypep":"(QB)"} + ], + "rhsp": [ + {"type":"REDXOR","name":"","addr":"(BR)","loc":"f,43:28,43:31","dtypep":"(QB)", + "lhsp": [ + {"type":"AND","name":"","addr":"(CR)","loc":"f,43:28,43:31","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h8000000000000005","addr":"(DR)","loc":"f,43:28,43:31","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(ER)","loc":"f,43:28,43:31","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(FR)","loc":"f,42:7,42:10","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(GR)","loc":"f,44:7,44:9", + "condp": [ + {"type":"EQ","name":"","addr":"(HR)","loc":"f,44:14,44:16","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sh0","addr":"(IR)","loc":"f,44:16,44:17","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(JR)","loc":"f,44:11,44:14","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(KR)","loc":"f,46:14,46:16","dtypep":"(BB)", + "rhsp": [ + {"type":"CONST","name":"64'h5aef0c8dd70a4497","addr":"(LR)","loc":"f,46:17,46:38","dtypep":"(BB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(MR)","loc":"f,46:10,46:13","dtypep":"(BB)","access":"WR","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(NR)","loc":"f,48:12,48:14", + "condp": [ + {"type":"GTS","name":"","addr":"(OR)","loc":"f,48:19,48:20","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sha","addr":"(PR)","loc":"f,48:20,48:22","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(QR)","loc":"f,48:16,48:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"ASSIGNDLY","name":"","addr":"(RR)","loc":"f,49:14,49:16","dtypep":"(BB)", + "rhsp": [ + {"type":"CONST","name":"64'h0","addr":"(SR)","loc":"f,49:17,49:22","dtypep":"(BB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(TR)","loc":"f,49:10,49:13","dtypep":"(BB)","access":"WR","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "elsesp": [ + {"type":"IF","name":"","addr":"(UR)","loc":"f,51:12,51:14", + "condp": [ + {"type":"LTES","name":"","addr":"(VR)","loc":"f,51:19,51:20","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sh5a","addr":"(WR)","loc":"f,51:20,51:22","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(XR)","loc":"f,51:16,51:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(YR)","loc":"f,53:12,53:14", + "condp": [ + {"type":"EQ","name":"","addr":"(ZR)","loc":"f,53:19,53:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'sh63","addr":"(AS)","loc":"f,53:21,53:23","dtypep":"(VB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(BS)","loc":"f,53:16,53:19","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"DISPLAY","name":"","addr":"(CS)","loc":"f,54:10,54:16", + "fmtp": [ + {"type":"SFORMATF","name":"*-* All Finished *-*\\n[%0t] cyc==%0~ crc=%x %x\\n","addr":"(DS)","loc":"f,54:10,54:16","dtypep":"(ES)", + "exprsp": [ + {"type":"TIME","name":"","addr":"(FS)","loc":"f,55:47,55:52","dtypep":"(QE)","timeunit":"1ps"}, + {"type":"VARREF","name":"t.cyc","addr":"(GS)","loc":"f,55:54,55:57","dtypep":"(R)","access":"RD","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"t.crc","addr":"(HS)","loc":"f,55:59,55:62","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"t.sum","addr":"(IS)","loc":"f,55:64,55:67","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"scopeNamep": []} + ],"filep": []}, + {"type":"IF","name":"","addr":"(JS)","loc":"f,56:10,56:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(KS)","loc":"f,56:18,56:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"64'hc77bb9b3784ea091","addr":"(LS)","loc":"f,56:22,56:42","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MS)","loc":"f,56:14,56:17","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(NS)","loc":"f,56:44,56:49"} + ],"elsesp": []}, + {"type":"IF","name":"","addr":"(OS)","loc":"f,57:10,57:12", + "condp": [ + {"type":"NEQ","name":"","addr":"(PS)","loc":"f,57:18,57:21","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"64'h649ee1713d624dd9","addr":"(QS)","loc":"f,57:22,57:42","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(RS)","loc":"f,57:14,57:17","dtypep":"(BB)","access":"RD","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"STOP","name":"","addr":"(SS)","loc":"f,57:44,57:49"} + ],"elsesp": []}, + {"type":"FINISH","name":"","addr":"(TS)","loc":"f,58:10,58:17"} + ],"elsesp": []} + ],"elsesp": []} + ]} + ]}, + {"type":"ASSIGNPOST","name":"","addr":"(US)","loc":"f,40:7,40:10","dtypep":"(R)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.cyc","addr":"(VS)","loc":"f,40:7,40:10","dtypep":"(R)","access":"RD","varp":"(VO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cyc","addr":"(WS)","loc":"f,40:7,40:10","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(XS)","loc":"f,42:7,42:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.sum","addr":"(YS)","loc":"f,42:7,42:10","dtypep":"(BB)","access":"RD","varp":"(BP)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.sum","addr":"(ZS)","loc":"f,42:7,42:10","dtypep":"(BB)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGNPOST","name":"","addr":"(AT)","loc":"f,41:7,41:10","dtypep":"(BB)", + "rhsp": [ + {"type":"VARREF","name":"__Vdly__t.crc","addr":"(BT)","loc":"f,41:7,41:10","dtypep":"(BB)","access":"RD","varp":"(YO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(CT)","loc":"f,41:7,41:10","dtypep":"(BB)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(DT)","loc":"f,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(ET)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(FT)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(GT)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(HT)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(IT)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(JT)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(KT)","loc":"f,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(LT)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(MT)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(NT)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(OT)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(PT)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(QT)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(RT)","loc":"f,93:20,93:23","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ST)","loc":"f,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(TT)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(UT)","loc":"f,115:20,115:25","dtypep":"(T)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(VT)","loc":"f,85:14,85:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(WT)","loc":"f,85:26,85:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(XT)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(YT)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(ZT)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(AU)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(BU)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(CU)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(DU)","loc":"f,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(EU)","loc":"f,85:26,85:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(FU)","loc":"f,85:20,85:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(GU)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(HU)","loc":"f,85:26,85:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"EXPRSTMT","name":"","addr":"(IU)","loc":"f,85:27,85:36","dtypep":"(CG)", + "stmtsp": [ + {"type":"COMMENT","name":"Function: get_31_16","addr":"(JU)","loc":"f,85:27,85:36"}, + {"type":"ASSIGN","name":"","addr":"(KU)","loc":"f,85:37,85:40","dtypep":"(T)", + "rhsp": [ + {"type":"CCAST","name":"","addr":"(LU)","loc":"f,34:45,34:46","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MU)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(NU)","loc":"f,105:20,105:25","dtypep":"(T)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(OU)","loc":"f,106:17,106:18","dtypep":"(CG)", + "rhsp": [ + {"type":"SHIFTR","name":"","addr":"(PU)","loc":"f,106:24,106:25","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(QU)","loc":"f,106:19,106:24","dtypep":"(T)","access":"RD","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(RU)","loc":"f,106:28,106:30","dtypep":"(PG)"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(SU)","loc":"f,106:7,106:16","dtypep":"(CG)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ], + "resultp": [ + {"type":"CCAST","name":"","addr":"(TU)","loc":"f,85:27,85:36","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(UU)","loc":"f,85:27,85:36","dtypep":"(CG)","access":"RD","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(VU)","loc":"f,89:26,89:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(WU)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(XU)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(YU)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(ZU)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(AV)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(BV)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(CV)","loc":"f,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(DV)","loc":"f,89:26,89:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(EV)","loc":"f,89:20,89:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(FV)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(GV)","loc":"f,89:26,89:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(HV)","loc":"f,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(IV)","loc":"f,89:27,89:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(JV)","loc":"f,89:27,89:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(KV)","loc":"f,89:31,89:32","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(LV)","loc":"f,89:31,89:32","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MV)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(NV)","loc":"f,89:35,89:37","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(OV)","loc":"f,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(PV)","loc":"f,119:27,119:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(QV)","loc":"f,119:27,119:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(RV)","loc":"f,119:15,119:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(SV)","loc":"f,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(TV)","loc":"f,119:28,119:29","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(UV)","loc":"f,119:28,119:29","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(VV)","loc":"f,119:34,119:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(WV)","loc":"f,119:29,119:34","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(XV)","loc":"f,119:38,119:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(YV)","loc":"f,85:12,85:13","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(ZV)","loc":"f,137:14,137:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(AW)","loc":"f,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(BW)","loc":"f,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(CW)","loc":"f,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(DW)","loc":"f,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(EW)","loc":"f,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(FW)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h3","addr":"(GW)","loc":"f,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"COND","name":"","addr":"(HW)","loc":"f,137:24,137:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(IW)","loc":"f,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JW)","loc":"f,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(KW)","loc":"f,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(LW)","loc":"f,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(MW)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(NW)","loc":"f,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"AND","name":"","addr":"(OW)","loc":"f,137:24,137:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(PW)","loc":"f,137:24,137:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(QW)","loc":"f,137:17,137:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(RW)","loc":"f,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h2","addr":"(SW)","loc":"f,134:25,134:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TW)","loc":"f,134:24,134:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(UW)","loc":"f,134:24,134:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"t.b","addr":"(VW)","loc":"f,134:17,134:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(WW)","loc":"f,131:24,131:25","dtypep":"(T)", + "condp": [ + {"type":"AND","name":"","addr":"(XW)","loc":"f,126:17,126:18","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(YW)","loc":"f,126:17,126:18","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(ZW)","loc":"f,126:17,126:18","dtypep":"(QB)","size":32, + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(AX)","loc":"f,126:17,126:18","dtypep":"(BB)", + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(BX)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(CX)","loc":"f,126:17,126:18","dtypep":"(T)"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(DX)","loc":"f,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h1","addr":"(EX)","loc":"f,131:25,131:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(FX)","loc":"f,131:18,131:19","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hfffffffc","addr":"(GX)","loc":"f,131:24,131:25","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(HX)","loc":"f,131:24,131:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(IX)","loc":"f,131:17,131:18","dtypep":"(T)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h1","addr":"(JX)","loc":"f,131:24,131:25","dtypep":"(T)"} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(KX)","loc":"f,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"2'h3","addr":"(LX)","loc":"f,128:25,128:30","dtypep":"(RI)"} + ], + "rhsp": [ + {"type":"SHIFTL","name":"","addr":"(MX)","loc":"f,128:24,128:25","dtypep":"(T)", + "lhsp": [ + {"type":"VARREF","name":"t.b","addr":"(NX)","loc":"f,128:17,128:18","dtypep":"(NJ)","access":"RD","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"32'h2","addr":"(OX)","loc":"f,128:24,128:25","dtypep":"(T)"} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(PX)","loc":"f,137:12,137:13","dtypep":"(T)","access":"WR","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(QX)","loc":"f,84:16,84:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(RX)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(SX)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TX)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UX)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VX)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WX)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"IF","name":"","addr":"(XX)","loc":"f,88:16,88:17", + "condp": [ + {"type":"NEQ","name":"","addr":"(YX)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(ZX)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(AY)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(BY)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(CY)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(DY)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(EY)","loc":"f,93:25,93:26","dtypep":"(T)", + "rhsp": [ + {"type":"VARREF","name":"t.c","addr":"(FY)","loc":"f,93:25,93:26","dtypep":"(T)","access":"RD","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(GY)","loc":"f,116:20,116:23","dtypep":"(T)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(HY)","loc":"f,86:14,86:15","dtypep":"(T)", + "rhsp": [ + {"type":"COND","name":"","addr":"(IY)","loc":"f,86:16,86:17","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(JY)","loc":"f,84:16,84:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h1","addr":"(KY)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(LY)","loc":"f,84:16,84:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(MY)","loc":"f,84:16,84:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(NY)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(OY)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"VARREF","name":"t.c","addr":"(PY)","loc":"f,86:16,86:17","dtypep":"(T)","access":"RD","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "elsep": [ + {"type":"COND","name":"","addr":"(QY)","loc":"f,90:26,90:27","dtypep":"(T)", + "condp": [ + {"type":"EQ","name":"","addr":"(RY)","loc":"f,88:16,88:17","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"4'h0","addr":"(SY)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(TY)","loc":"f,88:16,88:17","dtypep":"(BF)", + "lhsp": [ + {"type":"CONST","name":"4'h3","addr":"(UY)","loc":"f,88:16,88:17","dtypep":"(BF)"} + ], + "rhsp": [ + {"type":"CCAST","name":"","addr":"(VY)","loc":"f,83:17,83:18","dtypep":"(BF)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(WY)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]} + ]} + ], + "thenp": [ + {"type":"OR","name":"","addr":"(XY)","loc":"f,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"SHIFTL","name":"","addr":"(YY)","loc":"f,90:26,90:27","dtypep":"(T)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(ZY)","loc":"f,90:20,90:21","dtypep":"(CG)","size":32, + "lhsp": [ + {"type":"VARREF","name":"t.crc","addr":"(AZ)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"CONST","name":"32'h10","addr":"(BZ)","loc":"f,90:26,90:27","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(CZ)","loc":"f,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(DZ)","loc":"f,90:27,90:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(EZ)","loc":"f,90:27,90:28","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(FZ)","loc":"f,90:29,90:30","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"t.c","addr":"(GZ)","loc":"f,90:28,90:29","dtypep":"(T)","access":"RD","varp":"(SO)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h10","addr":"(HZ)","loc":"f,90:33,90:35","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ], + "elsep": [ + {"type":"OR","name":"","addr":"(IZ)","loc":"f,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"AND","name":"","addr":"(JZ)","loc":"f,120:27,120:28","dtypep":"(T)", + "lhsp": [ + {"type":"CONST","name":"32'hffff0000","addr":"(KZ)","loc":"f,120:27,120:28","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(LZ)","loc":"f,120:15,120:20","dtypep":"(T)","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "rhsp": [ + {"type":"AND","name":"","addr":"(MZ)","loc":"f,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"CONST","name":"32'hffff","addr":"(NZ)","loc":"f,120:30,120:31","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"NOT","name":"","addr":"(OZ)","loc":"f,120:30,120:31","dtypep":"(CG)", + "lhsp": [ + {"type":"SHIFTR","name":"","addr":"(PZ)","loc":"f,120:34,120:35","dtypep":"(CG)", + "lhsp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(QZ)","loc":"f,120:31,120:34","dtypep":"(T)","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"5'h8","addr":"(RZ)","loc":"f,120:38,120:39","dtypep":"(PG)"} + ]} + ]} + ]} + ]} + ]} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(SZ)","loc":"f,86:12,86:13","dtypep":"(T)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_nba","addr":"(F)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(TZ)","loc":"f,7:8,7:9", + "condp": [ + {"type":"AND","name":"","addr":"(UZ)","loc":"f,7:8,7:9","dtypep":"(BB)", + "lhsp": [ + {"type":"CONST","name":"64'h1","addr":"(VZ)","loc":"f,7:8,7:9","dtypep":"(BB)"} + ], + "rhsp": [ + {"type":"CMETHODHARD","name":"word","addr":"(WZ)","loc":"f,7:8,7:9","dtypep":"(QE)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(XZ)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"CONST","name":"32'h0","addr":"(YZ)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ]} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(ZZ)","loc":"f,42:7,42:10", + "exprp": [ + {"type":"CCALL","name":"","addr":"(AAB)","loc":"f,42:7,42:10","dtypep":"(NB)","funcName":"_nba_sequent__TOP__0","funcp":"(RO)","argsp": []} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__act","addr":"(BAB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VpreTriggered","addr":"(CAB)","loc":"f,7:8,7:9","dtypep":"(GB)","origName":"__VpreTriggered","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VactExecute","addr":"(DAB)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VactExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"STMTEXPR","name":"","addr":"(EAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FAB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_triggers__act","funcp":"(PM)","argsp": []} + ]}, + {"type":"ASSIGN","name":"","addr":"(GAB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(HAB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(IAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(JAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(DAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(KAB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(LAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(DAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(MAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"andNot","addr":"(NAB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VpreTriggered","addr":"(OAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(CAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(PAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"}, + {"type":"VARREF","name":"__VnbaTriggered","addr":"(QAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(RAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"thisOr","addr":"(SAB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(TAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "pinsp": [ + {"type":"VARREF","name":"__VactTriggered","addr":"(UAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(FB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ]}, + {"type":"STMTEXPR","name":"","addr":"(VAB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(WAB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_act","funcp":"(QO)","argsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(XAB)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VactExecute","addr":"(YAB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(DAB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_phase__nba","addr":"(ZAB)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaExecute","addr":"(ABB)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VnbaExecute","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(BBB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "rhsp": [ + {"type":"CMETHODHARD","name":"any","addr":"(CBB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(DBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(EBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(ABB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(FBB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(GBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(ABB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "thensp": [ + {"type":"STMTEXPR","name":"","addr":"(HBB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(IBB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_eval_nba","funcp":"(F)","argsp": []} + ]}, + {"type":"STMTEXPR","name":"","addr":"(JBB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CMETHODHARD","name":"clear","addr":"(KBB)","loc":"a,0:0,0:0","dtypep":"(NB)", + "fromp": [ + {"type":"VARREF","name":"__VnbaTriggered","addr":"(LBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"WR","varp":"(HB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"pinsp": []} + ]} + ],"elsesp": []}, + {"type":"CRETURN","name":"","addr":"(MBB)","loc":"a,0:0,0:0", + "lhsp": [ + {"type":"VARREF","name":"__VnbaExecute","addr":"(NBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(ABB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval","addr":"(E)","loc":"a,0:0,0:0","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"(IB)","argsp": [], + "initsp": [ + {"type":"VAR","name":"__VnbaIterCount","addr":"(OBB)","loc":"f,7:8,7:9","dtypep":"(Z)","origName":"__VnbaIterCount","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__VnbaContinue","addr":"(PBB)","loc":"f,7:8,7:9","dtypep":"(L)","origName":"__VnbaContinue","isSc":false,"isPrimaryIO":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":true,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"MODULETEMP","sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ], + "stmtsp": [ + {"type":"ASSIGN","name":"","addr":"(QBB)","loc":"f,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(RBB)","loc":"f,7:8,7:9","dtypep":"(T)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(SBB)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(TBB)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(UBB)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(VBB)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(WBB)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(XBB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(YBB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(ZBB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(ACB)","loc":"a,0:0,0:0","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(BCB)","loc":"a,0:0,0:0","dtypep":"(Z)","access":"RD","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(CCB)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(DCB)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(ECB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(FCB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__nba","funcp":"(AO)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(GCB)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(HCB)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_unopt_combo.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(ICB)","loc":"a,0:0,0:0","shortText":"\"NBA region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(JCB)","loc":"f,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(KCB)","loc":"f,7:8,7:9","dtypep":"(Z)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(LCB)","loc":"f,7:8,7:9","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(MCB)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(NCB)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"RD","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaIterCount","addr":"(OCB)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(OBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(PCB)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(QCB)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(RCB)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(SCB)","loc":"f,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"CONST","name":"32'h0","addr":"(TCB)","loc":"f,7:8,7:9","dtypep":"(T)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(UCB)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(VCB)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(WCB)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(XCB)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"WHILE","name":"","addr":"(YCB)","loc":"a,0:0,0:0","precondsp": [], + "condp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(ZCB)","loc":"a,0:0,0:0","dtypep":"(QB)","access":"RD","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "stmtsp": [ + {"type":"IF","name":"","addr":"(ADB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"LT","name":"","addr":"(BDB)","loc":"a,0:0,0:0","dtypep":"(QB)", + "lhsp": [ + {"type":"CONST","name":"32'h64","addr":"(CDB)","loc":"a,0:0,0:0","dtypep":"(T)"} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(DDB)","loc":"a,0:0,0:0","dtypep":"(Z)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "thensp": [ + {"type":"TEXTBLOCK","name":"","addr":"(EDB)","loc":"a,0:0,0:0","shortText":"", + "nodesp": [ + {"type":"TEXT","name":"","addr":"(FDB)","loc":"a,0:0,0:0","shortText":"#ifdef VL_DEBUG..."}, + {"type":"STMTEXPR","name":"","addr":"(GDB)","loc":"a,0:0,0:0", + "exprp": [ + {"type":"CCALL","name":"","addr":"(HDB)","loc":"a,0:0,0:0","dtypep":"(NB)","funcName":"_dump_triggers__act","funcp":"(IN)","argsp": []} + ]}, + {"type":"TEXT","name":"","addr":"(IDB)","loc":"a,0:0,0:0","shortText":"#endif..."}, + {"type":"TEXT","name":"","addr":"(JDB)","loc":"a,0:0,0:0","shortText":"VL_FATAL_MT(\"t/t_unopt_combo.v\", 7, \"\", "}, + {"type":"TEXT","name":"","addr":"(KDB)","loc":"a,0:0,0:0","shortText":"\"Active region did not converge.\");..."} + ]} + ],"elsesp": []}, + {"type":"ASSIGN","name":"","addr":"(LDB)","loc":"f,7:8,7:9","dtypep":"(Z)", + "rhsp": [ + {"type":"ADD","name":"","addr":"(MDB)","loc":"f,7:8,7:9","dtypep":"(Z)", + "lhsp": [ + {"type":"CCAST","name":"","addr":"(NDB)","loc":"f,7:8,7:9","dtypep":"(T)","size":32, + "lhsp": [ + {"type":"CONST","name":"32'h1","addr":"(ODB)","loc":"f,7:8,7:9","dtypep":"(T)"} + ]} + ], + "rhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(PDB)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"RD","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactIterCount","addr":"(QDB)","loc":"f,7:8,7:9","dtypep":"(Z)","access":"WR","varp":"(Y)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"ASSIGN","name":"","addr":"(RDB)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h0","addr":"(SDB)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(TDB)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []}, + {"type":"IF","name":"","addr":"(UDB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(VDB)","loc":"a,0:0,0:0","dtypep":"(QB)","funcName":"_eval_phase__act","funcp":"(BAB)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(WDB)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(XDB)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VactContinue","addr":"(YDB)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(N)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []}, + {"type":"IF","name":"","addr":"(ZDB)","loc":"a,0:0,0:0", + "condp": [ + {"type":"CCALL","name":"","addr":"(AEB)","loc":"a,0:0,0:0","dtypep":"(QB)","funcName":"_eval_phase__nba","funcp":"(ZAB)","argsp": []} + ], + "thensp": [ + {"type":"ASSIGN","name":"","addr":"(BEB)","loc":"f,7:8,7:9","dtypep":"(QB)", + "rhsp": [ + {"type":"CONST","name":"1'h1","addr":"(CEB)","loc":"f,7:8,7:9","dtypep":"(QB)"} + ], + "lhsp": [ + {"type":"VARREF","name":"__VnbaContinue","addr":"(DEB)","loc":"f,7:8,7:9","dtypep":"(QB)","access":"WR","varp":"(PBB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": []} + ],"elsesp": []} + ],"incsp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_eval_debug_assertions","addr":"(EEB)","loc":"f,7:8,7:9","slow":false,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"IF","name":"","addr":"(FEB)","loc":"f,12:10,12:13", + "condp": [ + {"type":"AND","name":"","addr":"(GEB)","loc":"f,12:10,12:13","dtypep":"(J)", + "lhsp": [ + {"type":"VARREF","name":"clk","addr":"(HEB)","loc":"f,12:10,12:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "rhsp": [ + {"type":"CONST","name":"8'hfe","addr":"(IEB)","loc":"f,12:10,12:13","dtypep":"(JEB)"} + ]} + ], + "thensp": [ + {"type":"CSTMT","name":"","addr":"(KEB)","loc":"f,12:10,12:13", + "exprsp": [ + {"type":"TEXT","name":"","addr":"(LEB)","loc":"f,12:10,12:13","shortText":"Verilated::overWidthError(\"clk\");"} + ]} + ],"elsesp": []} + ],"finalsp": []}, + {"type":"CFUNC","name":"_ctor_var_reset","addr":"(MEB)","loc":"f,7:8,7:9","slow":true,"isStatic":false,"dpiExportDispatcher":false,"dpiExportImpl":false,"dpiImportPrototype":false,"dpiImportWrapper":false,"dpiContext":false,"isConstructor":false,"isDestructor":false,"isVirtual":false,"isCoroutine":false,"needProcess":false,"scopep":"UNLINKED","argsp": [],"initsp": [], + "stmtsp": [ + {"type":"CRESET","name":"","addr":"(NEB)","loc":"f,12:10,12:13", + "varrefp": [ + {"type":"VARREF","name":"clk","addr":"(OEB)","loc":"f,12:10,12:13","dtypep":"(J)","access":"WR","varp":"(I)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(PEB)","loc":"f,13:12,13:15", + "varrefp": [ + {"type":"VARREF","name":"t.cyc","addr":"(QEB)","loc":"f,13:12,13:15","dtypep":"(R)","access":"WR","varp":"(Q)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(REB)","loc":"f,14:15,14:18", + "varrefp": [ + {"type":"VARREF","name":"t.crc","addr":"(SEB)","loc":"f,14:15,14:18","dtypep":"(BB)","access":"WR","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(TEB)","loc":"f,15:15,15:18", + "varrefp": [ + {"type":"VARREF","name":"t.sum","addr":"(UEB)","loc":"f,15:15,15:18","dtypep":"(BB)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(VEB)","loc":"f,23:25,23:26", + "varrefp": [ + {"type":"VARREF","name":"t.b","addr":"(WEB)","loc":"f,23:25,23:26","dtypep":"(T)","access":"WR","varp":"(S)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(XEB)","loc":"f,25:25,25:26", + "varrefp": [ + {"type":"VARREF","name":"t.d","addr":"(YEB)","loc":"f,25:25,25:26","dtypep":"(T)","access":"WR","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(ZEB)","loc":"f,104:21,104:30", + "varrefp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__Vfuncout","addr":"(AFB)","loc":"f,104:21,104:30","dtypep":"(P)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(BFB)","loc":"f,105:20,105:25", + "varrefp": [ + {"type":"VARREF","name":"__Vfunc_t.file.get_31_16__0__t_crc","addr":"(CFB)","loc":"f,105:20,105:25","dtypep":"(T)","access":"WR","varp":"(V)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(DFB)","loc":"f,115:20,115:25", + "varrefp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_crc","addr":"(EFB)","loc":"f,115:20,115:25","dtypep":"(T)","access":"WR","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(FFB)","loc":"f,116:20,116:23", + "varrefp": [ + {"type":"VARREF","name":"__Vtask_t.file.set_b_d__1__t_c","addr":"(GFB)","loc":"f,116:20,116:23","dtypep":"(T)","access":"WR","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]}, + {"type":"CRESET","name":"","addr":"(HFB)","loc":"f,7:8,7:9", + "varrefp": [ + {"type":"VARREF","name":"__Vtrigprevexpr___TOP__clk__0","addr":"(IFB)","loc":"f,7:8,7:9","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ]} + ],"finalsp": []} + ],"activesp": []} +], + "filesp": [ + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt__Syms.cpp","addr":"(JFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt__Syms.h","addr":"(KFB)","loc":"a,0:0,0:0","source":false,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt.h","addr":"(LFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt.cpp","addr":"(MFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt_$root.h","addr":"(NFB)","loc":"a,0:0,0:0","source":false,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt_$root__Slow.cpp","addr":"(OFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt_$root__DepSet_h30537c1e__0__Slow.cpp","addr":"(PFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt_$root__DepSet_h6f654e96__0__Slow.cpp","addr":"(QFB)","loc":"a,0:0,0:0","source":true,"slow":true,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt_$root__DepSet_h30537c1e__0.cpp","addr":"(RFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []}, + {"type":"CFILE","name":"obj_vlt/t_unopt_combo_isolate_vlt/Vt_unopt_combo_isolate_vlt_$root__DepSet_h6f654e96__0.cpp","addr":"(SFB)","loc":"a,0:0,0:0","source":true,"slow":false,"tblockp": []} +], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(NB)", + "typesp": [ + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,50:22,50:24","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(NB)","loc":"d,51:21,51:30","dtypep":"(NB)","generic":false}, + {"type":"BASICDTYPE","name":"string","addr":"(ES)","loc":"d,156:10,156:16","dtypep":"(ES)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(QE)","loc":"f,55:47,55:52","dtypep":"(QE)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(P)","loc":"f,104:21,104:30","dtypep":"(P)","keyword":"logic","range":"31:16","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(R)","loc":"f,13:4,13:11","dtypep":"(R)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BB)","loc":"f,14:4,14:7","dtypep":"(BB)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(T)","loc":"f,23:9,23:10","dtypep":"(T)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(VB)","loc":"f,13:31,13:32","dtypep":"(VB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(EB)","loc":"f,7:8,7:9","dtypep":"(EB)","keyword":"VlTriggerVec","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(L)","loc":"f,7:8,7:9","dtypep":"(L)","keyword":"bit","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"bit","addr":"(Z)","loc":"f,7:8,7:9","dtypep":"(Z)","keyword":"bit","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"VlTriggerVec","addr":"(GB)","loc":"f,7:8,7:9","dtypep":"(GB)","keyword":"VlTriggerVec","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(QB)","loc":"f,36:22,36:25","dtypep":"(QB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(BF)","loc":"f,84:16,84:17","dtypep":"(BF)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(CG)","loc":"f,85:20,85:21","dtypep":"(CG)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PG)","loc":"f,106:28,106:30","dtypep":"(PG)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NJ)","loc":"f,137:18,137:19","dtypep":"(NJ)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RI)","loc":"f,137:25,137:30","dtypep":"(RI)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(XP)","loc":"f,41:18,41:19","dtypep":"(XP)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(JEB)","loc":"f,12:10,12:13","dtypep":"(JEB)","keyword":"logic","range":"7:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(TFB)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"TOP","addr":"(UFB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(TFB)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_unopt_combo_isolate_vlt.pl b/test_regress/t/t_unopt_combo_isolate_vlt.pl index 0d44d64e2..523a49945 100755 --- a/test_regress/t/t_unopt_combo_isolate_vlt.pl +++ b/test_regress/t/t_unopt_combo_isolate_vlt.pl @@ -11,19 +11,19 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); top_filename("t/t_unopt_combo.v"); -my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; compile( - verilator_flags2 => ["--stats $Self->{t_dir}/t_unopt_combo_isolate.vlt"], + verilator_flags2 => ["--no-json-edit-nums --stats $Self->{t_dir}/t_unopt_combo_isolate.vlt"], ); if ($Self->{vlt_all}) { file_grep($Self->{stats}, qr/Optimizations, isolate_assignments blocks\s+3/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); - file_grep("$out_filename", qr/\/i); + file_grep("$out_filename", qr/{"type":"VAR","name":"t.b",.*"loc":"f,23:[^"]*",.*"origName":"b",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__Vfuncout",.*"loc":"f,104:[^"]*",.*"origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__Vfuncout",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vfunc_t.file.get_31_16__0__t_crc",.*"loc":"f,105:[^"]*",.*"origName":"__Vfunc_t__DOT__file__DOT__get_31_16__0__t_crc",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_crc",.*"loc":"f,115:[^"]*",.*"origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_crc",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); + file_grep("$out_filename", qr/{"type":"VAR","name":"__Vtask_t.file.set_b_d__1__t_c",.*"loc":"f,116:[^"]*",.*"origName":"__Vtask_t__DOT__file__DOT__set_b_d__1__t_c",.*"attrIsolateAssign":true,.*"dtypeName":"logic"/); } execute( diff --git a/test_regress/t/t_var_port_json_only.out b/test_regress/t/t_var_port_json_only.out new file mode 100644 index 000000000..c4ae3dd64 --- /dev/null +++ b/test_regress/t/t_var_port_json_only.out @@ -0,0 +1,105 @@ +{"type":"NETLIST","name":"$root","addr":"(B)","loc":"a,0:0,0:0","timeunit":"1ps","timeprecision":"1ps","typeTablep":"(C)","constPoolp":"(D)","dollarUnitPkgp":"UNLINKED","stdPackagep":"UNLINKED","evalp":"UNLINKED","evalNbap":"UNLINKED","dpiExportTriggerp":"UNLINKED","delaySchedulerp":"UNLINKED","nbaEventp":"UNLINKED","nbaEventTriggerp":"UNLINKED","topScopep":"UNLINKED", + "modulesp": [ + {"type":"MODULE","name":"mh2","addr":"(E)","loc":"d,18:8,18:11","origName":"mh2","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_inout_wire_integer","addr":"(F)","loc":"d,18:27,18:47","dtypep":"(G)","origName":"x_inout_wire_integer","isSc":false,"isPrimaryIO":false,"direction":"INOUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh5","addr":"(H)","loc":"d,24:8,24:11","origName":"mh5","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_input_wire_logic","addr":"(I)","loc":"d,24:19,24:37","dtypep":"(J)","origName":"x_input_wire_logic","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh6","addr":"(K)","loc":"d,26:8,26:11","origName":"mh6","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_input_var_logic","addr":"(L)","loc":"d,26:23,26:40","dtypep":"(J)","origName":"x_input_var_logic","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh7","addr":"(M)","loc":"d,28:8,28:11","origName":"mh7","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_input_var_integer","addr":"(N)","loc":"d,28:31,28:50","dtypep":"(G)","origName":"x_input_var_integer","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh8","addr":"(O)","loc":"d,30:8,30:11","origName":"mh8","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_output_wire_logic","addr":"(P)","loc":"d,30:20,30:39","dtypep":"(J)","origName":"x_output_wire_logic","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh9","addr":"(Q)","loc":"d,32:8,32:11","origName":"mh9","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_output_var_logic","addr":"(R)","loc":"d,32:24,32:42","dtypep":"(J)","origName":"x_output_var_logic","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh10","addr":"(S)","loc":"d,34:8,34:12","origName":"mh10","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_output_wire_logic_signed_p6","addr":"(T)","loc":"d,34:33,34:62","dtypep":"(U)","origName":"x_output_wire_logic_signed_p6","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh11","addr":"(V)","loc":"d,36:8,36:12","origName":"mh11","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_output_var_integer","addr":"(W)","loc":"d,36:28,36:48","dtypep":"(G)","origName":"x_output_var_integer","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh12","addr":"(X)","loc":"d,38:8,38:12","origName":"mh12","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_ref_logic_p6","addr":"(Y)","loc":"d,38:23,38:37","dtypep":"(Z)","origName":"x_ref_logic_p6","isSc":false,"isPrimaryIO":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh13","addr":"(AB)","loc":"d,40:8,40:12","origName":"mh13","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_ref_var_logic_u6","addr":"(BB)","loc":"d,40:17,40:35","dtypep":"(CB)","origName":"x_ref_var_logic_u6","isSc":false,"isPrimaryIO":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh17","addr":"(DB)","loc":"d,50:8,50:12","origName":"mh17","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_input_var_integer","addr":"(EB)","loc":"d,50:31,50:50","dtypep":"(G)","origName":"x_input_var_integer","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"y_input_wire_logic","addr":"(FB)","loc":"d,50:57,50:75","dtypep":"(J)","origName":"y_input_wire_logic","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"VSTATIC","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh18","addr":"(GB)","loc":"d,52:8,52:12","origName":"mh18","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_output_var_logic","addr":"(HB)","loc":"d,52:24,52:42","dtypep":"(J)","origName":"x_output_var_logic","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"y_input_wire_logic","addr":"(IB)","loc":"d,52:50,52:68","dtypep":"(J)","origName":"y_input_wire_logic","isSc":false,"isPrimaryIO":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh19","addr":"(JB)","loc":"d,54:8,54:12","origName":"mh19","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_output_wire_logic_signed_p6","addr":"(KB)","loc":"d,54:33,54:62","dtypep":"(U)","origName":"x_output_wire_logic_signed_p6","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"y_output_var_integer","addr":"(LB)","loc":"d,54:72,54:92","dtypep":"(G)","origName":"y_output_var_integer","isSc":false,"isPrimaryIO":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh20","addr":"(MB)","loc":"d,56:8,56:12","origName":"mh20","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"x_ref_var_logic_p6","addr":"(NB)","loc":"d,56:23,56:41","dtypep":"(Z)","origName":"x_ref_var_logic_p6","isSc":false,"isPrimaryIO":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"y_ref_var_logic_p6","addr":"(OB)","loc":"d,56:43,56:61","dtypep":"(Z)","origName":"y_ref_var_logic_p6","isSc":false,"isPrimaryIO":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []}, + {"type":"MODULE","name":"mh21","addr":"(PB)","loc":"d,58:8,58:12","origName":"mh21","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + "stmtsp": [ + {"type":"VAR","name":"ref_var_logic_u6","addr":"(QB)","loc":"d,58:17,58:33","dtypep":"(RB)","origName":"ref_var_logic_u6","isSc":false,"isPrimaryIO":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"y_ref_var_logic","addr":"(SB)","loc":"d,58:41,58:56","dtypep":"(J)","origName":"y_ref_var_logic","isSc":false,"isPrimaryIO":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isUsedClock":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"attrClocker":"UNKNOWN","lifetime":"NONE","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + ],"activesp": []} +],"filesp": [], + "miscsp": [ + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"UNLINKED", + "typesp": [ + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(RB)","loc":"d,58:34,58:35","dtypep":"(RB)","isCompound":false,"declRange":"[5:0]","generic":false,"refDTypep":"(J)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(TB)","loc":"d,58:34,58:35","ascending":false, + "leftp": [ + {"type":"CONST","name":"32'sh5","addr":"(UB)","loc":"d,58:35,58:36","dtypep":"(VB)"} + ], + "rightp": [ + {"type":"CONST","name":"32'sh0","addr":"(WB)","loc":"d,58:37,58:38","dtypep":"(VB)"} + ]} + ]}, + {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,58:41,58:56","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(CB)","loc":"d,40:36,40:37","dtypep":"(CB)","isCompound":false,"declRange":"[5:0]","generic":false,"refDTypep":"(J)","childDTypep": [], + "rangep": [ + {"type":"RANGE","name":"","addr":"(XB)","loc":"d,40:36,40:37","ascending":false, + "leftp": [ + {"type":"CONST","name":"32'sh5","addr":"(YB)","loc":"d,40:37,40:38","dtypep":"(VB)"} + ], + "rightp": [ + {"type":"CONST","name":"32'sh0","addr":"(ZB)","loc":"d,40:39,40:40","dtypep":"(VB)"} + ]} + ]}, + {"type":"BASICDTYPE","name":"logic","addr":"(Z)","loc":"d,38:17,38:18","dtypep":"(Z)","keyword":"logic","range":"5:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(U)","loc":"d,34:27,34:28","dtypep":"(U)","keyword":"logic","range":"5:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(G)","loc":"d,18:19,18:26","dtypep":"(G)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(VB)","loc":"d,40:37,40:38","dtypep":"(VB)","keyword":"logic","range":"31:0","generic":true,"rangep": []} + ]}, + {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", + "modulep": [ + {"type":"MODULE","name":"@CONST-POOL@","addr":"(AC)","loc":"a,0:0,0:0","origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + "stmtsp": [ + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(BC)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(AC)","varsp": [],"blocksp": []} + ],"activesp": []} + ]} +]} diff --git a/test_regress/t/t_var_port_json_only.pl b/test_regress/t/t_var_port_json_only.pl new file mode 100755 index 000000000..0230deada --- /dev/null +++ b/test_regress/t/t_var_port_json_only.pl @@ -0,0 +1,25 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2012 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +my $out_filename = "$Self->{obj_dir}/V$Self->{name}.tree.json"; + +compile( + verilator_flags2 => ['--no-std', '--json-only', '--no-json-edit-nums'], + verilator_make_gmake => 0, + make_top_shell => 0, + make_main => 0, + ); + +files_identical($out_filename, $Self->{golden_filename}); + +ok(1); +1; diff --git a/test_regress/t/t_var_port_json_only.v b/test_regress/t/t_var_port_json_only.v new file mode 100644 index 000000000..88418b19c --- /dev/null +++ b/test_regress/t/t_var_port_json_only.v @@ -0,0 +1,59 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2021 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +// This checks IEEE ports work correctly, we use XML output to make it easy to +// see all attributes are propagated + +// verilator lint_off MULTITOP + +`ifndef VERILATOR +module mh0 (wire x_inout_wire_logic); +endmodule +module mh1 (integer x_inout_wire_integer); +endmodule +`endif +module mh2 (inout integer x_inout_wire_integer); +endmodule +`ifndef VERILATOR +module mh3 ([5:0] x_inout_wire_logic_p6); +endmodule +`endif +module mh5 (input x_input_wire_logic); +endmodule +module mh6 (input var x_input_var_logic); +endmodule +module mh7 (input var integer x_input_var_integer); +endmodule +module mh8 (output x_output_wire_logic); +endmodule +module mh9 (output var x_output_var_logic); +endmodule +module mh10(output signed [5:0] x_output_wire_logic_signed_p6); +endmodule +module mh11(output integer x_output_var_integer); +endmodule +module mh12(ref [5:0] x_ref_logic_p6); +endmodule +module mh13(ref x_ref_var_logic_u6 [5:0]); +endmodule +`ifndef VERILATOR +module mh14(wire x_inout_wire_logic, y_inout_wire_logic_p8 [7:0]); +endmodule +module mh15(integer x_inout_wire_integer, signed [5:0] y_inout_wire_logic_signed6); +endmodule +module mh16([5:0] x_inout_wire_logic_p6, wire y_inout_wire_logic); +endmodule +`endif +module mh17(input var integer x_input_var_integer, wire y_input_wire_logic); +endmodule +module mh18(output var x_output_var_logic, input y_input_wire_logic); +endmodule +module mh19(output signed [5:0] x_output_wire_logic_signed_p6, integer y_output_var_integer); +endmodule +module mh20(ref [5:0] x_ref_var_logic_p6, y_ref_var_logic_p6); +endmodule +module mh21(ref ref_var_logic_u6 [5:0], y_ref_var_logic); +endmodule