verilator/test_regress/t/t_covergroup_unsup.out

406 lines
22 KiB
Plaintext

%Warning-COVERIGN: t/t_covergroup_unsup.v:25:4: Ignoring unsupported: covergroup
25 | covergroup cg_empty;
| ^~~~~~~~~~
... For warning description see https://verilator.org/warn/COVERIGN?v=latest
... Use "/* verilator lint_off COVERIGN */" and lint_on around source to disable this message.
%Warning-COVERIGN: t/t_covergroup_unsup.v:28:4: Ignoring unsupported: covergroup
28 | covergroup cg_opt;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:29:7: Ignoring unsupported: coverage option
29 | type_option.weight = 1;
| ^~~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:30:7: Ignoring unsupported: coverage option
30 | type_option.goal = 99;
| ^~~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:31:7: Ignoring unsupported: coverage option
31 | type_option.comment = "type_option_comment";
| ^~~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:32:7: Ignoring unsupported: coverage option
32 | type_option.strobe = 0;
| ^~~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:33:7: Ignoring unsupported: coverage option
33 | type_option.merge_instances = 1;
| ^~~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:34:7: Ignoring unsupported: coverage option
34 | type_option.distribuge_first = 1;
| ^~~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:35:7: Ignoring unsupported: coverage option
35 | option.name = "the_name";
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:36:7: Ignoring unsupported: coverage option
36 | option.weight = 1;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:37:7: Ignoring unsupported: coverage option
37 | option.goal = 98;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:38:7: Ignoring unsupported: coverage option
38 | option.comment = "option_comment";
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:39:7: Ignoring unsupported: coverage option
39 | option.at_least = 20;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:40:7: Ignoring unsupported: coverage option
40 | option.auto_bin_max = 10;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:41:7: Ignoring unsupported: coverage option
41 | option.cross_num_print_missing = 2;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:42:7: Ignoring unsupported: coverage option
42 | option.detect_overlap = 1;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:43:7: Ignoring unsupported: coverage option
43 | option.per_instance = 1;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:44:7: Ignoring unsupported: coverage option
44 | option.get_inst_coverage = 1;
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:47:4: Ignoring unsupported: covergroup
47 | covergroup cg_clockingevent() @(posedge clk);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:47:34: Ignoring unsupported: coverage clocking event
47 | covergroup cg_clockingevent() @(posedge clk);
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:49:4: Ignoring unsupported: covergroup
49 | covergroup cg_withfunction() with function sample (a);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:49:33: Ignoring unsupported: coverage 'with' 'function'
49 | covergroup cg_withfunction() with function sample (a);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:51:4: Ignoring unsupported: covergroup
51 | covergroup cg_atat() @@ (begin funca or end funcb);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:51:25: Ignoring unsupported: coverage '@@' events
51 | covergroup cg_atat() @@ (begin funca or end funcb);
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:53:4: Ignoring unsupported: covergroup
53 | covergroup cg_bracket;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:56:4: Ignoring unsupported: covergroup
56 | covergroup cg_bracket2;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:57:9: Ignoring unsupported: coverage option
57 | { option.name = "option"; }
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:59:4: Ignoring unsupported: covergroup
59 | covergroup cg_cp;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:60:7: Ignoring unsupported: coverpoint
60 | coverpoint a;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:62:4: Ignoring unsupported: covergroup
62 | covergroup cg_cp_iff;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:63:20: Ignoring unsupported: cover 'iff'
63 | coverpoint a iff (b);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:63:7: Ignoring unsupported: coverpoint
63 | coverpoint a iff (b);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:65:4: Ignoring unsupported: covergroup
65 | covergroup cg_id_cp_iff;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:66:24: Ignoring unsupported: cover 'iff'
66 | id: coverpoint a iff (b);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:66:11: Ignoring unsupported: coverpoint
66 | id: coverpoint a iff (b);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:68:4: Ignoring unsupported: covergroup
68 | covergroup cg_id_cp_id1;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:69:28: Ignoring unsupported: cover 'iff'
69 | int id: coverpoint a iff (b);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:69:15: Ignoring unsupported: coverpoint
69 | int id: coverpoint a iff (b);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:71:4: Ignoring unsupported: covergroup
71 | covergroup cg_id_cp_id2;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:72:32: Ignoring unsupported: cover 'iff'
72 | var int id: coverpoint a iff (b);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:72:19: Ignoring unsupported: coverpoint
72 | var int id: coverpoint a iff (b);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:74:4: Ignoring unsupported: covergroup
74 | covergroup cg_id_cp_id3;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:75:34: Ignoring unsupported: cover 'iff'
75 | var [3:0] id: coverpoint a iff (b);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:75:21: Ignoring unsupported: coverpoint
75 | var [3:0] id: coverpoint a iff (b);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:77:4: Ignoring unsupported: covergroup
77 | covergroup cg_id_cp_id4;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:78:30: Ignoring unsupported: cover 'iff'
78 | [3:0] id: coverpoint a iff (b);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:78:17: Ignoring unsupported: coverpoint
78 | [3:0] id: coverpoint a iff (b);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:80:4: Ignoring unsupported: covergroup
80 | covergroup cg_id_cp_id5;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:81:31: Ignoring unsupported: cover 'iff'
81 | signed id: coverpoint a iff (b);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:81:18: Ignoring unsupported: coverpoint
81 | signed id: coverpoint a iff (b);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:84:4: Ignoring unsupported: covergroup
84 | covergroup cg_cross;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:85:18: Ignoring unsupported: cover 'iff'
85 | cross a, b iff (!rst);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:85:7: Ignoring unsupported: cover cross
85 | cross a, b iff (!rst);
| ^~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:87:4: Ignoring unsupported: covergroup
87 | covergroup cg_cross2;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:88:18: Ignoring unsupported: cover 'iff'
88 | cross a, b iff (!rst) {}
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:88:7: Ignoring unsupported: cover cross
88 | cross a, b iff (!rst) {}
| ^~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:90:4: Ignoring unsupported: covergroup
90 | covergroup cg_cross3;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:91:20: Ignoring unsupported: coverage option
91 | cross a, b { option.comment = "cross"; option.weight = 12; }
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:91:46: Ignoring unsupported: coverage option
91 | cross a, b { option.comment = "cross"; option.weight = 12; }
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:91:7: Ignoring unsupported: cover cross
91 | cross a, b { option.comment = "cross"; option.weight = 12; }
| ^~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:93:4: Ignoring unsupported: covergroup
93 | covergroup cg_cross4;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:95:24: Ignoring unsupported: coverage cross 'function' declaration
95 | function void crossfunc; endfunction
| ^~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:96:21: Ignoring unsupported: coverage select function call
96 | bins one = crossfunc();
| ^~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:96:10: Ignoring unsupported: coverage cross bin
96 | bins one = crossfunc();
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:94:7: Ignoring unsupported: cover cross
94 | cross a, b {
| ^~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:99:4: Ignoring unsupported: covergroup
99 | covergroup cg_cross_id;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:100:28: Ignoring unsupported: cover 'iff'
100 | my_cg_id: cross a, b iff (!rst);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:100:17: Ignoring unsupported: cover cross
100 | my_cg_id: cross a, b iff (!rst);
| ^~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:103:4: Ignoring unsupported: covergroup
103 | covergroup cg_binsoroptions_bk1;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:105:17: Ignoring unsupported: cover bin specification
105 | { bins ba = {a}; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:106:24: Ignoring unsupported: cover 'iff'
106 | { bins bar = {a} iff (!rst); }
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:106:18: Ignoring unsupported: cover bin specification
106 | { bins bar = {a} iff (!rst); }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:107:26: Ignoring unsupported: cover bin specification
107 | { illegal_bins ila = {a}; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:108:25: Ignoring unsupported: cover bin specification
108 | { ignore_bins iga = {a}; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:110:19: Ignoring unsupported: cover bin specification
110 | { bins ba[] = {a}; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:111:20: Ignoring unsupported: cover bin specification
111 | { bins ba[2] = {a}; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:113:23: Ignoring unsupported: cover bin 'with' specification
113 | { bins ba = {a} with { b }; }
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:115:27: Ignoring unsupported: cover bin 'wildcard' specification
115 | { wildcard bins bwa = {a}; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:116:34: Ignoring unsupported: cover bin 'wildcard' 'with' specification
116 | { wildcard bins bwaw = {a} with { b }; }
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:118:20: Ignoring unsupported: cover bin 'default'
118 | { bins def = default; }
| ^~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:119:29: Ignoring unsupported: cover bin 'default' 'sequence'
119 | { bins defs = default sequence; }
| ^~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:121:18: Ignoring unsupported: cover bin trans list
121 | { bins bts = ( 1, 2 ); }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:122:9: Ignoring unsupported: cover bin 'wildcard' trans list
122 | { wildcard bins wbts = ( 1, 2 ); }
| ^~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:123:33: Ignoring unsupported: covergroup value range
123 | { bins bts2 = ( 2, 3 ), ( [5:6] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:123:19: Ignoring unsupported: cover bin trans list
123 | { bins bts2 = ( 2, 3 ), ( [5:6] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:125:27: Ignoring unsupported: cover trans set '=>'
125 | { bins bts2 = ( 1,5 => 6,7 ) ; }
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:125:19: Ignoring unsupported: cover bin trans list
125 | { bins bts2 = ( 1,5 => 6,7 ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:126:25: Ignoring unsupported: cover '[*'
126 | { bins bts2 = ( 3 [*5] ) ; }
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:126:19: Ignoring unsupported: cover bin trans list
126 | { bins bts2 = ( 3 [*5] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:127:25: Ignoring unsupported: cover '[*'
127 | { bins bts2 = ( 3 [*5:6] ) ; }
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:127:19: Ignoring unsupported: cover bin trans list
127 | { bins bts2 = ( 3 [*5:6] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:128:25: Ignoring unsupported: cover '[->'
128 | { bins bts2 = ( 3 [->5] ) ; }
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:128:19: Ignoring unsupported: cover bin trans list
128 | { bins bts2 = ( 3 [->5] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:129:25: Ignoring unsupported: cover '[->'
129 | { bins bts2 = ( 3 [->5:6] ) ; }
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:129:19: Ignoring unsupported: cover bin trans list
129 | { bins bts2 = ( 3 [->5:6] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:130:25: Ignoring unsupported: cover '[='
130 | { bins bts2 = ( 3 [=5] ) ; }
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:130:19: Ignoring unsupported: cover bin trans list
130 | { bins bts2 = ( 3 [=5] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:131:25: Ignoring unsupported: cover '[='
131 | { bins bts2 = ( 3 [=5:6] ) ; }
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:131:19: Ignoring unsupported: cover bin trans list
131 | { bins bts2 = ( 3 [=5:6] ) ; }
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:135:4: Ignoring unsupported: covergroup
135 | covergroup cg_cross_bins;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:137:23: Ignoring unsupported: coverage select expression 'binsof'
137 | bins bin_a = binsof(a);
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:137:10: Ignoring unsupported: coverage cross bin
137 | bins bin_a = binsof(a);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:138:24: Ignoring unsupported: coverage select expression 'binsof'
138 | bins bin_ai = binsof(a) iff (!rst);
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:138:34: Ignoring unsupported: cover 'iff'
138 | bins bin_ai = binsof(a) iff (!rst);
| ^~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:138:10: Ignoring unsupported: coverage cross bin
138 | bins bin_ai = binsof(a) iff (!rst);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:139:23: Ignoring unsupported: coverage select expression 'binsof'
139 | bins bin_c = binsof(cp.x);
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:139:10: Ignoring unsupported: coverage cross bin
139 | bins bin_c = binsof(cp.x);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:140:24: Ignoring unsupported: coverage select expression 'binsof'
140 | bins bin_na = ! binsof(a);
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:140:10: Ignoring unsupported: coverage cross bin
140 | bins bin_na = ! binsof(a);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:142:33: Ignoring unsupported: coverage select expression 'intersect'
142 | bins bin_d = binsof(a) intersect { b };
| ^~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:142:10: Ignoring unsupported: coverage cross bin
142 | bins bin_d = binsof(a) intersect { b };
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:143:34: Ignoring unsupported: coverage select expression 'intersect'
143 | bins bin_nd = ! binsof(a) intersect { b };
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:143:10: Ignoring unsupported: coverage cross bin
143 | bins bin_nd = ! binsof(a) intersect { b };
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:145:23: Ignoring unsupported: coverage select expression with
145 | bins bin_e = with (a);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:145:10: Ignoring unsupported: coverage cross bin
145 | bins bin_e = with (a);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:146:23: Ignoring unsupported: coverage select expression with
146 | bins bin_e = ! with (a);
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:146:10: Ignoring unsupported: coverage cross bin
146 | bins bin_e = ! with (a);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:148:26: Ignoring unsupported: coverage select expression 'binsof'
148 | bins bin_par = (binsof(a));
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:148:10: Ignoring unsupported: coverage cross bin
148 | bins bin_par = (binsof(a));
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:149:25: Ignoring unsupported: coverage select expression 'binsof'
149 | bins bin_and = binsof(a) && binsof(b);
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:149:38: Ignoring unsupported: coverage select expression 'binsof'
149 | bins bin_and = binsof(a) && binsof(b);
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:149:35: Ignoring unsupported: coverage select expression '&&'
149 | bins bin_and = binsof(a) && binsof(b);
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:149:10: Ignoring unsupported: coverage cross bin
149 | bins bin_and = binsof(a) && binsof(b);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:150:24: Ignoring unsupported: coverage select expression 'binsof'
150 | bins bin_or = binsof(a) || binsof(b);
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:150:37: Ignoring unsupported: coverage select expression 'binsof'
150 | bins bin_or = binsof(a) || binsof(b);
| ^~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:150:34: Ignoring unsupported: coverage select expression '||'
150 | bins bin_or = binsof(a) || binsof(b);
| ^~
%Warning-COVERIGN: t/t_covergroup_unsup.v:150:10: Ignoring unsupported: coverage cross bin
150 | bins bin_or = binsof(a) || binsof(b);
| ^~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:136:7: Ignoring unsupported: cover cross
136 | cross a, b {
| ^~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:154:4: Ignoring unsupported: covergroup
154 | covergroup cgArgs(int cg_lim);
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:161:7: Ignoring unsupported: covergroup
161 | covergroup cov1 @m_z;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:161:23: Ignoring unsupported: coverage clocking event
161 | covergroup cov1 @m_z;
| ^
%Warning-COVERIGN: t/t_covergroup_unsup.v:162:10: Ignoring unsupported: coverpoint
162 | coverpoint m_x;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:163:10: Ignoring unsupported: coverpoint
163 | coverpoint m_y;
| ^~~~~~~~~~
%Warning-COVERIGN: t/t_covergroup_unsup.v:171:7: Ignoring unsupported: covergroup
171 | covergroup extends cg_empty;
| ^~~~~~~~~~
%Error: Exiting due to