diff --git a/tests/bpu_top/config.py b/tests/bpu_top/config.py index e4e48d8..ad6d3bb 100644 --- a/tests/bpu_top/config.py +++ b/tests/bpu_top/config.py @@ -2,5 +2,5 @@ import os ROOT_PATH = os.path.dirname(os.path.abspath(__file__))+"/../../" TESTS_PATH = ROOT_PATH + "tests/" DUT_PATH = ROOT_PATH + "out/picker_out_BPUTop/" +FTB_PATH = ROOT_PATH + "tests/bpu_top/env/" #os.sys.path.append(DUT_PATH) - diff --git a/tests/bpu_top/env/bpu_agent.py b/tests/bpu_top/env/bpu_agent.py new file mode 100644 index 0000000..a10f9cc --- /dev/null +++ b/tests/bpu_top/env/bpu_agent.py @@ -0,0 +1,514 @@ +#from mlvp import Bundle, Signals +from mlvp import * +import mlvp + +from config import * +import os +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +from UT_Predictor import * +import random + +ENV_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(ENV_PATH) + +from mlvp.agent import * +from env.bpu_bundle import * + +########################################################################################### +#################################################### Agent ################################ +########################################################################################### + +class SubPrediCtrlAgent(Agent): + #Self-define var + en_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + def __init__(self, bundle: SubPrediCtrlBundle): + super().__init__(bundle.step) + self.bundle = bundle + + #Self-define func + @driver_method() + async def reset(self, step=1, reset_vector=0x1000): + self.bundle.set_all(0) + self.bundle.reset.value = 1 + self.bundle.io_reset_vector.value = reset_vector + await self.bundle.step(step) + self.bundle.reset.value = 0 + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + @driver_method() + async def set_sub_predic_ctrl_en(self, en_dict: dict = en_dict): +# async def set_sub_predic_ctrl_en(self,dut, en_dict: dict): + print("set_sub_predic_ctrl_en Begin exec") +# mlvp.start_clock(dut) + + self.bundle.io_ctrl_ubtb_enable.value = en_dict['ubtb_en'] + self.bundle.io_ctrl_btb_enable.value = en_dict['btb_en'] + self.bundle.io_ctrl_tage_enable.value = en_dict['tage_en'] + self.bundle.io_ctrl_sc_enable.value = en_dict['sc_en'] + self.bundle.io_ctrl_ras_enable.value = en_dict['ras_en'] + # why the clock is sync with DUT's clock + await self.bundle.step() + +## 2 Can't use monitor_method + @monitor_method() + async def mon_ubtb_enable(self): + if self.bundle.io_ctrl_ubtb_enable.value > 0: + print(self.bundle.io_ctrl_ubtb_enable.value) + return self.bundle.as_dict() + +## update_FTB_entry Signals +class Ftq2BpuUpdateFtbEntryAgent(Agent): + #Self-define var + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + def __init__(self,bundle: Ftq2BpuUpdateFtbEntryBundle): + super().__init__(bundle.step) + self.bundle = bundle + + @driver_method() + async def reset(self, step=1, reset_vector=0x1000): + self.bundle.set_all(0) + self.bundle.reset.value = 1 + self.bundle.io_reset_vector.value = reset_vector + await self.bundle.step(step) + self.bundle.reset.value = 0 + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + @driver_method() + async def set_update_ftb_entry_value(self, update_pc = 0x1280, update_ftb_entry_dict: dict = update_ftb_entry_dict): + #demo update_ftb_entry_dict + self.bundle.io_ftq_to_bpu_update_valid.value = 1 + self.bundle.io_ftq_to_bpu_update_bits_pc.value = update_pc + + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_valid.value = update_ftb_entry_dict['ftb_entry_valid'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid.value = update_ftb_entry_dict['tailSlot_valid'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset.value = update_ftb_entry_dict['tailSlot_offset'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower.value = update_ftb_entry_dict['tailSlot_lower'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat.value = update_ftb_entry_dict['tailSlot_tarStat'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing.value = update_ftb_entry_dict['tailSlot_sharing'] + + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid.value = update_ftb_entry_dict['brSlots_0_valid'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset.value = update_ftb_entry_dict['brSlots_0_offset'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower.value = update_ftb_entry_dict['brSlots_0_lower'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing.value = update_ftb_entry_dict['brSlots_0_sharing'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat.value = update_ftb_entry_dict['brSlots_0_tarStat'] + + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_pftAddr.value = update_ftb_entry_dict['pftAddr'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_carry.value = update_ftb_entry_dict['carry'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_isCall.value = update_ftb_entry_dict['isCall'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_isRet.value = update_ftb_entry_dict['isRet'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_isJalr.value = update_ftb_entry_dict['isJalr'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call.value = update_ftb_entry_dict['may_be_rvi_call'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0.value= update_ftb_entry_dict['always_taken_0'] + self.bundle.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1.value= update_ftb_entry_dict['always_taken_1'] + await self.bundle.step(1) + self.bundle.set_all(0) + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + #@driver_method() + #async def set_update_valid_and_pc(self, update_valid = 1, update_bits_pc = 0x2000): + # self.bundle.io_ftq_to_bpu_update_valid.value = update_valid + # self.bundle.io_ftq_to_bpu_update_bits_pc.value = update_bits_pc + # await self.bundle.step(1) + # self.bundle.io_ftq_to_bpu_update_valid.value = 0 + # self.bundle.io_ftq_to_bpu_update_bits_pc.value = 0 + +class Ftq2BpuUpdateOtherAgent(Agent): + ## Varia + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + ##__init__ + def __init__(self,bundle: Ftq2BpuUpdateOtherBundle): + super().__init__(bundle.step) + self.bundle = bundle + + @driver_method() + async def reset(self, step=1, reset_vector=0x1000): + self.bundle.set_all(0) + self.bundle.reset.value = 1 + self.bundle.io_reset_vector.value = reset_vector + await self.bundle.step(step) + self.bundle.reset.value = 0 + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + @driver_method() + async def set_update_other_value(self,update_pc = 0x1280 ,update_other_dict: dict = update_other_dict ): + #demo update_ftb_entry_dict + self.bundle.io_ftq_to_bpu_update_valid.value = 1 + self.bundle.io_ftq_to_bpu_update_bits_pc.value = update_pc + + self.bundle.io_ftq_to_bpu_update_bits_cfi_idx_valid.value = update_other_dict['cfi_idx_valid'] + self.bundle.io_ftq_to_bpu_update_bits_cfi_idx_bits.value = update_other_dict['cfi_idx_bits'] + self.bundle.io_ftq_to_bpu_update_bits_br_taken_mask_0.value = update_other_dict['br_taken_mask_0'] + self.bundle.io_ftq_to_bpu_update_bits_br_taken_mask_1.value = update_other_dict['br_taken_mask_1'] + self.bundle.io_ftq_to_bpu_update_bits_jmp_taken.value = update_other_dict['jmp_taken'] + self.bundle.io_ftq_to_bpu_update_bits_mispred_mask_0.value = update_other_dict['mispred_mask_0'] + self.bundle.io_ftq_to_bpu_update_bits_mispred_mask_1.value = update_other_dict['mispred_mask_1'] + self.bundle.io_ftq_to_bpu_update_bits_mispred_mask_2.value = update_other_dict['mispred_mask_2'] + self.bundle.io_ftq_to_bpu_update_bits_old_entry.value = update_other_dict['old_entry'] + self.bundle.io_ftq_to_bpu_update_bits_meta.value = update_other_dict['meta'] + self.bundle.io_ftq_to_bpu_update_bits_full_target.value = update_other_dict['full_target'] + await self.bundle.step(1) + self.bundle.set_all(0) + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + +class Ftq2BpuUpdateFoldHistAgent(Agent): + ## Varia + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1011, + 'folded_hist_11' : 0b1011, + 'folded_hist_12' : 0b1011, + 'folded_hist_13' : 0b1011, + 'folded_hist_14' : 0b1011, + 'folded_hist_15' : 0b1011, + 'folded_hist_16' : 0b1011, + 'folded_hist_17' : 0b1011 + } + ## Method + def __init__(self,bundle: Ftq2BpuUpdateFoldHistBundle): + super().__init__(bundle.step) + self.bundle = bundle + + @driver_method() + async def reset(self, step=1, reset_vector=0x1000): + self.bundle.set_all(0) + self.bundle.reset.value = 1 + self.bundle.io_reset_vector.value = reset_vector + await self.bundle.step(step) + self.bundle.reset.value = 0 + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + @driver_method() + async def set_update_fold_hist_value(self, update_pc = 0x1280, hist_dict: dict = update_fold_hist_dict): + self.bundle.io_ftq_to_bpu_update_valid.value = 1 + self.bundle.io_ftq_to_bpu_update_bits_pc.value = update_pc + + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist.value = hist_dict['folded_hist_17'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist.value = hist_dict['folded_hist_16'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist.value = hist_dict['folded_hist_15'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist.value = hist_dict['folded_hist_14'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist.value = hist_dict['folded_hist_13'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist.value = hist_dict['folded_hist_12'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist.value = hist_dict['folded_hist_11'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist.value = hist_dict['folded_hist_10'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist.value = hist_dict['folded_hist_9'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist.value = hist_dict['folded_hist_8'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist.value = hist_dict['folded_hist_7'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist.value = hist_dict['folded_hist_6'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist.value = hist_dict['folded_hist_5'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist.value = hist_dict['folded_hist_4'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist.value = hist_dict['folded_hist_3'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist.value = hist_dict['folded_hist_2'] + self.bundle.io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist.value = hist_dict['folded_hist_1'] + await self.bundle.step(1) + self.bundle.set_all(0) + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + +class Ftq2BpuRedirectOtherAgent(Agent): + ## Varia + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + ## Method + def __init__(self,bundle: Ftq2BpuRedirectOtherBundle): + super().__init__(bundle.step) + self.bundle = bundle + + @driver_method() + async def reset(self, step=1, reset_vector=0x1000): + self.bundle.set_all(0) + self.bundle.reset.value = 1 + self.bundle.io_reset_vector.value = reset_vector + await self.bundle.step(step) + self.bundle.reset.value = 0 + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + @driver_method() + async def set_redirect_other_value(self, rediretc_ohter_dict: dict = rediretc_ohter_dict): + self.bundle.io_ftq_to_bpu_redirect_valid.value = 1 + + self.bundle.io_ftq_to_bpu_redirect_bits_level.value = rediretc_ohter_dict['level'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_pc.value = rediretc_ohter_dict['cfiUpdate_pc'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC.value = rediretc_ohter_dict['cfiUpdate_pd_isRVC'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall.value = rediretc_ohter_dict['cfiUpdate_pd_isCall'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet.value = rediretc_ohter_dict['cfiUpdate_pd_isRet'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp.value = rediretc_ohter_dict['cfiUpdate_ssp'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr.value = rediretc_ohter_dict['cfiUpdate_sctr'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag.value = rediretc_ohter_dict['cfiUpdate_TOSW_flag'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value.value = rediretc_ohter_dict['cfiUpdate_TOSW_value'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag.value = rediretc_ohter_dict['cfiUpdate_TOSR_flag'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value.value = rediretc_ohter_dict['cfiUpdate_TOSR_value'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag.value = rediretc_ohter_dict['cfiUpdate_NOS_flag'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value.value = rediretc_ohter_dict['cfiUpdate_NOS_value'] + + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH.value = rediretc_ohter_dict['cfiUpdate_lastBrNumOH'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag.value= rediretc_ohter_dict['cfiUpdate_histPtr_flag'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value.value=rediretc_ohter_dict['cfiUpdate_histPtr_value'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_target.value = rediretc_ohter_dict['cfiUpdate_target'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_taken.value = rediretc_ohter_dict['cfiUpdate_taken'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_shift.value = rediretc_ohter_dict['cfiUpdate_shift'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist.value = rediretc_ohter_dict['cfiUpdate_addIntoHist'] + await self.bundle.step(1) + self.bundle.set_all(0) + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + +class Ftq2BpuRedirectFoldHistAgent(Agent): + ## Varia + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + ## Method + def __init__(self,bundle: Ftq2BpuRedirectFoldHistBundle): + super().__init__(bundle.step) + self.bundle = bundle + + @driver_method() + async def reset(self, step=1, reset_vector=0x1000): + self.bundle.set_all(0) + self.bundle.reset.value = 1 + self.bundle.io_reset_vector.value = reset_vector + await self.bundle.step(step) + self.bundle.reset.value = 0 + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + @driver_method() + async def set_redirect_fold_hist_value(self, fold_hist_dict: dict = redirect_fold_hist_dict): + self.bundle.io_ftq_to_bpu_redirect_valid.value = 1 + + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_17'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_16'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_15'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_14'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_13'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_12'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_11'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_10'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_9'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_8'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_7'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_6'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_5'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_4'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_3'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_2'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_1'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist.value = fold_hist_dict['cfiUpdate_folded_hist_0'] + await self.bundle.step(1) + self.bundle.set_all(0) + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + +class Ftq2BpuRedirectAfhobAgent(Agent): + ## Varia + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + ## Method + def __init__(self,bundle: Ftq2BpuRedirectAfhobBundle): + super().__init__(bundle.step) + self.bundle = bundle + + @driver_method() + async def reset(self, step=1, reset_vector=0x1000): + self.bundle.set_all(0) + self.bundle.reset.value = 1 + self.bundle.io_reset_vector.value = reset_vector + await self.bundle.step(step) + self.bundle.reset.value = 0 + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + @driver_method() + async def set_redirect_afhob_value(self, afhob_dict: dict = redirect_afhob_dict): + self.bundle.io_ftq_to_bpu_redirect_valid.value = 1 + + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0.value = afhob_dict['afhob_5_bits_0'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1.value = afhob_dict['afhob_5_bits_1'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2.value = afhob_dict['afhob_5_bits_2'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3.value = afhob_dict['afhob_5_bits_3'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0.value = afhob_dict['afhob_4_bits_0'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1.value = afhob_dict['afhob_4_bits_1'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2.value = afhob_dict['afhob_4_bits_2'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0.value = afhob_dict['afhob_3_bits_0'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1.value = afhob_dict['afhob_3_bits_1'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2.value = afhob_dict['afhob_3_bits_2'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3.value = afhob_dict['afhob_3_bits_3'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0.value = afhob_dict['afhob_2_bits_0'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1.value = afhob_dict['afhob_2_bits_1'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2.value = afhob_dict['afhob_2_bits_2'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3.value = afhob_dict['afhob_2_bits_3'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0.value = afhob_dict['afhob_1_bits_0'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1.value = afhob_dict['afhob_1_bits_1'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2.value = afhob_dict['afhob_1_bits_2'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3.value = afhob_dict['afhob_1_bits_3'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0.value = afhob_dict['afhob_0_bits_0'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1.value = afhob_dict['afhob_0_bits_1'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2.value = afhob_dict['afhob_0_bits_2'] + self.bundle.io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3.value = afhob_dict['afhob_0_bits_3'] + await self.bundle.step(1) + self.bundle.set_all(0) + self.bundle.io_bpu_to_ftq_resp_ready.value = 1 + + +################################ Only for agent_test#################0 +async def agent_test(): + sub_predic_ctrl_bundle = SubPrediCtrlBundle() + dut: DUTPredictor = DUTPredictor() + sub_predic_ctrl_bundle.bind(dut) + + sub_predi_ctrl_agent = SubPrediCtrlAgent(sub_predic_ctrl_bundle) + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } +# print(enable_dict) + +## 1 Can't use driver_method + mlvp.run(sub_predi_ctrl_agent.set_sub_predic_ctrl_en(dut, enable_dict)) + + spec_info_dict = { + 'spec_info_folded_hist_hist_17_folded_hist' : 1, + 'spec_info_folded_hist_hist_16_folded_hist' : 1, + 'spec_info_folded_hist_hist_15_folded_hist' : 1, + 'spec_info_folded_hist_hist_14_folded_hist' : 1, + 'spec_info_folded_hist_hist_13_folded_hist' : 1, + 'spec_info_folded_hist_hist_12_folded_hist' : 1, + 'spec_info_folded_hist_hist_11_folded_hist' : 1, + 'spec_info_folded_hist_hist_10_folded_hist' : 1, + 'spec_info_folded_hist_hist_9_folded_hist' : 1, + 'spec_info_folded_hist_hist_8_folded_hist' : 1, + 'spec_info_folded_hist_hist_7_folded_hist' : 1, + 'spec_info_folded_hist_hist_6_folded_hist' : 1, + 'spec_info_folded_hist_hist_5_folded_hist' : 1, + 'spec_info_folded_hist_hist_4_folded_hist' : 1, + 'spec_info_folded_hist_hist_3_folded_hist' : 1, + 'spec_info_folded_hist_hist_2_folded_hist' : 1, + 'spec_info_folded_hist_hist_1_folded_hist' : 1 + } + + spec_info_dict['spec_info_folded_hist_hist_1_folded_hist'] = 2 + print(spec_info_dict['spec_info_folded_hist_hist_1_folded_hist']) + + +if __name__ == "__main__": + print("bpu_agent exec!") +# mlvp.run(agent_test()) diff --git a/tests/bpu_top/env/bpu_bundle.py b/tests/bpu_top/env/bpu_bundle.py new file mode 100644 index 0000000..7b55a74 --- /dev/null +++ b/tests/bpu_top/env/bpu_bundle.py @@ -0,0 +1,372 @@ +#from mlvp import Bundle, Signals +from mlvp import * +import mlvp + +from config import * +import os +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +from UT_Predictor import * +import random + +#Input Bundle +class SubPrediCtrlBundle(Bundle): + reset = Signal() + io_reset_vector = Signal() + io_bpu_to_ftq_resp_ready= Signal() + + io_ctrl_ubtb_enable = Signal() + io_ctrl_btb_enable = Signal() + io_ctrl_tage_enable = Signal() + io_ctrl_sc_enable = Signal() + io_ctrl_ras_enable = Signal() + + +class Ftq2BpuUpdateFtbEntryBundle(Bundle): + reset = Signal() + io_reset_vector = Signal() + io_bpu_to_ftq_resp_ready= Signal() + + io_ftq_to_bpu_update_valid = Signal() + io_ftq_to_bpu_update_bits_pc = Signal() + + io_ftq_to_bpu_update_bits_ftb_entry_valid = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_pftAddr = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_carry = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_isCall = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_isRet = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_isJalr = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call= Signal() + io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0 = Signal() + io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1 = Signal() + +class Ftq2BpuUpdateOtherBundle(Bundle): + reset = Signal() + io_reset_vector = Signal() + io_bpu_to_ftq_resp_ready= Signal() + + io_ftq_to_bpu_update_valid = Signal() + io_ftq_to_bpu_update_bits_pc = Signal() + + io_ftq_to_bpu_update_bits_cfi_idx_valid = Signal() + io_ftq_to_bpu_update_bits_cfi_idx_bits = Signal() + io_ftq_to_bpu_update_bits_br_taken_mask_0 = Signal() + io_ftq_to_bpu_update_bits_br_taken_mask_1 = Signal() + io_ftq_to_bpu_update_bits_jmp_taken = Signal() + io_ftq_to_bpu_update_bits_mispred_mask_0 = Signal() + io_ftq_to_bpu_update_bits_mispred_mask_1 = Signal() + io_ftq_to_bpu_update_bits_mispred_mask_2 = Signal() + io_ftq_to_bpu_update_bits_old_entry = Signal() + io_ftq_to_bpu_update_bits_meta = Signal() + io_ftq_to_bpu_update_bits_full_target = Signal() + +#Input: update signals +class Ftq2BpuUpdateFoldHistBundle(Bundle): + reset = Signal() + io_reset_vector = Signal() + io_bpu_to_ftq_resp_ready= Signal() + + io_ftq_to_bpu_update_valid = Signal() + io_ftq_to_bpu_update_bits_pc = Signal() + + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist = Signal() + io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist = Signal() + +class Ftq2BpuRedirectOtherBundle(Bundle): + reset = Signal() + io_reset_vector = Signal() + io_bpu_to_ftq_resp_ready = Signal() + + io_ftq_to_bpu_redirect_valid = Signal() + io_ftq_to_bpu_redirect_bits_level = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_pc = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value= Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value= Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value = Signal() + + io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_target = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_taken = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_shift = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist = Signal() + +class Ftq2BpuRedirectFoldHistBundle(Bundle): + reset = Signal() + io_reset_vector = Signal() + io_bpu_to_ftq_resp_ready = Signal() + + io_ftq_to_bpu_redirect_valid = Signal() + + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist = Signal() + +class Ftq2BpuRedirectAfhobBundle(Bundle): + reset = Signal() + io_reset_vector = Signal() + io_bpu_to_ftq_resp_ready = Signal() + + io_ftq_to_bpu_redirect_valid= Signal() + + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2 = Signal() + io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3 = Signal() + + + + +################################################Output Ports ####################################### +class Bpu2FtqRespS1Bundle(Bundle): + io_bpu_to_ftq_resp_valid = Signal() + io_bpu_to_ftq_resp_bits_s1_pc_3 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_br_taken_mask_0 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_br_taken_mask_1 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_slot_valids_0 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_slot_valids_1 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_targets_0 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_targets_1 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_offsets_0 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_offsets_1 = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_fallThroughAddr = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_fallThroughErr = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_is_br_sharing = Signal() + io_bpu_to_ftq_resp_bits_s1_full_pred_3_hit = Signal() + +class Bpu2FtqRespS2Bundle(Bundle): + io_bpu_to_ftq_resp_valid = Signal() + io_bpu_to_ftq_resp_bits_s2_pc_3 = Signal() + io_bpu_to_ftq_resp_bits_s2_valid_3 = Signal() + io_bpu_to_ftq_resp_bits_s2_hasRedirect_3 = Signal() + io_bpu_to_ftq_resp_bits_s2_ftq_idx_flag = Signal() + io_bpu_to_ftq_resp_bits_s2_ftq_idx_value = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_br_taken_mask_0 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_br_taken_mask_1 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_slot_valids_0 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_slot_valids_1 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_targets_0 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_targets_1 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_offsets_0 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_offsets_1 = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_fallThroughAddr = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_fallThroughErr = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_is_br_sharing = Signal() + io_bpu_to_ftq_resp_bits_s2_full_pred_3_hit = Signal() + +class Bpu2FtqRespS3Bundle(Bundle): + io_bpu_to_ftq_resp_bits_s3_pc_3 = Signal() + io_bpu_to_ftq_resp_bits_s3_valid_3 = Signal() + io_bpu_to_ftq_resp_bits_s3_hasRedirect_3 = Signal() + io_bpu_to_ftq_resp_bits_s3_ftq_idx_flag = Signal() + io_bpu_to_ftq_resp_bits_s3_ftq_idx_value = Signal() + + io_bpu_to_ftq_resp_bits_s3_full_pred_3_br_taken_mask_0 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_br_taken_mask_1 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_slot_valids_0 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_slot_valids_1 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_targets_0 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_targets_1 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_offsets_0 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_offsets_1 = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_fallThroughAddr = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_fallThroughErr = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_is_br_sharing = Signal() + io_bpu_to_ftq_resp_bits_s3_full_pred_3_hit = Signal() + +class Bpu2FtqLastStageSpecInfoBundle(Bundle): + io_bpu_to_ftq_resp_bits_last_stage_meta = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_17_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_16_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_15_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_14_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_13_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_12_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_11_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_10_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_9_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_8_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_7_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_6_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_5_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_4_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_3_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_2_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_1_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_folded_hist_hist_0_folded_hist = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_5_bits_0 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_5_bits_1 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_5_bits_2 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_5_bits_3 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_4_bits_0 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_4_bits_1 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_4_bits_2 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_4_bits_3 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_3_bits_0 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_3_bits_1 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_3_bits_2 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_3_bits_3 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_2_bits_0 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_2_bits_1 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_2_bits_2 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_2_bits_3 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_1_bits_0 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_1_bits_1 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_1_bits_2 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_1_bits_3 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_0_bits_0 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_0_bits_1 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_0_bits_2 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_afhob_afhob_0_bits_3 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_lastBrNumOH = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_histPtr_flag = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_histPtr_value = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_ssp = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_sctr = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_TOSW_flag = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_TOSW_value = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_TOSR_flag = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_TOSR_value = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_NOS_flag = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_NOS_value = Signal() + io_bpu_to_ftq_resp_bits_last_stage_spec_info_topAddr = Signal() + +class Bpu2FtqLastStageSpecInfoBundle(Bundle): + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_valid = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_brSlots_0_offset = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_brSlots_0_lower = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_brSlots_0_tarStat = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_brSlots_0_sharing = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_brSlots_0_valid = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_tailSlot_offset = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_tailSlot_lower = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_tailSlot_tarStat = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_tailSlot_sharing = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_tailSlot_valid = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_pftAddr = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_carry = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_isCall = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_isRet = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_isJalr = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_last_may_be_rvi_call = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_always_taken_0 = Signal() + io_bpu_to_ftq_resp_bits_last_stage_ftb_entry_always_taken_1 = Signal() + + + +async def set_sub_predic_ctrl_bundle(dut, sub_predic_ctrl_bundle: SubPrediCtrlBundle): + mlvp.start_clock(dut) + + sub_predic_ctrl_bundle.io_ctrl_ubtb_enable.value = 1 + print(sub_predic_ctrl_bundle.io_ctrl_ubtb_enable.value) + await sub_predic_ctrl_bundle.step() + print(sub_predic_ctrl_bundle.io_ctrl_ubtb_enable.value) + + + +if __name__ == "__main__": + mlvp.setup_logging(mlvp.INFO) + + sub_predic_ctrl_bundle = SubPrediCtrlBundle.from_prefix() + dut: DUTPredictor = DUTPredictor() + sub_predic_ctrl_bundle.bind(dut) + + sub_predic_ctrl_bundle.set_write_mode_as_imme() + +## 1 set value + sub_predic_ctrl_bundle.io_ctrl_ubtb_enable.value = 0 +# sub_predic_ctrl_bundle.io_ctrl_ubtb_enable = 0 + print("1xxx", sub_predic_ctrl_bundle.io_ctrl_ubtb_enable) + +## 2 access the signal by index + sub_predic_ctrl_bundle['io_ctrl_ubtb_enable'].value = 1 + print(sub_predic_ctrl_bundle.io_ctrl_ubtb_enable) + +## 3 set value by set_all() + sub_predic_ctrl_bundle.set_all(1) + print("set_all():",sub_predic_ctrl_bundle.io_ctrl_ubtb_enable) # result is 0 + +## 4 set value by assign + sub_predic_ctrl_bundle.assign({ + '*' : 0, + 'io_ctrl_ubtb_enable' : 1 + }) + print("assign():",sub_predic_ctrl_bundle.io_ctrl_ubtb_enable) # result is 0 + +## 5 asyncio support + mlvp.run(set_sub_predic_ctrl_bundle(dut, sub_predic_ctrl_bundle)) + + +# sub_predic_ctrl_bundle.io_ctrl_ubtb_enable = 1 +# print(sub_predic_ctrl_bundle.io_ctrl_ubtb_enable) +# sub_predic_ctrl_bundle.io_ctrl_btb_enable = 1 +# print(sub_predic_ctrl_bundle.io_ctrl_btb_enable) diff --git a/tests/bpu_top/env/bpu_dut.py b/tests/bpu_top/env/bpu_dut.py new file mode 100644 index 0000000..632fa32 --- /dev/null +++ b/tests/bpu_top/env/bpu_dut.py @@ -0,0 +1,27 @@ +import os +CFG_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(CFG_PATH) +from config import * +os.sys.path.append(DUT_PATH) +os.sys.path.append(TESTS_PATH) + +from UT_Predictor import * +import random + +import mlvp +import logging +import mlvp.funcov as fc +from mlvp.reporter import * + +import asyncio + +#do the sub-class extended the DUT +class bpu_dut(DUTPredictor): + def __init__(self, *args, **kwargs): + super().__init__(*args, **kwargs) + self.InitClock("clock") + self.reset.value = 1 + self.Step(2) + self.reset.value = 0 + self.Step(2) + diff --git a/tests/bpu_top/env/bpu_env.py b/tests/bpu_top/env/bpu_env.py new file mode 100644 index 0000000..8ca5673 --- /dev/null +++ b/tests/bpu_top/env/bpu_env.py @@ -0,0 +1,62 @@ +#from mlvp import Bundle, Signals +from mlvp import * +import mlvp +from mlvp.env import * + +from config import * +import os +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +from UT_Predictor import * +import random + +ENV_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(ENV_PATH) + +from env.bpu_bundle import * +from env.bpu_agent import * + +class BpuEnv(Env): + def __init__(self, SubPrediCtrlBundle, Ftq2BpuUpdateFtbEntryBundle,Ftq2BpuUpdateOtherBundle, + Ftq2BpuUpdateFoldHistBundle, Ftq2BpuRedirectOtherBundle, Ftq2BpuRedirectFoldHistBundle, + Ftq2BpuRedirectAfhobBundle): + super().__init__() + + self.sub_predi_ctrl_agent = SubPrediCtrlAgent(SubPrediCtrlBundle) + self.update_ftb_entry_agent = Ftq2BpuUpdateFtbEntryAgent(Ftq2BpuUpdateFtbEntryBundle) + self.update_other_agent = Ftq2BpuUpdateOtherAgent(Ftq2BpuUpdateOtherBundle) + self.update_fold_hist_agent = Ftq2BpuUpdateFoldHistAgent(Ftq2BpuUpdateFoldHistBundle) + self.redirect_other_agent = Ftq2BpuRedirectOtherAgent(Ftq2BpuRedirectOtherBundle) + self.redirect_fold_hist_agent = Ftq2BpuRedirectFoldHistAgent(Ftq2BpuRedirectFoldHistBundle) + self.redirect_afhob_agent = Ftq2BpuRedirectAfhobAgent(Ftq2BpuRedirectAfhobBundle) + + + +################################# Only for Test_ENV ##################### +# async def test_env(): +# sub_predic_ctrl_bundle = SubPrediCtrlBundle() +# spec_info_bundle = Ftq2BpuUpdateSpecInfoBundle() +# +# dut: DUTPredictor = DUTPredictor() +# sub_predic_ctrl_bundle.bind(dut) +# spec_info_bundle.bind(dut) +# +# bpu_env = BpuEnv(sub_predic_ctrl_bundle, spec_info_bundle) +# +# #the transaction +# enable_dict = { +# 'ubtb_en' : 1, +# 'btb_en' : 1, +# 'tage_en' : 1, +# 'sc_en' : 1, +# 'ras_en' : 1 +# } +# +# await bpu_env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(dut, enable_dict) +# +# print("exec bottom!!!") + + +# if __name__ == "__main__": +# mlvp.run(test_env()) diff --git a/tests/bpu_top/env/config.py b/tests/bpu_top/env/config.py new file mode 100644 index 0000000..5105e63 --- /dev/null +++ b/tests/bpu_top/env/config.py @@ -0,0 +1,6 @@ +import os +ROOT_PATH = os.path.dirname(os.path.abspath(__file__))+"/../../../" +TESTS_PATH = ROOT_PATH + "tests/" +DUT_PATH = ROOT_PATH + "out/picker_out_BPUTop/" +#FTB_PATH = ROOT_PATH + "tests/bpu_top/env/" +#os.sys.path.append(DUT_PATH) diff --git a/tests/bpu_top/reports/GLCC_BPU-TOP模块验证报告.pdf b/tests/bpu_top/reports/GLCC_BPU-TOP模块验证报告.pdf new file mode 100644 index 0000000..f92d671 Binary files /dev/null and b/tests/bpu_top/reports/GLCC_BPU-TOP模块验证报告.pdf differ diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.func-sort-c.html new file mode 100644 index 0000000..a23e411 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3939100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.func.html new file mode 100644 index 0000000..33f9236 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3939100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.gcov.html new file mode 100644 index 0000000..2ac4a15 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate.sv.gcov.html @@ -0,0 +1,212 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3939100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module CAMTemplate(
+      59      127786 :   input        clock,
+      60         261 :   input  [8:0] io_r_req_0_idx,
+      61         539 :   output       io_r_resp_0_0,
+      62         466 :   output       io_r_resp_0_1,
+      63         490 :   output       io_r_resp_0_2,
+      64         455 :   output       io_r_resp_0_3,
+      65         493 :   output       io_r_resp_0_4,
+      66         472 :   output       io_r_resp_0_5,
+      67         439 :   output       io_r_resp_0_6,
+      68         473 :   output       io_r_resp_0_7,
+      69         612 :   input        io_w_valid,
+      70         261 :   input  [8:0] io_w_bits_data_idx,
+      71        2358 :   input  [2:0] io_w_bits_index
+      72             : );
+      73             : 
+      74        4484 :   reg [8:0] array_0;
+      75        4158 :   reg [8:0] array_1;
+      76        4152 :   reg [8:0] array_2;
+      77        4135 :   reg [8:0] array_3;
+      78        4321 :   reg [8:0] array_4;
+      79        4222 :   reg [8:0] array_5;
+      80        4201 :   reg [8:0] array_6;
+      81        4284 :   reg [8:0] array_7;
+      82     4086208 :   always @(posedge clock) begin
+      83         152 :     if (io_w_valid & io_w_bits_index == 3'h0)
+      84          76 :       array_0 <= io_w_bits_data_idx;
+      85          22 :     if (io_w_valid & io_w_bits_index == 3'h1)
+      86          11 :       array_1 <= io_w_bits_data_idx;
+      87          14 :     if (io_w_valid & io_w_bits_index == 3'h2)
+      88           7 :       array_2 <= io_w_bits_data_idx;
+      89          10 :     if (io_w_valid & io_w_bits_index == 3'h3)
+      90           5 :       array_3 <= io_w_bits_data_idx;
+      91          30 :     if (io_w_valid & io_w_bits_index == 3'h4)
+      92          15 :       array_4 <= io_w_bits_data_idx;
+      93          18 :     if (io_w_valid & io_w_bits_index == 3'h5)
+      94           9 :       array_5 <= io_w_bits_data_idx;
+      95          10 :     if (io_w_valid & io_w_bits_index == 3'h6)
+      96           5 :       array_6 <= io_w_bits_data_idx;
+      97          20 :     if (io_w_valid & (&io_w_bits_index))
+      98          10 :       array_7 <= io_w_bits_data_idx;
+      99             :   end // always @(posedge)
+     100             :   `ifdef ENABLE_INITIAL_REG_
+     101             :     `ifdef FIRRTL_BEFORE_INITIAL
+     102             :       `FIRRTL_BEFORE_INITIAL
+     103             :     `endif // FIRRTL_BEFORE_INITIAL
+     104             :     logic [31:0] _RANDOM[0:2];
+     105        1856 :     initial begin
+     106             :       `ifdef INIT_RANDOM_PROLOG_
+     107             :         `INIT_RANDOM_PROLOG_
+     108             :       `endif // INIT_RANDOM_PROLOG_
+     109             :       `ifdef RANDOMIZE_REG_INIT
+     110             :         for (logic [1:0] i = 2'h0; i < 2'h3; i += 2'h1) begin
+     111             :           _RANDOM[i] = `RANDOM;
+     112             :         end
+     113             :         array_0 = _RANDOM[2'h0][8:0];
+     114             :         array_1 = _RANDOM[2'h0][17:9];
+     115             :         array_2 = _RANDOM[2'h0][26:18];
+     116             :         array_3 = {_RANDOM[2'h0][31:27], _RANDOM[2'h1][3:0]};
+     117             :         array_4 = _RANDOM[2'h1][12:4];
+     118             :         array_5 = _RANDOM[2'h1][21:13];
+     119             :         array_6 = _RANDOM[2'h1][30:22];
+     120             :         array_7 = {_RANDOM[2'h1][31], _RANDOM[2'h2][7:0]};
+     121             :       `endif // RANDOMIZE_REG_INIT
+     122             :     end // initial
+     123             :     `ifdef FIRRTL_AFTER_INITIAL
+     124             :       `FIRRTL_AFTER_INITIAL
+     125             :     `endif // FIRRTL_AFTER_INITIAL
+     126             :   `endif // ENABLE_INITIAL_REG_
+     127             :   assign io_r_resp_0_0 = io_r_req_0_idx == array_0;
+     128             :   assign io_r_resp_0_1 = io_r_req_0_idx == array_1;
+     129             :   assign io_r_resp_0_2 = io_r_req_0_idx == array_2;
+     130             :   assign io_r_resp_0_3 = io_r_req_0_idx == array_3;
+     131             :   assign io_r_resp_0_4 = io_r_req_0_idx == array_4;
+     132             :   assign io_r_resp_0_5 = io_r_req_0_idx == array_5;
+     133             :   assign io_r_resp_0_6 = io_r_req_0_idx == array_6;
+     134             :   assign io_r_resp_0_7 = io_r_req_0_idx == array_7;
+     135             : endmodule
+     136             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.func-sort-c.html new file mode 100644 index 0000000..32aa22d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_32.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_32.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:333984.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.func.html new file mode 100644 index 0000000..ad1c51c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_32.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_32.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:333984.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.gcov.html new file mode 100644 index 0000000..61c5c1d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_32.sv.gcov.html @@ -0,0 +1,212 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_32.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_32.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:333984.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module CAMTemplate_32(
+      59      127786 :   input         clock,
+      60         189 :   input  [10:0] io_r_req_0_idx,
+      61          25 :   output        io_r_resp_0_0,
+      62          13 :   output        io_r_resp_0_1,
+      63          19 :   output        io_r_resp_0_2,
+      64          25 :   output        io_r_resp_0_3,
+      65          16 :   output        io_r_resp_0_4,
+      66          17 :   output        io_r_resp_0_5,
+      67          15 :   output        io_r_resp_0_6,
+      68          14 :   output        io_r_resp_0_7,
+      69          40 :   input         io_w_valid,
+      70         189 :   input  [10:0] io_w_bits_data_idx,
+      71          94 :   input  [2:0]  io_w_bits_index
+      72             : );
+      73             : 
+      74         227 :   reg [10:0] array_0;
+      75         157 :   reg [10:0] array_1;
+      76         184 :   reg [10:0] array_2;
+      77         179 :   reg [10:0] array_3;
+      78         153 :   reg [10:0] array_4;
+      79         162 :   reg [10:0] array_5;
+      80         151 :   reg [10:0] array_6;
+      81         158 :   reg [10:0] array_7;
+      82      127694 :   always @(posedge clock) begin
+      83          28 :     if (io_w_valid & io_w_bits_index == 3'h0)
+      84          14 :       array_0 <= io_w_bits_data_idx;
+      85           2 :     if (io_w_valid & io_w_bits_index == 3'h1)
+      86           1 :       array_1 <= io_w_bits_data_idx;
+      87           8 :     if (io_w_valid & io_w_bits_index == 3'h2)
+      88           4 :       array_2 <= io_w_bits_data_idx;
+      89           6 :     if (io_w_valid & io_w_bits_index == 3'h3)
+      90           3 :       array_3 <= io_w_bits_data_idx;
+      91           0 :     if (io_w_valid & io_w_bits_index == 3'h4)
+      92           0 :       array_4 <= io_w_bits_data_idx;
+      93           4 :     if (io_w_valid & io_w_bits_index == 3'h5)
+      94           2 :       array_5 <= io_w_bits_data_idx;
+      95           0 :     if (io_w_valid & io_w_bits_index == 3'h6)
+      96           0 :       array_6 <= io_w_bits_data_idx;
+      97           0 :     if (io_w_valid & (&io_w_bits_index))
+      98           0 :       array_7 <= io_w_bits_data_idx;
+      99             :   end // always @(posedge)
+     100             :   `ifdef ENABLE_INITIAL_REG_
+     101             :     `ifdef FIRRTL_BEFORE_INITIAL
+     102             :       `FIRRTL_BEFORE_INITIAL
+     103             :     `endif // FIRRTL_BEFORE_INITIAL
+     104             :     logic [31:0] _RANDOM[0:2];
+     105          58 :     initial begin
+     106             :       `ifdef INIT_RANDOM_PROLOG_
+     107             :         `INIT_RANDOM_PROLOG_
+     108             :       `endif // INIT_RANDOM_PROLOG_
+     109             :       `ifdef RANDOMIZE_REG_INIT
+     110             :         for (logic [1:0] i = 2'h0; i < 2'h3; i += 2'h1) begin
+     111             :           _RANDOM[i] = `RANDOM;
+     112             :         end
+     113             :         array_0 = _RANDOM[2'h0][10:0];
+     114             :         array_1 = _RANDOM[2'h0][21:11];
+     115             :         array_2 = {_RANDOM[2'h0][31:22], _RANDOM[2'h1][0]};
+     116             :         array_3 = _RANDOM[2'h1][11:1];
+     117             :         array_4 = _RANDOM[2'h1][22:12];
+     118             :         array_5 = {_RANDOM[2'h1][31:23], _RANDOM[2'h2][1:0]};
+     119             :         array_6 = _RANDOM[2'h2][12:2];
+     120             :         array_7 = _RANDOM[2'h2][23:13];
+     121             :       `endif // RANDOMIZE_REG_INIT
+     122             :     end // initial
+     123             :     `ifdef FIRRTL_AFTER_INITIAL
+     124             :       `FIRRTL_AFTER_INITIAL
+     125             :     `endif // FIRRTL_AFTER_INITIAL
+     126             :   `endif // ENABLE_INITIAL_REG_
+     127             :   assign io_r_resp_0_0 = io_r_req_0_idx == array_0;
+     128             :   assign io_r_resp_0_1 = io_r_req_0_idx == array_1;
+     129             :   assign io_r_resp_0_2 = io_r_req_0_idx == array_2;
+     130             :   assign io_r_resp_0_3 = io_r_req_0_idx == array_3;
+     131             :   assign io_r_resp_0_4 = io_r_req_0_idx == array_4;
+     132             :   assign io_r_resp_0_5 = io_r_req_0_idx == array_5;
+     133             :   assign io_r_resp_0_6 = io_r_req_0_idx == array_6;
+     134             :   assign io_r_resp_0_7 = io_r_req_0_idx == array_7;
+     135             : endmodule
+     136             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.func-sort-c.html new file mode 100644 index 0000000..67544f2 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_33.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_33.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:7171100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.func.html new file mode 100644 index 0000000..3a46665 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_33.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_33.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:7171100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.gcov.html new file mode 100644 index 0000000..2813ae3 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_33.sv.gcov.html @@ -0,0 +1,260 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_33.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_33.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:7171100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module CAMTemplate_33(
+      59      127786 :   input        clock,
+      60         131 :   input  [7:0] io_r_req_0_idx,
+      61         188 :   output       io_r_resp_0_0,
+      62         124 :   output       io_r_resp_0_1,
+      63         118 :   output       io_r_resp_0_2,
+      64         127 :   output       io_r_resp_0_3,
+      65         122 :   output       io_r_resp_0_4,
+      66         139 :   output       io_r_resp_0_5,
+      67         113 :   output       io_r_resp_0_6,
+      68         129 :   output       io_r_resp_0_7,
+      69         115 :   output       io_r_resp_0_8,
+      70         136 :   output       io_r_resp_0_9,
+      71         121 :   output       io_r_resp_0_10,
+      72         128 :   output       io_r_resp_0_11,
+      73         123 :   output       io_r_resp_0_12,
+      74         121 :   output       io_r_resp_0_13,
+      75         108 :   output       io_r_resp_0_14,
+      76         121 :   output       io_r_resp_0_15,
+      77         251 :   input        io_w_valid,
+      78         131 :   input  [7:0] io_w_bits_data_idx,
+      79         926 :   input  [3:0] io_w_bits_index
+      80             : );
+      81             : 
+      82        1172 :   reg [7:0] array_0;
+      83         949 :   reg [7:0] array_1;
+      84         951 :   reg [7:0] array_2;
+      85         983 :   reg [7:0] array_3;
+      86         917 :   reg [7:0] array_4;
+      87         965 :   reg [7:0] array_5;
+      88         949 :   reg [7:0] array_6;
+      89         939 :   reg [7:0] array_7;
+      90         942 :   reg [7:0] array_8;
+      91         922 :   reg [7:0] array_9;
+      92         931 :   reg [7:0] array_10;
+      93         962 :   reg [7:0] array_11;
+      94         946 :   reg [7:0] array_12;
+      95         931 :   reg [7:0] array_13;
+      96         960 :   reg [7:0] array_14;
+      97         978 :   reg [7:0] array_15;
+      98     1021552 :   always @(posedge clock) begin
+      99         138 :     if (io_w_valid & io_w_bits_index == 4'h0)
+     100          69 :       array_0 <= io_w_bits_data_idx;
+     101          10 :     if (io_w_valid & io_w_bits_index == 4'h1)
+     102           5 :       array_1 <= io_w_bits_data_idx;
+     103           8 :     if (io_w_valid & io_w_bits_index == 4'h2)
+     104           4 :       array_2 <= io_w_bits_data_idx;
+     105          12 :     if (io_w_valid & io_w_bits_index == 4'h3)
+     106           6 :       array_3 <= io_w_bits_data_idx;
+     107          10 :     if (io_w_valid & io_w_bits_index == 4'h4)
+     108           5 :       array_4 <= io_w_bits_data_idx;
+     109          10 :     if (io_w_valid & io_w_bits_index == 4'h5)
+     110           5 :       array_5 <= io_w_bits_data_idx;
+     111           6 :     if (io_w_valid & io_w_bits_index == 4'h6)
+     112           3 :       array_6 <= io_w_bits_data_idx;
+     113           6 :     if (io_w_valid & io_w_bits_index == 4'h7)
+     114           3 :       array_7 <= io_w_bits_data_idx;
+     115           4 :     if (io_w_valid & io_w_bits_index == 4'h8)
+     116           2 :       array_8 <= io_w_bits_data_idx;
+     117           8 :     if (io_w_valid & io_w_bits_index == 4'h9)
+     118           4 :       array_9 <= io_w_bits_data_idx;
+     119           4 :     if (io_w_valid & io_w_bits_index == 4'hA)
+     120           2 :       array_10 <= io_w_bits_data_idx;
+     121          10 :     if (io_w_valid & io_w_bits_index == 4'hB)
+     122           5 :       array_11 <= io_w_bits_data_idx;
+     123           8 :     if (io_w_valid & io_w_bits_index == 4'hC)
+     124           4 :       array_12 <= io_w_bits_data_idx;
+     125           6 :     if (io_w_valid & io_w_bits_index == 4'hD)
+     126           3 :       array_13 <= io_w_bits_data_idx;
+     127           6 :     if (io_w_valid & io_w_bits_index == 4'hE)
+     128           3 :       array_14 <= io_w_bits_data_idx;
+     129           8 :     if (io_w_valid & (&io_w_bits_index))
+     130           4 :       array_15 <= io_w_bits_data_idx;
+     131             :   end // always @(posedge)
+     132             :   `ifdef ENABLE_INITIAL_REG_
+     133             :     `ifdef FIRRTL_BEFORE_INITIAL
+     134             :       `FIRRTL_BEFORE_INITIAL
+     135             :     `endif // FIRRTL_BEFORE_INITIAL
+     136             :     logic [31:0] _RANDOM[0:3];
+     137         464 :     initial begin
+     138             :       `ifdef INIT_RANDOM_PROLOG_
+     139             :         `INIT_RANDOM_PROLOG_
+     140             :       `endif // INIT_RANDOM_PROLOG_
+     141             :       `ifdef RANDOMIZE_REG_INIT
+     142             :         for (logic [2:0] i = 3'h0; i < 3'h4; i += 3'h1) begin
+     143             :           _RANDOM[i[1:0]] = `RANDOM;
+     144             :         end
+     145             :         array_0 = _RANDOM[2'h0][7:0];
+     146             :         array_1 = _RANDOM[2'h0][15:8];
+     147             :         array_2 = _RANDOM[2'h0][23:16];
+     148             :         array_3 = _RANDOM[2'h0][31:24];
+     149             :         array_4 = _RANDOM[2'h1][7:0];
+     150             :         array_5 = _RANDOM[2'h1][15:8];
+     151             :         array_6 = _RANDOM[2'h1][23:16];
+     152             :         array_7 = _RANDOM[2'h1][31:24];
+     153             :         array_8 = _RANDOM[2'h2][7:0];
+     154             :         array_9 = _RANDOM[2'h2][15:8];
+     155             :         array_10 = _RANDOM[2'h2][23:16];
+     156             :         array_11 = _RANDOM[2'h2][31:24];
+     157             :         array_12 = _RANDOM[2'h3][7:0];
+     158             :         array_13 = _RANDOM[2'h3][15:8];
+     159             :         array_14 = _RANDOM[2'h3][23:16];
+     160             :         array_15 = _RANDOM[2'h3][31:24];
+     161             :       `endif // RANDOMIZE_REG_INIT
+     162             :     end // initial
+     163             :     `ifdef FIRRTL_AFTER_INITIAL
+     164             :       `FIRRTL_AFTER_INITIAL
+     165             :     `endif // FIRRTL_AFTER_INITIAL
+     166             :   `endif // ENABLE_INITIAL_REG_
+     167             :   assign io_r_resp_0_0 = io_r_req_0_idx == array_0;
+     168             :   assign io_r_resp_0_1 = io_r_req_0_idx == array_1;
+     169             :   assign io_r_resp_0_2 = io_r_req_0_idx == array_2;
+     170             :   assign io_r_resp_0_3 = io_r_req_0_idx == array_3;
+     171             :   assign io_r_resp_0_4 = io_r_req_0_idx == array_4;
+     172             :   assign io_r_resp_0_5 = io_r_req_0_idx == array_5;
+     173             :   assign io_r_resp_0_6 = io_r_req_0_idx == array_6;
+     174             :   assign io_r_resp_0_7 = io_r_req_0_idx == array_7;
+     175             :   assign io_r_resp_0_8 = io_r_req_0_idx == array_8;
+     176             :   assign io_r_resp_0_9 = io_r_req_0_idx == array_9;
+     177             :   assign io_r_resp_0_10 = io_r_req_0_idx == array_10;
+     178             :   assign io_r_resp_0_11 = io_r_req_0_idx == array_11;
+     179             :   assign io_r_resp_0_12 = io_r_req_0_idx == array_12;
+     180             :   assign io_r_resp_0_13 = io_r_req_0_idx == array_13;
+     181             :   assign io_r_resp_0_14 = io_r_req_0_idx == array_14;
+     182             :   assign io_r_resp_0_15 = io_r_req_0_idx == array_15;
+     183             : endmodule
+     184             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.func-sort-c.html new file mode 100644 index 0000000..a01a269 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_41.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_41.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2323100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.func.html new file mode 100644 index 0000000..b25f60d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_41.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_41.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2323100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.gcov.html new file mode 100644 index 0000000..09564a1 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_41.sv.gcov.html @@ -0,0 +1,186 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_41.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_41.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2323100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module CAMTemplate_41(
+      59      127786 :   input        clock,
+      60         105 :   input  [7:0] io_r_req_0_idx,
+      61          43 :   output       io_r_resp_0_0,
+      62          32 :   output       io_r_resp_0_1,
+      63          30 :   output       io_r_resp_0_2,
+      64          30 :   output       io_r_resp_0_3,
+      65          49 :   input        io_w_valid,
+      66         105 :   input  [7:0] io_w_bits_data_idx,
+      67         118 :   input  [1:0] io_w_bits_index
+      68             : );
+      69             : 
+      70         286 :   reg [7:0] array_0;
+      71         233 :   reg [7:0] array_1;
+      72         252 :   reg [7:0] array_2;
+      73         242 :   reg [7:0] array_3;
+      74      255388 :   always @(posedge clock) begin
+      75          28 :     if (io_w_valid & io_w_bits_index == 2'h0)
+      76          14 :       array_0 <= io_w_bits_data_idx;
+      77           4 :     if (io_w_valid & io_w_bits_index == 2'h1)
+      78           2 :       array_1 <= io_w_bits_data_idx;
+      79           8 :     if (io_w_valid & io_w_bits_index == 2'h2)
+      80           4 :       array_2 <= io_w_bits_data_idx;
+      81           6 :     if (io_w_valid & (&io_w_bits_index))
+      82           3 :       array_3 <= io_w_bits_data_idx;
+      83             :   end // always @(posedge)
+      84             :   `ifdef ENABLE_INITIAL_REG_
+      85             :     `ifdef FIRRTL_BEFORE_INITIAL
+      86             :       `FIRRTL_BEFORE_INITIAL
+      87             :     `endif // FIRRTL_BEFORE_INITIAL
+      88             :     logic [31:0] _RANDOM[0:0];
+      89         116 :     initial begin
+      90             :       `ifdef INIT_RANDOM_PROLOG_
+      91             :         `INIT_RANDOM_PROLOG_
+      92             :       `endif // INIT_RANDOM_PROLOG_
+      93             :       `ifdef RANDOMIZE_REG_INIT
+      94             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+      95             :         array_0 = _RANDOM[/*Zero width*/ 1'b0][7:0];
+      96             :         array_1 = _RANDOM[/*Zero width*/ 1'b0][15:8];
+      97             :         array_2 = _RANDOM[/*Zero width*/ 1'b0][23:16];
+      98             :         array_3 = _RANDOM[/*Zero width*/ 1'b0][31:24];
+      99             :       `endif // RANDOMIZE_REG_INIT
+     100             :     end // initial
+     101             :     `ifdef FIRRTL_AFTER_INITIAL
+     102             :       `FIRRTL_AFTER_INITIAL
+     103             :     `endif // FIRRTL_AFTER_INITIAL
+     104             :   `endif // ENABLE_INITIAL_REG_
+     105             :   assign io_r_resp_0_0 = io_r_req_0_idx == array_0;
+     106             :   assign io_r_resp_0_1 = io_r_req_0_idx == array_1;
+     107             :   assign io_r_resp_0_2 = io_r_req_0_idx == array_2;
+     108             :   assign io_r_resp_0_3 = io_r_req_0_idx == array_3;
+     109             : endmodule
+     110             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.func-sort-c.html new file mode 100644 index 0000000..906ff81 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_43.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:212391.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.func.html new file mode 100644 index 0000000..86401c1 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_43.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:212391.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.gcov.html new file mode 100644 index 0000000..bd24408 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/CAMTemplate_43.sv.gcov.html @@ -0,0 +1,188 @@ + + + + + + + LCOV - merged.info - BPUTop/CAMTemplate_43.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - CAMTemplate_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:212391.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module CAMTemplate_43(
+      59      127786 :   input        clock,
+      60         125 :   input  [8:0] io_r_req_0_idx,
+      61          73 :   output       io_r_resp_0_0,
+      62          41 :   output       io_r_resp_0_1,
+      63          50 :   output       io_r_resp_0_2,
+      64          51 :   output       io_r_resp_0_3,
+      65          82 :   input        io_w_valid,
+      66         125 :   input  [8:0] io_w_bits_data_idx,
+      67         180 :   input  [1:0] io_w_bits_index
+      68             : );
+      69             : 
+      70         509 :   reg [8:0] array_0;
+      71         392 :   reg [8:0] array_1;
+      72         440 :   reg [8:0] array_2;
+      73         407 :   reg [8:0] array_3;
+      74      383082 :   always @(posedge clock) begin
+      75          54 :     if (io_w_valid & io_w_bits_index == 2'h0)
+      76          27 :       array_0 <= io_w_bits_data_idx;
+      77           0 :     if (io_w_valid & io_w_bits_index == 2'h1)
+      78           0 :       array_1 <= io_w_bits_data_idx;
+      79          18 :     if (io_w_valid & io_w_bits_index == 2'h2)
+      80           9 :       array_2 <= io_w_bits_data_idx;
+      81           8 :     if (io_w_valid & (&io_w_bits_index))
+      82           4 :       array_3 <= io_w_bits_data_idx;
+      83             :   end // always @(posedge)
+      84             :   `ifdef ENABLE_INITIAL_REG_
+      85             :     `ifdef FIRRTL_BEFORE_INITIAL
+      86             :       `FIRRTL_BEFORE_INITIAL
+      87             :     `endif // FIRRTL_BEFORE_INITIAL
+      88             :     logic [31:0] _RANDOM[0:1];
+      89         174 :     initial begin
+      90             :       `ifdef INIT_RANDOM_PROLOG_
+      91             :         `INIT_RANDOM_PROLOG_
+      92             :       `endif // INIT_RANDOM_PROLOG_
+      93             :       `ifdef RANDOMIZE_REG_INIT
+      94             :         for (logic [1:0] i = 2'h0; i < 2'h2; i += 2'h1) begin
+      95             :           _RANDOM[i[0]] = `RANDOM;
+      96             :         end
+      97             :         array_0 = _RANDOM[1'h0][8:0];
+      98             :         array_1 = _RANDOM[1'h0][17:9];
+      99             :         array_2 = _RANDOM[1'h0][26:18];
+     100             :         array_3 = {_RANDOM[1'h0][31:27], _RANDOM[1'h1][3:0]};
+     101             :       `endif // RANDOMIZE_REG_INIT
+     102             :     end // initial
+     103             :     `ifdef FIRRTL_AFTER_INITIAL
+     104             :       `FIRRTL_AFTER_INITIAL
+     105             :     `endif // FIRRTL_AFTER_INITIAL
+     106             :   `endif // ENABLE_INITIAL_REG_
+     107             :   assign io_r_resp_0_0 = io_r_req_0_idx == array_0;
+     108             :   assign io_r_resp_0_1 = io_r_req_0_idx == array_1;
+     109             :   assign io_r_resp_0_2 = io_r_req_0_idx == array_2;
+     110             :   assign io_r_resp_0_3 = io_r_req_0_idx == array_3;
+     111             : endmodule
+     112             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.func-sort-c.html new file mode 100644 index 0000000..38bb6fa --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Composer.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Composer.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:320320100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.func.html new file mode 100644 index 0000000..cc9796b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Composer.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Composer.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:320320100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.gcov.html new file mode 100644 index 0000000..5bcf4a5 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Composer.sv.gcov.html @@ -0,0 +1,2502 @@ + + + + + + + LCOV - merged.info - BPUTop/Composer.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Composer.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:320320100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module Composer(
+      59      127786 :   input          clock,
+      60          62 :   input          reset,
+      61        1105 :   input  [35:0]  io_reset_vector,
+      62       10337 :   input  [40:0]  io_in_bits_s0_pc_0,
+      63       10224 :   input  [40:0]  io_in_bits_s0_pc_1,
+      64       10251 :   input  [40:0]  io_in_bits_s0_pc_2,
+      65       35066 :   input  [40:0]  io_in_bits_s0_pc_3,
+      66        1182 :   input  [10:0]  io_in_bits_folded_hist_1_hist_17_folded_hist,
+      67        1102 :   input  [10:0]  io_in_bits_folded_hist_1_hist_16_folded_hist,
+      68         828 :   input  [6:0]   io_in_bits_folded_hist_1_hist_15_folded_hist,
+      69         295 :   input  [7:0]   io_in_bits_folded_hist_1_hist_14_folded_hist,
+      70         844 :   input  [6:0]   io_in_bits_folded_hist_1_hist_9_folded_hist,
+      71         930 :   input  [7:0]   io_in_bits_folded_hist_1_hist_8_folded_hist,
+      72         760 :   input  [6:0]   io_in_bits_folded_hist_1_hist_7_folded_hist,
+      73         711 :   input  [6:0]   io_in_bits_folded_hist_1_hist_5_folded_hist,
+      74         300 :   input  [7:0]   io_in_bits_folded_hist_1_hist_4_folded_hist,
+      75         263 :   input  [7:0]   io_in_bits_folded_hist_1_hist_3_folded_hist,
+      76        1122 :   input  [10:0]  io_in_bits_folded_hist_1_hist_1_folded_hist,
+      77         564 :   input  [7:0]   io_in_bits_folded_hist_3_hist_14_folded_hist,
+      78         989 :   input  [8:0]   io_in_bits_folded_hist_3_hist_13_folded_hist,
+      79         474 :   input  [3:0]   io_in_bits_folded_hist_3_hist_12_folded_hist,
+      80         858 :   input  [7:0]   io_in_bits_folded_hist_3_hist_11_folded_hist,
+      81         998 :   input  [8:0]   io_in_bits_folded_hist_3_hist_10_folded_hist,
+      82         987 :   input  [8:0]   io_in_bits_folded_hist_3_hist_6_folded_hist,
+      83         602 :   input  [7:0]   io_in_bits_folded_hist_3_hist_4_folded_hist,
+      84         517 :   input  [7:0]   io_in_bits_folded_hist_3_hist_3_folded_hist,
+      85         811 :   input  [7:0]   io_in_bits_folded_hist_3_hist_2_folded_hist,
+      86        9878 :   output [40:0]  io_out_s1_pc_0,
+      87        9918 :   output [40:0]  io_out_s1_pc_1,
+      88        9857 :   output [40:0]  io_out_s1_pc_2,
+      89        9933 :   output [40:0]  io_out_s1_pc_3,
+      90          15 :   output         io_out_s1_full_pred_0_br_taken_mask_0,
+      91          17 :   output         io_out_s1_full_pred_0_br_taken_mask_1,
+      92          12 :   output         io_out_s1_full_pred_0_slot_valids_0,
+      93           9 :   output         io_out_s1_full_pred_0_slot_valids_1,
+      94         615 :   output [40:0]  io_out_s1_full_pred_0_targets_0,
+      95         574 :   output [40:0]  io_out_s1_full_pred_0_targets_1,
+      96          57 :   output [3:0]   io_out_s1_full_pred_0_offsets_0,
+      97          64 :   output [3:0]   io_out_s1_full_pred_0_offsets_1,
+      98         596 :   output [40:0]  io_out_s1_full_pred_0_fallThroughAddr,
+      99          14 :   output         io_out_s1_full_pred_0_is_br_sharing,
+     100          14 :   output         io_out_s1_full_pred_0_hit,
+     101          15 :   output         io_out_s1_full_pred_1_br_taken_mask_0,
+     102          17 :   output         io_out_s1_full_pred_1_br_taken_mask_1,
+     103          12 :   output         io_out_s1_full_pred_1_slot_valids_0,
+     104           9 :   output         io_out_s1_full_pred_1_slot_valids_1,
+     105         615 :   output [40:0]  io_out_s1_full_pred_1_targets_0,
+     106         574 :   output [40:0]  io_out_s1_full_pred_1_targets_1,
+     107          57 :   output [3:0]   io_out_s1_full_pred_1_offsets_0,
+     108          64 :   output [3:0]   io_out_s1_full_pred_1_offsets_1,
+     109         596 :   output [40:0]  io_out_s1_full_pred_1_fallThroughAddr,
+     110          14 :   output         io_out_s1_full_pred_1_is_br_sharing,
+     111          14 :   output         io_out_s1_full_pred_1_hit,
+     112          15 :   output         io_out_s1_full_pred_2_br_taken_mask_0,
+     113          17 :   output         io_out_s1_full_pred_2_br_taken_mask_1,
+     114          12 :   output         io_out_s1_full_pred_2_slot_valids_0,
+     115           9 :   output         io_out_s1_full_pred_2_slot_valids_1,
+     116         615 :   output [40:0]  io_out_s1_full_pred_2_targets_0,
+     117         574 :   output [40:0]  io_out_s1_full_pred_2_targets_1,
+     118          57 :   output [3:0]   io_out_s1_full_pred_2_offsets_0,
+     119          64 :   output [3:0]   io_out_s1_full_pred_2_offsets_1,
+     120         596 :   output [40:0]  io_out_s1_full_pred_2_fallThroughAddr,
+     121          14 :   output         io_out_s1_full_pred_2_is_br_sharing,
+     122          14 :   output         io_out_s1_full_pred_2_hit,
+     123          15 :   output         io_out_s1_full_pred_3_br_taken_mask_0,
+     124          17 :   output         io_out_s1_full_pred_3_br_taken_mask_1,
+     125          12 :   output         io_out_s1_full_pred_3_slot_valids_0,
+     126           9 :   output         io_out_s1_full_pred_3_slot_valids_1,
+     127         615 :   output [40:0]  io_out_s1_full_pred_3_targets_0,
+     128         574 :   output [40:0]  io_out_s1_full_pred_3_targets_1,
+     129          57 :   output [3:0]   io_out_s1_full_pred_3_offsets_0,
+     130          64 :   output [3:0]   io_out_s1_full_pred_3_offsets_1,
+     131         596 :   output [40:0]  io_out_s1_full_pred_3_fallThroughAddr,
+     132          10 :   output         io_out_s1_full_pred_3_fallThroughErr,
+     133          14 :   output         io_out_s1_full_pred_3_is_br_sharing,
+     134          14 :   output         io_out_s1_full_pred_3_hit,
+     135        9399 :   output [40:0]  io_out_s2_pc_0,
+     136        9353 :   output [40:0]  io_out_s2_pc_1,
+     137        9356 :   output [40:0]  io_out_s2_pc_2,
+     138        9388 :   output [40:0]  io_out_s2_pc_3,
+     139          79 :   output         io_out_s2_full_pred_0_br_taken_mask_0,
+     140          69 :   output         io_out_s2_full_pred_0_br_taken_mask_1,
+     141          31 :   output         io_out_s2_full_pred_0_slot_valids_0,
+     142          30 :   output         io_out_s2_full_pred_0_slot_valids_1,
+     143        1189 :   output [40:0]  io_out_s2_full_pred_0_targets_0,
+     144        1454 :   output [40:0]  io_out_s2_full_pred_0_targets_1,
+     145         116 :   output [3:0]   io_out_s2_full_pred_0_offsets_0,
+     146         107 :   output [3:0]   io_out_s2_full_pred_0_offsets_1,
+     147        9456 :   output [40:0]  io_out_s2_full_pred_0_fallThroughAddr,
+     148          31 :   output         io_out_s2_full_pred_0_is_br_sharing,
+     149          18 :   output         io_out_s2_full_pred_0_hit,
+     150          59 :   output         io_out_s2_full_pred_1_br_taken_mask_0,
+     151          71 :   output         io_out_s2_full_pred_1_br_taken_mask_1,
+     152          24 :   output         io_out_s2_full_pred_1_slot_valids_0,
+     153          24 :   output         io_out_s2_full_pred_1_slot_valids_1,
+     154        1278 :   output [40:0]  io_out_s2_full_pred_1_targets_0,
+     155        1345 :   output [40:0]  io_out_s2_full_pred_1_targets_1,
+     156         108 :   output [3:0]   io_out_s2_full_pred_1_offsets_0,
+     157         119 :   output [3:0]   io_out_s2_full_pred_1_offsets_1,
+     158        9458 :   output [40:0]  io_out_s2_full_pred_1_fallThroughAddr,
+     159          17 :   output         io_out_s2_full_pred_1_is_br_sharing,
+     160          25 :   output         io_out_s2_full_pred_1_hit,
+     161          72 :   output         io_out_s2_full_pred_2_br_taken_mask_0,
+     162          58 :   output         io_out_s2_full_pred_2_br_taken_mask_1,
+     163          31 :   output         io_out_s2_full_pred_2_slot_valids_0,
+     164          28 :   output         io_out_s2_full_pred_2_slot_valids_1,
+     165        1211 :   output [40:0]  io_out_s2_full_pred_2_targets_0,
+     166        1384 :   output [40:0]  io_out_s2_full_pred_2_targets_1,
+     167         114 :   output [3:0]   io_out_s2_full_pred_2_offsets_0,
+     168         120 :   output [3:0]   io_out_s2_full_pred_2_offsets_1,
+     169        9409 :   output [40:0]  io_out_s2_full_pred_2_fallThroughAddr,
+     170          23 :   output         io_out_s2_full_pred_2_is_br_sharing,
+     171          29 :   output         io_out_s2_full_pred_2_hit,
+     172          79 :   output         io_out_s2_full_pred_3_br_taken_mask_0,
+     173          76 :   output         io_out_s2_full_pred_3_br_taken_mask_1,
+     174          27 :   output         io_out_s2_full_pred_3_slot_valids_0,
+     175          30 :   output         io_out_s2_full_pred_3_slot_valids_1,
+     176        1252 :   output [40:0]  io_out_s2_full_pred_3_targets_0,
+     177        1433 :   output [40:0]  io_out_s2_full_pred_3_targets_1,
+     178         119 :   output [3:0]   io_out_s2_full_pred_3_offsets_0,
+     179         105 :   output [3:0]   io_out_s2_full_pred_3_offsets_1,
+     180        9476 :   output [40:0]  io_out_s2_full_pred_3_fallThroughAddr,
+     181          41 :   output         io_out_s2_full_pred_3_fallThroughErr,
+     182          22 :   output         io_out_s2_full_pred_3_is_br_sharing,
+     183          18 :   output         io_out_s2_full_pred_3_hit,
+     184        9337 :   output [40:0]  io_out_s3_pc_0,
+     185        9352 :   output [40:0]  io_out_s3_pc_1,
+     186        9331 :   output [40:0]  io_out_s3_pc_2,
+     187        9336 :   output [40:0]  io_out_s3_pc_3,
+     188          82 :   output         io_out_s3_full_pred_0_br_taken_mask_0,
+     189          70 :   output         io_out_s3_full_pred_0_br_taken_mask_1,
+     190          30 :   output         io_out_s3_full_pred_0_slot_valids_0,
+     191          33 :   output         io_out_s3_full_pred_0_slot_valids_1,
+     192        1241 :   output [40:0]  io_out_s3_full_pred_0_targets_0,
+     193        1451 :   output [40:0]  io_out_s3_full_pred_0_targets_1,
+     194        9423 :   output [40:0]  io_out_s3_full_pred_0_fallThroughAddr,
+     195          39 :   output         io_out_s3_full_pred_0_fallThroughErr,
+     196          26 :   output         io_out_s3_full_pred_0_is_br_sharing,
+     197          24 :   output         io_out_s3_full_pred_0_hit,
+     198          80 :   output         io_out_s3_full_pred_1_br_taken_mask_0,
+     199          75 :   output         io_out_s3_full_pred_1_br_taken_mask_1,
+     200          30 :   output         io_out_s3_full_pred_1_slot_valids_0,
+     201          39 :   output         io_out_s3_full_pred_1_slot_valids_1,
+     202        1262 :   output [40:0]  io_out_s3_full_pred_1_targets_0,
+     203        1497 :   output [40:0]  io_out_s3_full_pred_1_targets_1,
+     204        9377 :   output [40:0]  io_out_s3_full_pred_1_fallThroughAddr,
+     205          35 :   output         io_out_s3_full_pred_1_fallThroughErr,
+     206          24 :   output         io_out_s3_full_pred_1_is_br_sharing,
+     207          33 :   output         io_out_s3_full_pred_1_hit,
+     208          86 :   output         io_out_s3_full_pred_2_br_taken_mask_0,
+     209          70 :   output         io_out_s3_full_pred_2_br_taken_mask_1,
+     210          26 :   output         io_out_s3_full_pred_2_slot_valids_0,
+     211          30 :   output         io_out_s3_full_pred_2_slot_valids_1,
+     212        1286 :   output [40:0]  io_out_s3_full_pred_2_targets_0,
+     213        1563 :   output [40:0]  io_out_s3_full_pred_2_targets_1,
+     214        9424 :   output [40:0]  io_out_s3_full_pred_2_fallThroughAddr,
+     215          35 :   output         io_out_s3_full_pred_2_fallThroughErr,
+     216          21 :   output         io_out_s3_full_pred_2_is_br_sharing,
+     217          29 :   output         io_out_s3_full_pred_2_hit,
+     218          75 :   output         io_out_s3_full_pred_3_br_taken_mask_0,
+     219          76 :   output         io_out_s3_full_pred_3_br_taken_mask_1,
+     220          41 :   output         io_out_s3_full_pred_3_slot_valids_0,
+     221          42 :   output         io_out_s3_full_pred_3_slot_valids_1,
+     222        1231 :   output [40:0]  io_out_s3_full_pred_3_targets_0,
+     223        1446 :   output [40:0]  io_out_s3_full_pred_3_targets_1,
+     224         136 :   output [3:0]   io_out_s3_full_pred_3_offsets_0,
+     225         131 :   output [3:0]   io_out_s3_full_pred_3_offsets_1,
+     226        9391 :   output [40:0]  io_out_s3_full_pred_3_fallThroughAddr,
+     227          41 :   output         io_out_s3_full_pred_3_fallThroughErr,
+     228          30 :   output         io_out_s3_full_pred_3_is_br_sharing,
+     229          27 :   output         io_out_s3_full_pred_3_hit,
+     230       11660 :   output [222:0] io_out_last_stage_meta,
+     231         149 :   output [3:0]   io_out_last_stage_spec_info_ssp,
+     232          80 :   output [1:0]   io_out_last_stage_spec_info_sctr,
+     233          41 :   output         io_out_last_stage_spec_info_TOSW_flag,
+     234         183 :   output [4:0]   io_out_last_stage_spec_info_TOSW_value,
+     235          40 :   output         io_out_last_stage_spec_info_TOSR_flag,
+     236         214 :   output [4:0]   io_out_last_stage_spec_info_TOSR_value,
+     237          31 :   output         io_out_last_stage_spec_info_NOS_flag,
+     238         160 :   output [4:0]   io_out_last_stage_spec_info_NOS_value,
+     239        1348 :   output [40:0]  io_out_last_stage_spec_info_topAddr,
+     240          33 :   output         io_out_last_stage_ftb_entry_valid,
+     241         119 :   output [3:0]   io_out_last_stage_ftb_entry_brSlots_0_offset,
+     242         411 :   output [11:0]  io_out_last_stage_ftb_entry_brSlots_0_lower,
+     243          59 :   output [1:0]   io_out_last_stage_ftb_entry_brSlots_0_tarStat,
+     244          34 :   output         io_out_last_stage_ftb_entry_brSlots_0_sharing,
+     245          29 :   output         io_out_last_stage_ftb_entry_brSlots_0_valid,
+     246         125 :   output [3:0]   io_out_last_stage_ftb_entry_tailSlot_offset,
+     247         676 :   output [19:0]  io_out_last_stage_ftb_entry_tailSlot_lower,
+     248          70 :   output [1:0]   io_out_last_stage_ftb_entry_tailSlot_tarStat,
+     249          30 :   output         io_out_last_stage_ftb_entry_tailSlot_sharing,
+     250          31 :   output         io_out_last_stage_ftb_entry_tailSlot_valid,
+     251         140 :   output [3:0]   io_out_last_stage_ftb_entry_pftAddr,
+     252          33 :   output         io_out_last_stage_ftb_entry_carry,
+     253          35 :   output         io_out_last_stage_ftb_entry_isCall,
+     254          29 :   output         io_out_last_stage_ftb_entry_isRet,
+     255          40 :   output         io_out_last_stage_ftb_entry_isJalr,
+     256          36 :   output         io_out_last_stage_ftb_entry_last_may_be_rvi_call,
+     257          27 :   output         io_out_last_stage_ftb_entry_always_taken_0,
+     258          32 :   output         io_out_last_stage_ftb_entry_always_taken_1,
+     259          67 :   input          io_ctrl_ubtb_enable,
+     260          65 :   input          io_ctrl_btb_enable,
+     261          63 :   input          io_ctrl_tage_enable,
+     262          75 :   input          io_ctrl_sc_enable,
+     263          69 :   input          io_ctrl_ras_enable,
+     264          75 :   input          io_s0_fire_0,
+     265          76 :   input          io_s0_fire_1,
+     266          76 :   input          io_s0_fire_2,
+     267          73 :   input          io_s0_fire_3,
+     268         133 :   input          io_s1_fire_0,
+     269         131 :   input          io_s1_fire_1,
+     270         135 :   input          io_s1_fire_2,
+     271         133 :   input          io_s1_fire_3,
+     272         127 :   input          io_s2_fire_0,
+     273         127 :   input          io_s2_fire_1,
+     274         127 :   input          io_s2_fire_2,
+     275         127 :   input          io_s2_fire_3,
+     276         127 :   input          io_s3_fire_2,
+     277          20 :   input          io_s3_redirect_2,
+     278          78 :   output         io_s1_ready,
+     279         105 :   input          io_update_valid,
+     280        1143 :   input  [40:0]  io_update_bits_pc,
+     281         310 :   input  [10:0]  io_update_bits_spec_info_folded_hist_hist_17_folded_hist,
+     282         322 :   input  [10:0]  io_update_bits_spec_info_folded_hist_hist_16_folded_hist,
+     283         196 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_15_folded_hist,
+     284         243 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_14_folded_hist,
+     285         250 :   input  [8:0]   io_update_bits_spec_info_folded_hist_hist_13_folded_hist,
+     286         108 :   input  [3:0]   io_update_bits_spec_info_folded_hist_hist_12_folded_hist,
+     287         240 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_11_folded_hist,
+     288         282 :   input  [8:0]   io_update_bits_spec_info_folded_hist_hist_10_folded_hist,
+     289         197 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_9_folded_hist,
+     290         244 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_8_folded_hist,
+     291         217 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_7_folded_hist,
+     292         285 :   input  [8:0]   io_update_bits_spec_info_folded_hist_hist_6_folded_hist,
+     293         202 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_5_folded_hist,
+     294         219 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_4_folded_hist,
+     295         233 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_3_folded_hist,
+     296         231 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_2_folded_hist,
+     297         339 :   input  [10:0]  io_update_bits_spec_info_folded_hist_hist_1_folded_hist,
+     298          33 :   input          io_update_bits_ftb_entry_valid,
+     299         122 :   input  [3:0]   io_update_bits_ftb_entry_brSlots_0_offset,
+     300         337 :   input  [11:0]  io_update_bits_ftb_entry_brSlots_0_lower,
+     301          65 :   input  [1:0]   io_update_bits_ftb_entry_brSlots_0_tarStat,
+     302          33 :   input          io_update_bits_ftb_entry_brSlots_0_sharing,
+     303          35 :   input          io_update_bits_ftb_entry_brSlots_0_valid,
+     304         137 :   input  [3:0]   io_update_bits_ftb_entry_tailSlot_offset,
+     305         552 :   input  [19:0]  io_update_bits_ftb_entry_tailSlot_lower,
+     306          63 :   input  [1:0]   io_update_bits_ftb_entry_tailSlot_tarStat,
+     307          33 :   input          io_update_bits_ftb_entry_tailSlot_sharing,
+     308          28 :   input          io_update_bits_ftb_entry_tailSlot_valid,
+     309         152 :   input  [3:0]   io_update_bits_ftb_entry_pftAddr,
+     310          34 :   input          io_update_bits_ftb_entry_carry,
+     311          39 :   input          io_update_bits_ftb_entry_isCall,
+     312          36 :   input          io_update_bits_ftb_entry_isRet,
+     313          37 :   input          io_update_bits_ftb_entry_isJalr,
+     314          41 :   input          io_update_bits_ftb_entry_last_may_be_rvi_call,
+     315          32 :   input          io_update_bits_ftb_entry_always_taken_0,
+     316          33 :   input          io_update_bits_ftb_entry_always_taken_1,
+     317          22 :   input          io_update_bits_cfi_idx_valid,
+     318         155 :   input  [3:0]   io_update_bits_cfi_idx_bits,
+     319          24 :   input          io_update_bits_br_taken_mask_0,
+     320          40 :   input          io_update_bits_br_taken_mask_1,
+     321          40 :   input          io_update_bits_jmp_taken,
+     322          45 :   input          io_update_bits_mispred_mask_0,
+     323          30 :   input          io_update_bits_mispred_mask_1,
+     324          42 :   input          io_update_bits_mispred_mask_2,
+     325          46 :   input          io_update_bits_old_entry,
+     326        6212 :   input  [222:0] io_update_bits_meta,
+     327        1185 :   input  [40:0]  io_update_bits_full_target,
+     328          84 :   input          io_redirect_valid,
+     329          28 :   input          io_redirect_bits_level,
+     330        1207 :   input  [40:0]  io_redirect_bits_cfiUpdate_pc,
+     331          27 :   input          io_redirect_bits_cfiUpdate_pd_isRVC,
+     332          37 :   input          io_redirect_bits_cfiUpdate_pd_isCall,
+     333          37 :   input          io_redirect_bits_cfiUpdate_pd_isRet,
+     334         115 :   input  [3:0]   io_redirect_bits_cfiUpdate_ssp,
+     335          73 :   input  [1:0]   io_redirect_bits_cfiUpdate_sctr,
+     336          43 :   input          io_redirect_bits_cfiUpdate_TOSW_flag,
+     337         147 :   input  [4:0]   io_redirect_bits_cfiUpdate_TOSW_value,
+     338          42 :   input          io_redirect_bits_cfiUpdate_TOSR_flag,
+     339         138 :   input  [4:0]   io_redirect_bits_cfiUpdate_TOSR_value,
+     340          40 :   input          io_redirect_bits_cfiUpdate_NOS_flag,
+     341         150 :   input  [4:0]   io_redirect_bits_cfiUpdate_NOS_value,
+     342         301 :   output [5:0]   io_perf_0_value,
+     343         389 :   output [5:0]   io_perf_1_value,
+     344         341 :   output [5:0]   io_perf_2_value,
+     345         331 :   output [5:0]   io_perf_3_value,
+     346         308 :   output [5:0]   io_perf_4_value,
+     347         296 :   output [5:0]   io_perf_5_value,
+     348         352 :   output [5:0]   io_perf_6_value
+     349             : );
+     350             : 
+     351             :   wire         _ras_io_ctrl_delay_io_out_ras_enable;
+     352             :   wire         _ftb_io_ctrl_delay_io_out_btb_enable;
+     353             :   wire         _tage_io_ctrl_delay_io_out_tage_enable;
+     354             :   wire         _tage_io_ctrl_delay_io_out_sc_enable;
+     355             :   wire         _ubtb_io_ctrl_delay_io_out_ubtb_enable;
+     356             :   wire         _ittage_io_out_s2_full_pred_0_br_taken_mask_0;
+     357             :   wire         _ittage_io_out_s2_full_pred_0_br_taken_mask_1;
+     358             :   wire         _ittage_io_out_s2_full_pred_0_slot_valids_0;
+     359             :   wire         _ittage_io_out_s2_full_pred_0_slot_valids_1;
+     360             :   wire [40:0]  _ittage_io_out_s2_full_pred_0_targets_0;
+     361             :   wire [40:0]  _ittage_io_out_s2_full_pred_0_targets_1;
+     362             :   wire [40:0]  _ittage_io_out_s2_full_pred_0_jalr_target;
+     363             :   wire [3:0]   _ittage_io_out_s2_full_pred_0_offsets_0;
+     364             :   wire [3:0]   _ittage_io_out_s2_full_pred_0_offsets_1;
+     365             :   wire [40:0]  _ittage_io_out_s2_full_pred_0_fallThroughAddr;
+     366             :   wire         _ittage_io_out_s2_full_pred_0_is_br_sharing;
+     367             :   wire         _ittage_io_out_s2_full_pred_0_hit;
+     368             :   wire         _ittage_io_out_s2_full_pred_1_br_taken_mask_0;
+     369             :   wire         _ittage_io_out_s2_full_pred_1_br_taken_mask_1;
+     370             :   wire         _ittage_io_out_s2_full_pred_1_slot_valids_0;
+     371             :   wire         _ittage_io_out_s2_full_pred_1_slot_valids_1;
+     372             :   wire [40:0]  _ittage_io_out_s2_full_pred_1_targets_0;
+     373             :   wire [40:0]  _ittage_io_out_s2_full_pred_1_targets_1;
+     374             :   wire [40:0]  _ittage_io_out_s2_full_pred_1_jalr_target;
+     375             :   wire [3:0]   _ittage_io_out_s2_full_pred_1_offsets_0;
+     376             :   wire [3:0]   _ittage_io_out_s2_full_pred_1_offsets_1;
+     377             :   wire [40:0]  _ittage_io_out_s2_full_pred_1_fallThroughAddr;
+     378             :   wire         _ittage_io_out_s2_full_pred_1_is_br_sharing;
+     379             :   wire         _ittage_io_out_s2_full_pred_1_hit;
+     380             :   wire         _ittage_io_out_s2_full_pred_2_br_taken_mask_0;
+     381             :   wire         _ittage_io_out_s2_full_pred_2_br_taken_mask_1;
+     382             :   wire         _ittage_io_out_s2_full_pred_2_slot_valids_0;
+     383             :   wire         _ittage_io_out_s2_full_pred_2_slot_valids_1;
+     384             :   wire [40:0]  _ittage_io_out_s2_full_pred_2_targets_0;
+     385             :   wire [40:0]  _ittage_io_out_s2_full_pred_2_targets_1;
+     386             :   wire [40:0]  _ittage_io_out_s2_full_pred_2_jalr_target;
+     387             :   wire [3:0]   _ittage_io_out_s2_full_pred_2_offsets_0;
+     388             :   wire [3:0]   _ittage_io_out_s2_full_pred_2_offsets_1;
+     389             :   wire [40:0]  _ittage_io_out_s2_full_pred_2_fallThroughAddr;
+     390             :   wire         _ittage_io_out_s2_full_pred_2_is_jalr;
+     391             :   wire         _ittage_io_out_s2_full_pred_2_is_call;
+     392             :   wire         _ittage_io_out_s2_full_pred_2_is_ret;
+     393             :   wire         _ittage_io_out_s2_full_pred_2_last_may_be_rvi_call;
+     394             :   wire         _ittage_io_out_s2_full_pred_2_is_br_sharing;
+     395             :   wire         _ittage_io_out_s2_full_pred_2_hit;
+     396             :   wire         _ittage_io_out_s2_full_pred_3_br_taken_mask_0;
+     397             :   wire         _ittage_io_out_s2_full_pred_3_br_taken_mask_1;
+     398             :   wire         _ittage_io_out_s2_full_pred_3_slot_valids_0;
+     399             :   wire         _ittage_io_out_s2_full_pred_3_slot_valids_1;
+     400             :   wire [40:0]  _ittage_io_out_s2_full_pred_3_targets_0;
+     401             :   wire [40:0]  _ittage_io_out_s2_full_pred_3_targets_1;
+     402             :   wire [40:0]  _ittage_io_out_s2_full_pred_3_jalr_target;
+     403             :   wire [3:0]   _ittage_io_out_s2_full_pred_3_offsets_0;
+     404             :   wire [3:0]   _ittage_io_out_s2_full_pred_3_offsets_1;
+     405             :   wire [40:0]  _ittage_io_out_s2_full_pred_3_fallThroughAddr;
+     406             :   wire         _ittage_io_out_s2_full_pred_3_fallThroughErr;
+     407             :   wire         _ittage_io_out_s2_full_pred_3_is_br_sharing;
+     408             :   wire         _ittage_io_out_s2_full_pred_3_hit;
+     409             :   wire         _ittage_io_out_s3_full_pred_0_br_taken_mask_0;
+     410             :   wire         _ittage_io_out_s3_full_pred_0_br_taken_mask_1;
+     411             :   wire         _ittage_io_out_s3_full_pred_0_slot_valids_0;
+     412             :   wire         _ittage_io_out_s3_full_pred_0_slot_valids_1;
+     413             :   wire [40:0]  _ittage_io_out_s3_full_pred_0_targets_0;
+     414             :   wire [40:0]  _ittage_io_out_s3_full_pred_0_targets_1;
+     415             :   wire [40:0]  _ittage_io_out_s3_full_pred_0_jalr_target;
+     416             :   wire [40:0]  _ittage_io_out_s3_full_pred_0_fallThroughAddr;
+     417             :   wire         _ittage_io_out_s3_full_pred_0_fallThroughErr;
+     418             :   wire         _ittage_io_out_s3_full_pred_0_is_br_sharing;
+     419             :   wire         _ittage_io_out_s3_full_pred_0_hit;
+     420             :   wire         _ittage_io_out_s3_full_pred_1_br_taken_mask_0;
+     421             :   wire         _ittage_io_out_s3_full_pred_1_br_taken_mask_1;
+     422             :   wire         _ittage_io_out_s3_full_pred_1_slot_valids_0;
+     423             :   wire         _ittage_io_out_s3_full_pred_1_slot_valids_1;
+     424             :   wire [40:0]  _ittage_io_out_s3_full_pred_1_targets_0;
+     425             :   wire [40:0]  _ittage_io_out_s3_full_pred_1_targets_1;
+     426             :   wire [40:0]  _ittage_io_out_s3_full_pred_1_jalr_target;
+     427             :   wire [40:0]  _ittage_io_out_s3_full_pred_1_fallThroughAddr;
+     428             :   wire         _ittage_io_out_s3_full_pred_1_fallThroughErr;
+     429             :   wire         _ittage_io_out_s3_full_pred_1_is_br_sharing;
+     430             :   wire         _ittage_io_out_s3_full_pred_1_hit;
+     431             :   wire         _ittage_io_out_s3_full_pred_2_br_taken_mask_0;
+     432             :   wire         _ittage_io_out_s3_full_pred_2_br_taken_mask_1;
+     433             :   wire         _ittage_io_out_s3_full_pred_2_slot_valids_0;
+     434             :   wire         _ittage_io_out_s3_full_pred_2_slot_valids_1;
+     435             :   wire [40:0]  _ittage_io_out_s3_full_pred_2_targets_0;
+     436             :   wire [40:0]  _ittage_io_out_s3_full_pred_2_targets_1;
+     437             :   wire [40:0]  _ittage_io_out_s3_full_pred_2_jalr_target;
+     438             :   wire [40:0]  _ittage_io_out_s3_full_pred_2_fallThroughAddr;
+     439             :   wire         _ittage_io_out_s3_full_pred_2_fallThroughErr;
+     440             :   wire         _ittage_io_out_s3_full_pred_2_is_jalr;
+     441             :   wire         _ittage_io_out_s3_full_pred_2_is_call;
+     442             :   wire         _ittage_io_out_s3_full_pred_2_is_ret;
+     443             :   wire         _ittage_io_out_s3_full_pred_2_is_br_sharing;
+     444             :   wire         _ittage_io_out_s3_full_pred_2_hit;
+     445             :   wire         _ittage_io_out_s3_full_pred_3_br_taken_mask_0;
+     446             :   wire         _ittage_io_out_s3_full_pred_3_br_taken_mask_1;
+     447             :   wire         _ittage_io_out_s3_full_pred_3_slot_valids_0;
+     448             :   wire         _ittage_io_out_s3_full_pred_3_slot_valids_1;
+     449             :   wire [40:0]  _ittage_io_out_s3_full_pred_3_targets_0;
+     450             :   wire [40:0]  _ittage_io_out_s3_full_pred_3_targets_1;
+     451             :   wire [40:0]  _ittage_io_out_s3_full_pred_3_jalr_target;
+     452             :   wire [3:0]   _ittage_io_out_s3_full_pred_3_offsets_0;
+     453             :   wire [3:0]   _ittage_io_out_s3_full_pred_3_offsets_1;
+     454             :   wire [40:0]  _ittage_io_out_s3_full_pred_3_fallThroughAddr;
+     455             :   wire         _ittage_io_out_s3_full_pred_3_fallThroughErr;
+     456             :   wire         _ittage_io_out_s3_full_pred_3_is_br_sharing;
+     457             :   wire         _ittage_io_out_s3_full_pred_3_hit;
+     458             :   wire [222:0] _ittage_io_out_last_stage_meta;
+     459             :   wire         _ittage_io_out_last_stage_ftb_entry_valid;
+     460             :   wire [3:0]   _ittage_io_out_last_stage_ftb_entry_brSlots_0_offset;
+     461             :   wire [11:0]  _ittage_io_out_last_stage_ftb_entry_brSlots_0_lower;
+     462             :   wire [1:0]   _ittage_io_out_last_stage_ftb_entry_brSlots_0_tarStat;
+     463             :   wire         _ittage_io_out_last_stage_ftb_entry_brSlots_0_sharing;
+     464             :   wire         _ittage_io_out_last_stage_ftb_entry_brSlots_0_valid;
+     465             :   wire [3:0]   _ittage_io_out_last_stage_ftb_entry_tailSlot_offset;
+     466             :   wire [19:0]  _ittage_io_out_last_stage_ftb_entry_tailSlot_lower;
+     467             :   wire [1:0]   _ittage_io_out_last_stage_ftb_entry_tailSlot_tarStat;
+     468             :   wire         _ittage_io_out_last_stage_ftb_entry_tailSlot_sharing;
+     469             :   wire         _ittage_io_out_last_stage_ftb_entry_tailSlot_valid;
+     470             :   wire [3:0]   _ittage_io_out_last_stage_ftb_entry_pftAddr;
+     471             :   wire         _ittage_io_out_last_stage_ftb_entry_carry;
+     472             :   wire         _ittage_io_out_last_stage_ftb_entry_isCall;
+     473             :   wire         _ittage_io_out_last_stage_ftb_entry_isRet;
+     474             :   wire         _ittage_io_out_last_stage_ftb_entry_isJalr;
+     475             :   wire         _ittage_io_out_last_stage_ftb_entry_last_may_be_rvi_call;
+     476             :   wire         _ittage_io_out_last_stage_ftb_entry_always_taken_0;
+     477             :   wire         _ittage_io_out_last_stage_ftb_entry_always_taken_1;
+     478             :   wire [222:0] _ras_io_out_last_stage_meta;
+     479             :   wire         _tage_io_out_s2_full_pred_0_br_taken_mask_0;
+     480             :   wire         _tage_io_out_s2_full_pred_0_br_taken_mask_1;
+     481             :   wire         _tage_io_out_s2_full_pred_1_br_taken_mask_0;
+     482             :   wire         _tage_io_out_s2_full_pred_1_br_taken_mask_1;
+     483             :   wire         _tage_io_out_s2_full_pred_2_br_taken_mask_0;
+     484             :   wire         _tage_io_out_s2_full_pred_2_br_taken_mask_1;
+     485             :   wire         _tage_io_out_s2_full_pred_3_br_taken_mask_0;
+     486             :   wire         _tage_io_out_s2_full_pred_3_br_taken_mask_1;
+     487             :   wire         _tage_io_out_s3_full_pred_0_br_taken_mask_0;
+     488             :   wire         _tage_io_out_s3_full_pred_0_br_taken_mask_1;
+     489             :   wire         _tage_io_out_s3_full_pred_1_br_taken_mask_0;
+     490             :   wire         _tage_io_out_s3_full_pred_1_br_taken_mask_1;
+     491             :   wire         _tage_io_out_s3_full_pred_2_br_taken_mask_0;
+     492             :   wire         _tage_io_out_s3_full_pred_2_br_taken_mask_1;
+     493             :   wire         _tage_io_out_s3_full_pred_3_br_taken_mask_0;
+     494             :   wire         _tage_io_out_s3_full_pred_3_br_taken_mask_1;
+     495             :   wire [222:0] _tage_io_out_last_stage_meta;
+     496             :   wire         _tage_io_s1_ready;
+     497             :   wire [5:0]   _tage_io_perf_0_value;
+     498             :   wire [5:0]   _tage_io_perf_1_value;
+     499             :   wire [5:0]   _tage_io_perf_2_value;
+     500             :   wire [222:0] _ubtb_io_out_last_stage_meta;
+     501             :   wire [5:0]   _ubtb_io_perf_0_value;
+     502             :   wire [5:0]   _ubtb_io_perf_1_value;
+     503             :   wire         _ftb_io_out_s2_full_pred_0_br_taken_mask_0;
+     504             :   wire         _ftb_io_out_s2_full_pred_0_br_taken_mask_1;
+     505             :   wire         _ftb_io_out_s2_full_pred_0_slot_valids_0;
+     506             :   wire         _ftb_io_out_s2_full_pred_0_slot_valids_1;
+     507             :   wire [40:0]  _ftb_io_out_s2_full_pred_0_targets_0;
+     508             :   wire [40:0]  _ftb_io_out_s2_full_pred_0_targets_1;
+     509             :   wire [40:0]  _ftb_io_out_s2_full_pred_0_jalr_target;
+     510             :   wire [3:0]   _ftb_io_out_s2_full_pred_0_offsets_0;
+     511             :   wire [3:0]   _ftb_io_out_s2_full_pred_0_offsets_1;
+     512             :   wire [40:0]  _ftb_io_out_s2_full_pred_0_fallThroughAddr;
+     513             :   wire         _ftb_io_out_s2_full_pred_0_is_br_sharing;
+     514             :   wire         _ftb_io_out_s2_full_pred_0_hit;
+     515             :   wire         _ftb_io_out_s2_full_pred_1_br_taken_mask_0;
+     516             :   wire         _ftb_io_out_s2_full_pred_1_br_taken_mask_1;
+     517             :   wire         _ftb_io_out_s2_full_pred_1_slot_valids_0;
+     518             :   wire         _ftb_io_out_s2_full_pred_1_slot_valids_1;
+     519             :   wire [40:0]  _ftb_io_out_s2_full_pred_1_targets_0;
+     520             :   wire [40:0]  _ftb_io_out_s2_full_pred_1_targets_1;
+     521             :   wire [40:0]  _ftb_io_out_s2_full_pred_1_jalr_target;
+     522             :   wire [3:0]   _ftb_io_out_s2_full_pred_1_offsets_0;
+     523             :   wire [3:0]   _ftb_io_out_s2_full_pred_1_offsets_1;
+     524             :   wire [40:0]  _ftb_io_out_s2_full_pred_1_fallThroughAddr;
+     525             :   wire         _ftb_io_out_s2_full_pred_1_is_br_sharing;
+     526             :   wire         _ftb_io_out_s2_full_pred_1_hit;
+     527             :   wire         _ftb_io_out_s2_full_pred_2_br_taken_mask_0;
+     528             :   wire         _ftb_io_out_s2_full_pred_2_br_taken_mask_1;
+     529             :   wire         _ftb_io_out_s2_full_pred_2_slot_valids_0;
+     530             :   wire         _ftb_io_out_s2_full_pred_2_slot_valids_1;
+     531             :   wire [40:0]  _ftb_io_out_s2_full_pred_2_targets_0;
+     532             :   wire [40:0]  _ftb_io_out_s2_full_pred_2_targets_1;
+     533             :   wire [40:0]  _ftb_io_out_s2_full_pred_2_jalr_target;
+     534             :   wire [3:0]   _ftb_io_out_s2_full_pred_2_offsets_0;
+     535             :   wire [3:0]   _ftb_io_out_s2_full_pred_2_offsets_1;
+     536             :   wire [40:0]  _ftb_io_out_s2_full_pred_2_fallThroughAddr;
+     537             :   wire         _ftb_io_out_s2_full_pred_2_is_jalr;
+     538             :   wire         _ftb_io_out_s2_full_pred_2_is_call;
+     539             :   wire         _ftb_io_out_s2_full_pred_2_is_ret;
+     540             :   wire         _ftb_io_out_s2_full_pred_2_last_may_be_rvi_call;
+     541             :   wire         _ftb_io_out_s2_full_pred_2_is_br_sharing;
+     542             :   wire         _ftb_io_out_s2_full_pred_2_hit;
+     543             :   wire         _ftb_io_out_s2_full_pred_3_br_taken_mask_0;
+     544             :   wire         _ftb_io_out_s2_full_pred_3_br_taken_mask_1;
+     545             :   wire         _ftb_io_out_s2_full_pred_3_slot_valids_0;
+     546             :   wire         _ftb_io_out_s2_full_pred_3_slot_valids_1;
+     547             :   wire [40:0]  _ftb_io_out_s2_full_pred_3_targets_0;
+     548             :   wire [40:0]  _ftb_io_out_s2_full_pred_3_targets_1;
+     549             :   wire [40:0]  _ftb_io_out_s2_full_pred_3_jalr_target;
+     550             :   wire [3:0]   _ftb_io_out_s2_full_pred_3_offsets_0;
+     551             :   wire [3:0]   _ftb_io_out_s2_full_pred_3_offsets_1;
+     552             :   wire [40:0]  _ftb_io_out_s2_full_pred_3_fallThroughAddr;
+     553             :   wire         _ftb_io_out_s2_full_pred_3_fallThroughErr;
+     554             :   wire         _ftb_io_out_s2_full_pred_3_is_br_sharing;
+     555             :   wire         _ftb_io_out_s2_full_pred_3_hit;
+     556             :   wire         _ftb_io_out_s3_full_pred_0_br_taken_mask_0;
+     557             :   wire         _ftb_io_out_s3_full_pred_0_br_taken_mask_1;
+     558             :   wire         _ftb_io_out_s3_full_pred_0_slot_valids_0;
+     559             :   wire         _ftb_io_out_s3_full_pred_0_slot_valids_1;
+     560             :   wire [40:0]  _ftb_io_out_s3_full_pred_0_targets_0;
+     561             :   wire [40:0]  _ftb_io_out_s3_full_pred_0_targets_1;
+     562             :   wire [40:0]  _ftb_io_out_s3_full_pred_0_jalr_target;
+     563             :   wire [40:0]  _ftb_io_out_s3_full_pred_0_fallThroughAddr;
+     564             :   wire         _ftb_io_out_s3_full_pred_0_fallThroughErr;
+     565             :   wire         _ftb_io_out_s3_full_pred_0_is_br_sharing;
+     566             :   wire         _ftb_io_out_s3_full_pred_0_hit;
+     567             :   wire         _ftb_io_out_s3_full_pred_1_br_taken_mask_0;
+     568             :   wire         _ftb_io_out_s3_full_pred_1_br_taken_mask_1;
+     569             :   wire         _ftb_io_out_s3_full_pred_1_slot_valids_0;
+     570             :   wire         _ftb_io_out_s3_full_pred_1_slot_valids_1;
+     571             :   wire [40:0]  _ftb_io_out_s3_full_pred_1_targets_0;
+     572             :   wire [40:0]  _ftb_io_out_s3_full_pred_1_targets_1;
+     573             :   wire [40:0]  _ftb_io_out_s3_full_pred_1_jalr_target;
+     574             :   wire [40:0]  _ftb_io_out_s3_full_pred_1_fallThroughAddr;
+     575             :   wire         _ftb_io_out_s3_full_pred_1_fallThroughErr;
+     576             :   wire         _ftb_io_out_s3_full_pred_1_is_br_sharing;
+     577             :   wire         _ftb_io_out_s3_full_pred_1_hit;
+     578             :   wire         _ftb_io_out_s3_full_pred_2_br_taken_mask_0;
+     579             :   wire         _ftb_io_out_s3_full_pred_2_br_taken_mask_1;
+     580             :   wire         _ftb_io_out_s3_full_pred_2_slot_valids_0;
+     581             :   wire         _ftb_io_out_s3_full_pred_2_slot_valids_1;
+     582             :   wire [40:0]  _ftb_io_out_s3_full_pred_2_targets_0;
+     583             :   wire [40:0]  _ftb_io_out_s3_full_pred_2_targets_1;
+     584             :   wire [40:0]  _ftb_io_out_s3_full_pred_2_jalr_target;
+     585             :   wire [40:0]  _ftb_io_out_s3_full_pred_2_fallThroughAddr;
+     586             :   wire         _ftb_io_out_s3_full_pred_2_fallThroughErr;
+     587             :   wire         _ftb_io_out_s3_full_pred_2_is_jalr;
+     588             :   wire         _ftb_io_out_s3_full_pred_2_is_call;
+     589             :   wire         _ftb_io_out_s3_full_pred_2_is_ret;
+     590             :   wire         _ftb_io_out_s3_full_pred_2_is_br_sharing;
+     591             :   wire         _ftb_io_out_s3_full_pred_2_hit;
+     592             :   wire         _ftb_io_out_s3_full_pred_3_br_taken_mask_0;
+     593             :   wire         _ftb_io_out_s3_full_pred_3_br_taken_mask_1;
+     594             :   wire         _ftb_io_out_s3_full_pred_3_slot_valids_0;
+     595             :   wire         _ftb_io_out_s3_full_pred_3_slot_valids_1;
+     596             :   wire [40:0]  _ftb_io_out_s3_full_pred_3_targets_0;
+     597             :   wire [40:0]  _ftb_io_out_s3_full_pred_3_targets_1;
+     598             :   wire [40:0]  _ftb_io_out_s3_full_pred_3_jalr_target;
+     599             :   wire [3:0]   _ftb_io_out_s3_full_pred_3_offsets_0;
+     600             :   wire [3:0]   _ftb_io_out_s3_full_pred_3_offsets_1;
+     601             :   wire [40:0]  _ftb_io_out_s3_full_pred_3_fallThroughAddr;
+     602             :   wire         _ftb_io_out_s3_full_pred_3_fallThroughErr;
+     603             :   wire         _ftb_io_out_s3_full_pred_3_is_br_sharing;
+     604             :   wire         _ftb_io_out_s3_full_pred_3_hit;
+     605             :   wire [222:0] _ftb_io_out_last_stage_meta;
+     606             :   wire         _ftb_io_out_last_stage_ftb_entry_valid;
+     607             :   wire [3:0]   _ftb_io_out_last_stage_ftb_entry_brSlots_0_offset;
+     608             :   wire [11:0]  _ftb_io_out_last_stage_ftb_entry_brSlots_0_lower;
+     609             :   wire [1:0]   _ftb_io_out_last_stage_ftb_entry_brSlots_0_tarStat;
+     610             :   wire         _ftb_io_out_last_stage_ftb_entry_brSlots_0_sharing;
+     611             :   wire         _ftb_io_out_last_stage_ftb_entry_brSlots_0_valid;
+     612             :   wire [3:0]   _ftb_io_out_last_stage_ftb_entry_tailSlot_offset;
+     613             :   wire [19:0]  _ftb_io_out_last_stage_ftb_entry_tailSlot_lower;
+     614             :   wire [1:0]   _ftb_io_out_last_stage_ftb_entry_tailSlot_tarStat;
+     615             :   wire         _ftb_io_out_last_stage_ftb_entry_tailSlot_sharing;
+     616             :   wire         _ftb_io_out_last_stage_ftb_entry_tailSlot_valid;
+     617             :   wire [3:0]   _ftb_io_out_last_stage_ftb_entry_pftAddr;
+     618             :   wire         _ftb_io_out_last_stage_ftb_entry_carry;
+     619             :   wire         _ftb_io_out_last_stage_ftb_entry_isCall;
+     620             :   wire         _ftb_io_out_last_stage_ftb_entry_isRet;
+     621             :   wire         _ftb_io_out_last_stage_ftb_entry_isJalr;
+     622             :   wire         _ftb_io_out_last_stage_ftb_entry_last_may_be_rvi_call;
+     623             :   wire         _ftb_io_out_last_stage_ftb_entry_always_taken_0;
+     624             :   wire         _ftb_io_out_last_stage_ftb_entry_always_taken_1;
+     625             :   wire         _ftb_io_s1_ready;
+     626             :   wire [5:0]   _ftb_io_perf_0_value;
+     627             :   wire [5:0]   _ftb_io_perf_1_value;
+     628         194 :   reg  [5:0]   io_perf_0_value_REG;
+     629         301 :   reg  [5:0]   io_perf_0_value_REG_1;
+     630         291 :   reg  [5:0]   io_perf_1_value_REG;
+     631         389 :   reg  [5:0]   io_perf_1_value_REG_1;
+     632         266 :   reg  [5:0]   io_perf_2_value_REG;
+     633         341 :   reg  [5:0]   io_perf_2_value_REG_1;
+     634         232 :   reg  [5:0]   io_perf_3_value_REG;
+     635         331 :   reg  [5:0]   io_perf_3_value_REG_1;
+     636         220 :   reg  [5:0]   io_perf_4_value_REG;
+     637         308 :   reg  [5:0]   io_perf_4_value_REG_1;
+     638         212 :   reg  [5:0]   io_perf_5_value_REG;
+     639         296 :   reg  [5:0]   io_perf_5_value_REG_1;
+     640         261 :   reg  [5:0]   io_perf_6_value_REG;
+     641         352 :   reg  [5:0]   io_perf_6_value_REG_1;
+     642      127694 :   always @(posedge clock) begin
+     643       63847 :     io_perf_0_value_REG <= _ubtb_io_perf_0_value;
+     644       63847 :     io_perf_0_value_REG_1 <= io_perf_0_value_REG;
+     645       63847 :     io_perf_1_value_REG <= _ubtb_io_perf_1_value;
+     646       63847 :     io_perf_1_value_REG_1 <= io_perf_1_value_REG;
+     647       63847 :     io_perf_2_value_REG <= _tage_io_perf_0_value;
+     648       63847 :     io_perf_2_value_REG_1 <= io_perf_2_value_REG;
+     649       63847 :     io_perf_3_value_REG <= _tage_io_perf_1_value;
+     650       63847 :     io_perf_3_value_REG_1 <= io_perf_3_value_REG;
+     651       63847 :     io_perf_4_value_REG <= _tage_io_perf_2_value;
+     652       63847 :     io_perf_4_value_REG_1 <= io_perf_4_value_REG;
+     653       63847 :     io_perf_5_value_REG <= _ftb_io_perf_0_value;
+     654       63847 :     io_perf_5_value_REG_1 <= io_perf_5_value_REG;
+     655       63847 :     io_perf_6_value_REG <= _ftb_io_perf_1_value;
+     656       63847 :     io_perf_6_value_REG_1 <= io_perf_6_value_REG;
+     657             :   end // always @(posedge)
+     658             :   `ifdef ENABLE_INITIAL_REG_
+     659             :     `ifdef FIRRTL_BEFORE_INITIAL
+     660             :       `FIRRTL_BEFORE_INITIAL
+     661             :     `endif // FIRRTL_BEFORE_INITIAL
+     662             :     logic [31:0] _RANDOM[0:18];
+     663          58 :     initial begin
+     664             :       `ifdef INIT_RANDOM_PROLOG_
+     665             :         `INIT_RANDOM_PROLOG_
+     666             :       `endif // INIT_RANDOM_PROLOG_
+     667             :       `ifdef RANDOMIZE_REG_INIT
+     668             :         for (logic [4:0] i = 5'h0; i < 5'h13; i += 5'h1) begin
+     669             :           _RANDOM[i] = `RANDOM;
+     670             :         end
+     671             :         io_perf_0_value_REG = _RANDOM[5'hF][19:14];
+     672             :         io_perf_0_value_REG_1 = _RANDOM[5'hF][25:20];
+     673             :         io_perf_1_value_REG = _RANDOM[5'hF][31:26];
+     674             :         io_perf_1_value_REG_1 = _RANDOM[5'h10][5:0];
+     675             :         io_perf_2_value_REG = _RANDOM[5'h10][11:6];
+     676             :         io_perf_2_value_REG_1 = _RANDOM[5'h10][17:12];
+     677             :         io_perf_3_value_REG = _RANDOM[5'h10][23:18];
+     678             :         io_perf_3_value_REG_1 = _RANDOM[5'h10][29:24];
+     679             :         io_perf_4_value_REG = {_RANDOM[5'h10][31:30], _RANDOM[5'h11][3:0]};
+     680             :         io_perf_4_value_REG_1 = _RANDOM[5'h11][9:4];
+     681             :         io_perf_5_value_REG = _RANDOM[5'h11][15:10];
+     682             :         io_perf_5_value_REG_1 = _RANDOM[5'h11][21:16];
+     683             :         io_perf_6_value_REG = _RANDOM[5'h11][27:22];
+     684             :         io_perf_6_value_REG_1 = {_RANDOM[5'h11][31:28], _RANDOM[5'h12][1:0]};
+     685             :       `endif // RANDOMIZE_REG_INIT
+     686             :     end // initial
+     687             :     `ifdef FIRRTL_AFTER_INITIAL
+     688             :       `FIRRTL_AFTER_INITIAL
+     689             :     `endif // FIRRTL_AFTER_INITIAL
+     690             :   `endif // ENABLE_INITIAL_REG_
+     691             :   FTB ftb (
+     692             :     .clock                                               (clock),
+     693             :     .reset                                               (reset),
+     694             :     .io_reset_vector                                     (io_reset_vector),
+     695             :     .io_in_bits_s0_pc_0                                  (io_in_bits_s0_pc_0),
+     696             :     .io_in_bits_s0_pc_1                                  (io_in_bits_s0_pc_1),
+     697             :     .io_in_bits_s0_pc_2                                  (io_in_bits_s0_pc_2),
+     698             :     .io_in_bits_s0_pc_3                                  (io_in_bits_s0_pc_3),
+     699             :     .io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0
+     700             :       (_tage_io_out_s2_full_pred_0_br_taken_mask_0),
+     701             :     .io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1
+     702             :       (_tage_io_out_s2_full_pred_0_br_taken_mask_1),
+     703             :     .io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0
+     704             :       (_tage_io_out_s2_full_pred_1_br_taken_mask_0),
+     705             :     .io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1
+     706             :       (_tage_io_out_s2_full_pred_1_br_taken_mask_1),
+     707             :     .io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0
+     708             :       (_tage_io_out_s2_full_pred_2_br_taken_mask_0),
+     709             :     .io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1
+     710             :       (_tage_io_out_s2_full_pred_2_br_taken_mask_1),
+     711             :     .io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0
+     712             :       (_tage_io_out_s2_full_pred_3_br_taken_mask_0),
+     713             :     .io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1
+     714             :       (_tage_io_out_s2_full_pred_3_br_taken_mask_1),
+     715             :     .io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0
+     716             :       (_tage_io_out_s3_full_pred_0_br_taken_mask_0),
+     717             :     .io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1
+     718             :       (_tage_io_out_s3_full_pred_0_br_taken_mask_1),
+     719             :     .io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0
+     720             :       (_tage_io_out_s3_full_pred_1_br_taken_mask_0),
+     721             :     .io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1
+     722             :       (_tage_io_out_s3_full_pred_1_br_taken_mask_1),
+     723             :     .io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0
+     724             :       (_tage_io_out_s3_full_pred_2_br_taken_mask_0),
+     725             :     .io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1
+     726             :       (_tage_io_out_s3_full_pred_2_br_taken_mask_1),
+     727             :     .io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0
+     728             :       (_tage_io_out_s3_full_pred_3_br_taken_mask_0),
+     729             :     .io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1
+     730             :       (_tage_io_out_s3_full_pred_3_br_taken_mask_1),
+     731             :     .io_out_s2_full_pred_0_br_taken_mask_0
+     732             :       (_ftb_io_out_s2_full_pred_0_br_taken_mask_0),
+     733             :     .io_out_s2_full_pred_0_br_taken_mask_1
+     734             :       (_ftb_io_out_s2_full_pred_0_br_taken_mask_1),
+     735             :     .io_out_s2_full_pred_0_slot_valids_0
+     736             :       (_ftb_io_out_s2_full_pred_0_slot_valids_0),
+     737             :     .io_out_s2_full_pred_0_slot_valids_1
+     738             :       (_ftb_io_out_s2_full_pred_0_slot_valids_1),
+     739             :     .io_out_s2_full_pred_0_targets_0
+     740             :       (_ftb_io_out_s2_full_pred_0_targets_0),
+     741             :     .io_out_s2_full_pred_0_targets_1
+     742             :       (_ftb_io_out_s2_full_pred_0_targets_1),
+     743             :     .io_out_s2_full_pred_0_jalr_target
+     744             :       (_ftb_io_out_s2_full_pred_0_jalr_target),
+     745             :     .io_out_s2_full_pred_0_offsets_0
+     746             :       (_ftb_io_out_s2_full_pred_0_offsets_0),
+     747             :     .io_out_s2_full_pred_0_offsets_1
+     748             :       (_ftb_io_out_s2_full_pred_0_offsets_1),
+     749             :     .io_out_s2_full_pred_0_fallThroughAddr
+     750             :       (_ftb_io_out_s2_full_pred_0_fallThroughAddr),
+     751             :     .io_out_s2_full_pred_0_is_br_sharing
+     752             :       (_ftb_io_out_s2_full_pred_0_is_br_sharing),
+     753             :     .io_out_s2_full_pred_0_hit                           (_ftb_io_out_s2_full_pred_0_hit),
+     754             :     .io_out_s2_full_pred_1_br_taken_mask_0
+     755             :       (_ftb_io_out_s2_full_pred_1_br_taken_mask_0),
+     756             :     .io_out_s2_full_pred_1_br_taken_mask_1
+     757             :       (_ftb_io_out_s2_full_pred_1_br_taken_mask_1),
+     758             :     .io_out_s2_full_pred_1_slot_valids_0
+     759             :       (_ftb_io_out_s2_full_pred_1_slot_valids_0),
+     760             :     .io_out_s2_full_pred_1_slot_valids_1
+     761             :       (_ftb_io_out_s2_full_pred_1_slot_valids_1),
+     762             :     .io_out_s2_full_pred_1_targets_0
+     763             :       (_ftb_io_out_s2_full_pred_1_targets_0),
+     764             :     .io_out_s2_full_pred_1_targets_1
+     765             :       (_ftb_io_out_s2_full_pred_1_targets_1),
+     766             :     .io_out_s2_full_pred_1_jalr_target
+     767             :       (_ftb_io_out_s2_full_pred_1_jalr_target),
+     768             :     .io_out_s2_full_pred_1_offsets_0
+     769             :       (_ftb_io_out_s2_full_pred_1_offsets_0),
+     770             :     .io_out_s2_full_pred_1_offsets_1
+     771             :       (_ftb_io_out_s2_full_pred_1_offsets_1),
+     772             :     .io_out_s2_full_pred_1_fallThroughAddr
+     773             :       (_ftb_io_out_s2_full_pred_1_fallThroughAddr),
+     774             :     .io_out_s2_full_pred_1_is_br_sharing
+     775             :       (_ftb_io_out_s2_full_pred_1_is_br_sharing),
+     776             :     .io_out_s2_full_pred_1_hit                           (_ftb_io_out_s2_full_pred_1_hit),
+     777             :     .io_out_s2_full_pred_2_br_taken_mask_0
+     778             :       (_ftb_io_out_s2_full_pred_2_br_taken_mask_0),
+     779             :     .io_out_s2_full_pred_2_br_taken_mask_1
+     780             :       (_ftb_io_out_s2_full_pred_2_br_taken_mask_1),
+     781             :     .io_out_s2_full_pred_2_slot_valids_0
+     782             :       (_ftb_io_out_s2_full_pred_2_slot_valids_0),
+     783             :     .io_out_s2_full_pred_2_slot_valids_1
+     784             :       (_ftb_io_out_s2_full_pred_2_slot_valids_1),
+     785             :     .io_out_s2_full_pred_2_targets_0
+     786             :       (_ftb_io_out_s2_full_pred_2_targets_0),
+     787             :     .io_out_s2_full_pred_2_targets_1
+     788             :       (_ftb_io_out_s2_full_pred_2_targets_1),
+     789             :     .io_out_s2_full_pred_2_jalr_target
+     790             :       (_ftb_io_out_s2_full_pred_2_jalr_target),
+     791             :     .io_out_s2_full_pred_2_offsets_0
+     792             :       (_ftb_io_out_s2_full_pred_2_offsets_0),
+     793             :     .io_out_s2_full_pred_2_offsets_1
+     794             :       (_ftb_io_out_s2_full_pred_2_offsets_1),
+     795             :     .io_out_s2_full_pred_2_fallThroughAddr
+     796             :       (_ftb_io_out_s2_full_pred_2_fallThroughAddr),
+     797             :     .io_out_s2_full_pred_2_is_jalr
+     798             :       (_ftb_io_out_s2_full_pred_2_is_jalr),
+     799             :     .io_out_s2_full_pred_2_is_call
+     800             :       (_ftb_io_out_s2_full_pred_2_is_call),
+     801             :     .io_out_s2_full_pred_2_is_ret
+     802             :       (_ftb_io_out_s2_full_pred_2_is_ret),
+     803             :     .io_out_s2_full_pred_2_last_may_be_rvi_call
+     804             :       (_ftb_io_out_s2_full_pred_2_last_may_be_rvi_call),
+     805             :     .io_out_s2_full_pred_2_is_br_sharing
+     806             :       (_ftb_io_out_s2_full_pred_2_is_br_sharing),
+     807             :     .io_out_s2_full_pred_2_hit                           (_ftb_io_out_s2_full_pred_2_hit),
+     808             :     .io_out_s2_full_pred_3_br_taken_mask_0
+     809             :       (_ftb_io_out_s2_full_pred_3_br_taken_mask_0),
+     810             :     .io_out_s2_full_pred_3_br_taken_mask_1
+     811             :       (_ftb_io_out_s2_full_pred_3_br_taken_mask_1),
+     812             :     .io_out_s2_full_pred_3_slot_valids_0
+     813             :       (_ftb_io_out_s2_full_pred_3_slot_valids_0),
+     814             :     .io_out_s2_full_pred_3_slot_valids_1
+     815             :       (_ftb_io_out_s2_full_pred_3_slot_valids_1),
+     816             :     .io_out_s2_full_pred_3_targets_0
+     817             :       (_ftb_io_out_s2_full_pred_3_targets_0),
+     818             :     .io_out_s2_full_pred_3_targets_1
+     819             :       (_ftb_io_out_s2_full_pred_3_targets_1),
+     820             :     .io_out_s2_full_pred_3_jalr_target
+     821             :       (_ftb_io_out_s2_full_pred_3_jalr_target),
+     822             :     .io_out_s2_full_pred_3_offsets_0
+     823             :       (_ftb_io_out_s2_full_pred_3_offsets_0),
+     824             :     .io_out_s2_full_pred_3_offsets_1
+     825             :       (_ftb_io_out_s2_full_pred_3_offsets_1),
+     826             :     .io_out_s2_full_pred_3_fallThroughAddr
+     827             :       (_ftb_io_out_s2_full_pred_3_fallThroughAddr),
+     828             :     .io_out_s2_full_pred_3_fallThroughErr
+     829             :       (_ftb_io_out_s2_full_pred_3_fallThroughErr),
+     830             :     .io_out_s2_full_pred_3_is_br_sharing
+     831             :       (_ftb_io_out_s2_full_pred_3_is_br_sharing),
+     832             :     .io_out_s2_full_pred_3_hit                           (_ftb_io_out_s2_full_pred_3_hit),
+     833             :     .io_out_s3_full_pred_0_br_taken_mask_0
+     834             :       (_ftb_io_out_s3_full_pred_0_br_taken_mask_0),
+     835             :     .io_out_s3_full_pred_0_br_taken_mask_1
+     836             :       (_ftb_io_out_s3_full_pred_0_br_taken_mask_1),
+     837             :     .io_out_s3_full_pred_0_slot_valids_0
+     838             :       (_ftb_io_out_s3_full_pred_0_slot_valids_0),
+     839             :     .io_out_s3_full_pred_0_slot_valids_1
+     840             :       (_ftb_io_out_s3_full_pred_0_slot_valids_1),
+     841             :     .io_out_s3_full_pred_0_targets_0
+     842             :       (_ftb_io_out_s3_full_pred_0_targets_0),
+     843             :     .io_out_s3_full_pred_0_targets_1
+     844             :       (_ftb_io_out_s3_full_pred_0_targets_1),
+     845             :     .io_out_s3_full_pred_0_jalr_target
+     846             :       (_ftb_io_out_s3_full_pred_0_jalr_target),
+     847             :     .io_out_s3_full_pred_0_fallThroughAddr
+     848             :       (_ftb_io_out_s3_full_pred_0_fallThroughAddr),
+     849             :     .io_out_s3_full_pred_0_fallThroughErr
+     850             :       (_ftb_io_out_s3_full_pred_0_fallThroughErr),
+     851             :     .io_out_s3_full_pred_0_is_br_sharing
+     852             :       (_ftb_io_out_s3_full_pred_0_is_br_sharing),
+     853             :     .io_out_s3_full_pred_0_hit                           (_ftb_io_out_s3_full_pred_0_hit),
+     854             :     .io_out_s3_full_pred_1_br_taken_mask_0
+     855             :       (_ftb_io_out_s3_full_pred_1_br_taken_mask_0),
+     856             :     .io_out_s3_full_pred_1_br_taken_mask_1
+     857             :       (_ftb_io_out_s3_full_pred_1_br_taken_mask_1),
+     858             :     .io_out_s3_full_pred_1_slot_valids_0
+     859             :       (_ftb_io_out_s3_full_pred_1_slot_valids_0),
+     860             :     .io_out_s3_full_pred_1_slot_valids_1
+     861             :       (_ftb_io_out_s3_full_pred_1_slot_valids_1),
+     862             :     .io_out_s3_full_pred_1_targets_0
+     863             :       (_ftb_io_out_s3_full_pred_1_targets_0),
+     864             :     .io_out_s3_full_pred_1_targets_1
+     865             :       (_ftb_io_out_s3_full_pred_1_targets_1),
+     866             :     .io_out_s3_full_pred_1_jalr_target
+     867             :       (_ftb_io_out_s3_full_pred_1_jalr_target),
+     868             :     .io_out_s3_full_pred_1_fallThroughAddr
+     869             :       (_ftb_io_out_s3_full_pred_1_fallThroughAddr),
+     870             :     .io_out_s3_full_pred_1_fallThroughErr
+     871             :       (_ftb_io_out_s3_full_pred_1_fallThroughErr),
+     872             :     .io_out_s3_full_pred_1_is_br_sharing
+     873             :       (_ftb_io_out_s3_full_pred_1_is_br_sharing),
+     874             :     .io_out_s3_full_pred_1_hit                           (_ftb_io_out_s3_full_pred_1_hit),
+     875             :     .io_out_s3_full_pred_2_br_taken_mask_0
+     876             :       (_ftb_io_out_s3_full_pred_2_br_taken_mask_0),
+     877             :     .io_out_s3_full_pred_2_br_taken_mask_1
+     878             :       (_ftb_io_out_s3_full_pred_2_br_taken_mask_1),
+     879             :     .io_out_s3_full_pred_2_slot_valids_0
+     880             :       (_ftb_io_out_s3_full_pred_2_slot_valids_0),
+     881             :     .io_out_s3_full_pred_2_slot_valids_1
+     882             :       (_ftb_io_out_s3_full_pred_2_slot_valids_1),
+     883             :     .io_out_s3_full_pred_2_targets_0
+     884             :       (_ftb_io_out_s3_full_pred_2_targets_0),
+     885             :     .io_out_s3_full_pred_2_targets_1
+     886             :       (_ftb_io_out_s3_full_pred_2_targets_1),
+     887             :     .io_out_s3_full_pred_2_jalr_target
+     888             :       (_ftb_io_out_s3_full_pred_2_jalr_target),
+     889             :     .io_out_s3_full_pred_2_fallThroughAddr
+     890             :       (_ftb_io_out_s3_full_pred_2_fallThroughAddr),
+     891             :     .io_out_s3_full_pred_2_fallThroughErr
+     892             :       (_ftb_io_out_s3_full_pred_2_fallThroughErr),
+     893             :     .io_out_s3_full_pred_2_is_jalr
+     894             :       (_ftb_io_out_s3_full_pred_2_is_jalr),
+     895             :     .io_out_s3_full_pred_2_is_call
+     896             :       (_ftb_io_out_s3_full_pred_2_is_call),
+     897             :     .io_out_s3_full_pred_2_is_ret
+     898             :       (_ftb_io_out_s3_full_pred_2_is_ret),
+     899             :     .io_out_s3_full_pred_2_is_br_sharing
+     900             :       (_ftb_io_out_s3_full_pred_2_is_br_sharing),
+     901             :     .io_out_s3_full_pred_2_hit                           (_ftb_io_out_s3_full_pred_2_hit),
+     902             :     .io_out_s3_full_pred_3_br_taken_mask_0
+     903             :       (_ftb_io_out_s3_full_pred_3_br_taken_mask_0),
+     904             :     .io_out_s3_full_pred_3_br_taken_mask_1
+     905             :       (_ftb_io_out_s3_full_pred_3_br_taken_mask_1),
+     906             :     .io_out_s3_full_pred_3_slot_valids_0
+     907             :       (_ftb_io_out_s3_full_pred_3_slot_valids_0),
+     908             :     .io_out_s3_full_pred_3_slot_valids_1
+     909             :       (_ftb_io_out_s3_full_pred_3_slot_valids_1),
+     910             :     .io_out_s3_full_pred_3_targets_0
+     911             :       (_ftb_io_out_s3_full_pred_3_targets_0),
+     912             :     .io_out_s3_full_pred_3_targets_1
+     913             :       (_ftb_io_out_s3_full_pred_3_targets_1),
+     914             :     .io_out_s3_full_pred_3_jalr_target
+     915             :       (_ftb_io_out_s3_full_pred_3_jalr_target),
+     916             :     .io_out_s3_full_pred_3_offsets_0
+     917             :       (_ftb_io_out_s3_full_pred_3_offsets_0),
+     918             :     .io_out_s3_full_pred_3_offsets_1
+     919             :       (_ftb_io_out_s3_full_pred_3_offsets_1),
+     920             :     .io_out_s3_full_pred_3_fallThroughAddr
+     921             :       (_ftb_io_out_s3_full_pred_3_fallThroughAddr),
+     922             :     .io_out_s3_full_pred_3_fallThroughErr
+     923             :       (_ftb_io_out_s3_full_pred_3_fallThroughErr),
+     924             :     .io_out_s3_full_pred_3_is_br_sharing
+     925             :       (_ftb_io_out_s3_full_pred_3_is_br_sharing),
+     926             :     .io_out_s3_full_pred_3_hit                           (_ftb_io_out_s3_full_pred_3_hit),
+     927             :     .io_out_last_stage_meta                              (_ftb_io_out_last_stage_meta),
+     928             :     .io_out_last_stage_ftb_entry_valid
+     929             :       (_ftb_io_out_last_stage_ftb_entry_valid),
+     930             :     .io_out_last_stage_ftb_entry_brSlots_0_offset
+     931             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_offset),
+     932             :     .io_out_last_stage_ftb_entry_brSlots_0_lower
+     933             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_lower),
+     934             :     .io_out_last_stage_ftb_entry_brSlots_0_tarStat
+     935             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_tarStat),
+     936             :     .io_out_last_stage_ftb_entry_brSlots_0_sharing
+     937             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_sharing),
+     938             :     .io_out_last_stage_ftb_entry_brSlots_0_valid
+     939             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_valid),
+     940             :     .io_out_last_stage_ftb_entry_tailSlot_offset
+     941             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_offset),
+     942             :     .io_out_last_stage_ftb_entry_tailSlot_lower
+     943             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_lower),
+     944             :     .io_out_last_stage_ftb_entry_tailSlot_tarStat
+     945             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_tarStat),
+     946             :     .io_out_last_stage_ftb_entry_tailSlot_sharing
+     947             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_sharing),
+     948             :     .io_out_last_stage_ftb_entry_tailSlot_valid
+     949             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_valid),
+     950             :     .io_out_last_stage_ftb_entry_pftAddr
+     951             :       (_ftb_io_out_last_stage_ftb_entry_pftAddr),
+     952             :     .io_out_last_stage_ftb_entry_carry
+     953             :       (_ftb_io_out_last_stage_ftb_entry_carry),
+     954             :     .io_out_last_stage_ftb_entry_isCall
+     955             :       (_ftb_io_out_last_stage_ftb_entry_isCall),
+     956             :     .io_out_last_stage_ftb_entry_isRet
+     957             :       (_ftb_io_out_last_stage_ftb_entry_isRet),
+     958             :     .io_out_last_stage_ftb_entry_isJalr
+     959             :       (_ftb_io_out_last_stage_ftb_entry_isJalr),
+     960             :     .io_out_last_stage_ftb_entry_last_may_be_rvi_call
+     961             :       (_ftb_io_out_last_stage_ftb_entry_last_may_be_rvi_call),
+     962             :     .io_out_last_stage_ftb_entry_always_taken_0
+     963             :       (_ftb_io_out_last_stage_ftb_entry_always_taken_0),
+     964             :     .io_out_last_stage_ftb_entry_always_taken_1
+     965             :       (_ftb_io_out_last_stage_ftb_entry_always_taken_1),
+     966             :     .io_ctrl_btb_enable
+     967             :       (_ftb_io_ctrl_delay_io_out_btb_enable),
+     968             :     .io_s0_fire_0                                        (io_s0_fire_0),
+     969             :     .io_s0_fire_1                                        (io_s0_fire_1),
+     970             :     .io_s0_fire_2                                        (io_s0_fire_2),
+     971             :     .io_s0_fire_3                                        (io_s0_fire_3),
+     972             :     .io_s1_fire_0                                        (io_s1_fire_0),
+     973             :     .io_s1_fire_1                                        (io_s1_fire_1),
+     974             :     .io_s1_fire_2                                        (io_s1_fire_2),
+     975             :     .io_s1_fire_3                                        (io_s1_fire_3),
+     976             :     .io_s2_fire_0                                        (io_s2_fire_0),
+     977             :     .io_s2_fire_1                                        (io_s2_fire_1),
+     978             :     .io_s2_fire_2                                        (io_s2_fire_2),
+     979             :     .io_s2_fire_3                                        (io_s2_fire_3),
+     980             :     .io_s1_ready                                         (_ftb_io_s1_ready),
+     981             :     .io_update_valid                                     (io_update_valid),
+     982             :     .io_update_bits_pc                                   (io_update_bits_pc),
+     983             :     .io_update_bits_ftb_entry_valid                      (io_update_bits_ftb_entry_valid),
+     984             :     .io_update_bits_ftb_entry_brSlots_0_offset
+     985             :       (io_update_bits_ftb_entry_brSlots_0_offset),
+     986             :     .io_update_bits_ftb_entry_brSlots_0_lower
+     987             :       (io_update_bits_ftb_entry_brSlots_0_lower),
+     988             :     .io_update_bits_ftb_entry_brSlots_0_tarStat
+     989             :       (io_update_bits_ftb_entry_brSlots_0_tarStat),
+     990             :     .io_update_bits_ftb_entry_brSlots_0_sharing
+     991             :       (io_update_bits_ftb_entry_brSlots_0_sharing),
+     992             :     .io_update_bits_ftb_entry_brSlots_0_valid
+     993             :       (io_update_bits_ftb_entry_brSlots_0_valid),
+     994             :     .io_update_bits_ftb_entry_tailSlot_offset
+     995             :       (io_update_bits_ftb_entry_tailSlot_offset),
+     996             :     .io_update_bits_ftb_entry_tailSlot_lower
+     997             :       (io_update_bits_ftb_entry_tailSlot_lower),
+     998             :     .io_update_bits_ftb_entry_tailSlot_tarStat
+     999             :       (io_update_bits_ftb_entry_tailSlot_tarStat),
+    1000             :     .io_update_bits_ftb_entry_tailSlot_sharing
+    1001             :       (io_update_bits_ftb_entry_tailSlot_sharing),
+    1002             :     .io_update_bits_ftb_entry_tailSlot_valid
+    1003             :       (io_update_bits_ftb_entry_tailSlot_valid),
+    1004             :     .io_update_bits_ftb_entry_pftAddr
+    1005             :       (io_update_bits_ftb_entry_pftAddr),
+    1006             :     .io_update_bits_ftb_entry_carry                      (io_update_bits_ftb_entry_carry),
+    1007             :     .io_update_bits_ftb_entry_isCall
+    1008             :       (io_update_bits_ftb_entry_isCall),
+    1009             :     .io_update_bits_ftb_entry_isRet                      (io_update_bits_ftb_entry_isRet),
+    1010             :     .io_update_bits_ftb_entry_isJalr
+    1011             :       (io_update_bits_ftb_entry_isJalr),
+    1012             :     .io_update_bits_ftb_entry_last_may_be_rvi_call
+    1013             :       (io_update_bits_ftb_entry_last_may_be_rvi_call),
+    1014             :     .io_update_bits_ftb_entry_always_taken_0
+    1015             :       (io_update_bits_ftb_entry_always_taken_0),
+    1016             :     .io_update_bits_ftb_entry_always_taken_1
+    1017             :       (io_update_bits_ftb_entry_always_taken_1),
+    1018             :     .io_update_bits_old_entry                            (io_update_bits_old_entry),
+    1019             :     .io_update_bits_meta
+    1020             :       ({126'h0, io_update_bits_meta[222:126]}),
+    1021             :     .io_perf_0_value                                     (_ftb_io_perf_0_value),
+    1022             :     .io_perf_1_value                                     (_ftb_io_perf_1_value)
+    1023             :   );
+    1024             :   FauFTB ubtb (
+    1025             :     .clock                                      (clock),
+    1026             :     .reset                                      (reset),
+    1027             :     .io_reset_vector                            (io_reset_vector),
+    1028             :     .io_in_bits_s0_pc_0                         (io_in_bits_s0_pc_0),
+    1029             :     .io_in_bits_s0_pc_1                         (io_in_bits_s0_pc_1),
+    1030             :     .io_in_bits_s0_pc_2                         (io_in_bits_s0_pc_2),
+    1031             :     .io_in_bits_s0_pc_3                         (io_in_bits_s0_pc_3),
+    1032             :     .io_out_s1_pc_0                             (io_out_s1_pc_0),
+    1033             :     .io_out_s1_pc_1                             (io_out_s1_pc_1),
+    1034             :     .io_out_s1_pc_2                             (io_out_s1_pc_2),
+    1035             :     .io_out_s1_pc_3                             (io_out_s1_pc_3),
+    1036             :     .io_out_s1_full_pred_0_br_taken_mask_0      (io_out_s1_full_pred_0_br_taken_mask_0),
+    1037             :     .io_out_s1_full_pred_0_br_taken_mask_1      (io_out_s1_full_pred_0_br_taken_mask_1),
+    1038             :     .io_out_s1_full_pred_0_slot_valids_0        (io_out_s1_full_pred_0_slot_valids_0),
+    1039             :     .io_out_s1_full_pred_0_slot_valids_1        (io_out_s1_full_pred_0_slot_valids_1),
+    1040             :     .io_out_s1_full_pred_0_targets_0            (io_out_s1_full_pred_0_targets_0),
+    1041             :     .io_out_s1_full_pred_0_targets_1            (io_out_s1_full_pred_0_targets_1),
+    1042             :     .io_out_s1_full_pred_0_offsets_0            (io_out_s1_full_pred_0_offsets_0),
+    1043             :     .io_out_s1_full_pred_0_offsets_1            (io_out_s1_full_pred_0_offsets_1),
+    1044             :     .io_out_s1_full_pred_0_fallThroughAddr      (io_out_s1_full_pred_0_fallThroughAddr),
+    1045             :     .io_out_s1_full_pred_0_is_br_sharing        (io_out_s1_full_pred_0_is_br_sharing),
+    1046             :     .io_out_s1_full_pred_0_hit                  (io_out_s1_full_pred_0_hit),
+    1047             :     .io_out_s1_full_pred_1_br_taken_mask_0      (io_out_s1_full_pred_1_br_taken_mask_0),
+    1048             :     .io_out_s1_full_pred_1_br_taken_mask_1      (io_out_s1_full_pred_1_br_taken_mask_1),
+    1049             :     .io_out_s1_full_pred_1_slot_valids_0        (io_out_s1_full_pred_1_slot_valids_0),
+    1050             :     .io_out_s1_full_pred_1_slot_valids_1        (io_out_s1_full_pred_1_slot_valids_1),
+    1051             :     .io_out_s1_full_pred_1_targets_0            (io_out_s1_full_pred_1_targets_0),
+    1052             :     .io_out_s1_full_pred_1_targets_1            (io_out_s1_full_pred_1_targets_1),
+    1053             :     .io_out_s1_full_pred_1_offsets_0            (io_out_s1_full_pred_1_offsets_0),
+    1054             :     .io_out_s1_full_pred_1_offsets_1            (io_out_s1_full_pred_1_offsets_1),
+    1055             :     .io_out_s1_full_pred_1_fallThroughAddr      (io_out_s1_full_pred_1_fallThroughAddr),
+    1056             :     .io_out_s1_full_pred_1_is_br_sharing        (io_out_s1_full_pred_1_is_br_sharing),
+    1057             :     .io_out_s1_full_pred_1_hit                  (io_out_s1_full_pred_1_hit),
+    1058             :     .io_out_s1_full_pred_2_br_taken_mask_0      (io_out_s1_full_pred_2_br_taken_mask_0),
+    1059             :     .io_out_s1_full_pred_2_br_taken_mask_1      (io_out_s1_full_pred_2_br_taken_mask_1),
+    1060             :     .io_out_s1_full_pred_2_slot_valids_0        (io_out_s1_full_pred_2_slot_valids_0),
+    1061             :     .io_out_s1_full_pred_2_slot_valids_1        (io_out_s1_full_pred_2_slot_valids_1),
+    1062             :     .io_out_s1_full_pred_2_targets_0            (io_out_s1_full_pred_2_targets_0),
+    1063             :     .io_out_s1_full_pred_2_targets_1            (io_out_s1_full_pred_2_targets_1),
+    1064             :     .io_out_s1_full_pred_2_offsets_0            (io_out_s1_full_pred_2_offsets_0),
+    1065             :     .io_out_s1_full_pred_2_offsets_1            (io_out_s1_full_pred_2_offsets_1),
+    1066             :     .io_out_s1_full_pred_2_fallThroughAddr      (io_out_s1_full_pred_2_fallThroughAddr),
+    1067             :     .io_out_s1_full_pred_2_is_br_sharing        (io_out_s1_full_pred_2_is_br_sharing),
+    1068             :     .io_out_s1_full_pred_2_hit                  (io_out_s1_full_pred_2_hit),
+    1069             :     .io_out_s1_full_pred_3_br_taken_mask_0      (io_out_s1_full_pred_3_br_taken_mask_0),
+    1070             :     .io_out_s1_full_pred_3_br_taken_mask_1      (io_out_s1_full_pred_3_br_taken_mask_1),
+    1071             :     .io_out_s1_full_pred_3_slot_valids_0        (io_out_s1_full_pred_3_slot_valids_0),
+    1072             :     .io_out_s1_full_pred_3_slot_valids_1        (io_out_s1_full_pred_3_slot_valids_1),
+    1073             :     .io_out_s1_full_pred_3_targets_0            (io_out_s1_full_pred_3_targets_0),
+    1074             :     .io_out_s1_full_pred_3_targets_1            (io_out_s1_full_pred_3_targets_1),
+    1075             :     .io_out_s1_full_pred_3_offsets_0            (io_out_s1_full_pred_3_offsets_0),
+    1076             :     .io_out_s1_full_pred_3_offsets_1            (io_out_s1_full_pred_3_offsets_1),
+    1077             :     .io_out_s1_full_pred_3_fallThroughAddr      (io_out_s1_full_pred_3_fallThroughAddr),
+    1078             :     .io_out_s1_full_pred_3_fallThroughErr       (io_out_s1_full_pred_3_fallThroughErr),
+    1079             :     .io_out_s1_full_pred_3_is_br_sharing        (io_out_s1_full_pred_3_is_br_sharing),
+    1080             :     .io_out_s1_full_pred_3_hit                  (io_out_s1_full_pred_3_hit),
+    1081             :     .io_out_last_stage_meta                     (_ubtb_io_out_last_stage_meta),
+    1082             :     .io_ctrl_ubtb_enable                        (_ubtb_io_ctrl_delay_io_out_ubtb_enable),
+    1083             :     .io_s0_fire_0                               (io_s0_fire_0),
+    1084             :     .io_s0_fire_1                               (io_s0_fire_1),
+    1085             :     .io_s0_fire_2                               (io_s0_fire_2),
+    1086             :     .io_s0_fire_3                               (io_s0_fire_3),
+    1087             :     .io_s1_fire_0                               (io_s1_fire_0),
+    1088             :     .io_s2_fire_0                               (io_s2_fire_0),
+    1089             :     .io_update_valid                            (io_update_valid),
+    1090             :     .io_update_bits_pc                          (io_update_bits_pc),
+    1091             :     .io_update_bits_ftb_entry_brSlots_0_offset
+    1092             :       (io_update_bits_ftb_entry_brSlots_0_offset),
+    1093             :     .io_update_bits_ftb_entry_brSlots_0_lower
+    1094             :       (io_update_bits_ftb_entry_brSlots_0_lower),
+    1095             :     .io_update_bits_ftb_entry_brSlots_0_tarStat
+    1096             :       (io_update_bits_ftb_entry_brSlots_0_tarStat),
+    1097             :     .io_update_bits_ftb_entry_brSlots_0_valid
+    1098             :       (io_update_bits_ftb_entry_brSlots_0_valid),
+    1099             :     .io_update_bits_ftb_entry_tailSlot_offset
+    1100             :       (io_update_bits_ftb_entry_tailSlot_offset),
+    1101             :     .io_update_bits_ftb_entry_tailSlot_lower    (io_update_bits_ftb_entry_tailSlot_lower),
+    1102             :     .io_update_bits_ftb_entry_tailSlot_tarStat
+    1103             :       (io_update_bits_ftb_entry_tailSlot_tarStat),
+    1104             :     .io_update_bits_ftb_entry_tailSlot_sharing
+    1105             :       (io_update_bits_ftb_entry_tailSlot_sharing),
+    1106             :     .io_update_bits_ftb_entry_tailSlot_valid    (io_update_bits_ftb_entry_tailSlot_valid),
+    1107             :     .io_update_bits_ftb_entry_pftAddr           (io_update_bits_ftb_entry_pftAddr),
+    1108             :     .io_update_bits_ftb_entry_carry             (io_update_bits_ftb_entry_carry),
+    1109             :     .io_update_bits_ftb_entry_always_taken_0    (io_update_bits_ftb_entry_always_taken_0),
+    1110             :     .io_update_bits_ftb_entry_always_taken_1    (io_update_bits_ftb_entry_always_taken_1),
+    1111             :     .io_update_bits_br_taken_mask_0             (io_update_bits_br_taken_mask_0),
+    1112             :     .io_update_bits_br_taken_mask_1             (io_update_bits_br_taken_mask_1),
+    1113             :     .io_update_bits_meta                        ({217'h0, io_update_bits_meta[222:217]}),
+    1114             :     .io_perf_0_value                            (_ubtb_io_perf_0_value),
+    1115             :     .io_perf_1_value                            (_ubtb_io_perf_1_value)
+    1116             :   );
+    1117             :   Tage_SC tage (
+    1118             :     .clock                                                    (clock),
+    1119             :     .reset                                                    (reset),
+    1120             :     .io_reset_vector                                          (io_reset_vector),
+    1121             :     .io_in_bits_s0_pc_0                                       (io_in_bits_s0_pc_0),
+    1122             :     .io_in_bits_s0_pc_1                                       (io_in_bits_s0_pc_1),
+    1123             :     .io_in_bits_s0_pc_3                                       (io_in_bits_s0_pc_3),
+    1124             :     .io_in_bits_folded_hist_1_hist_17_folded_hist
+    1125             :       (io_in_bits_folded_hist_1_hist_17_folded_hist),
+    1126             :     .io_in_bits_folded_hist_1_hist_16_folded_hist
+    1127             :       (io_in_bits_folded_hist_1_hist_16_folded_hist),
+    1128             :     .io_in_bits_folded_hist_1_hist_15_folded_hist
+    1129             :       (io_in_bits_folded_hist_1_hist_15_folded_hist),
+    1130             :     .io_in_bits_folded_hist_1_hist_14_folded_hist
+    1131             :       (io_in_bits_folded_hist_1_hist_14_folded_hist),
+    1132             :     .io_in_bits_folded_hist_1_hist_9_folded_hist
+    1133             :       (io_in_bits_folded_hist_1_hist_9_folded_hist),
+    1134             :     .io_in_bits_folded_hist_1_hist_8_folded_hist
+    1135             :       (io_in_bits_folded_hist_1_hist_8_folded_hist),
+    1136             :     .io_in_bits_folded_hist_1_hist_7_folded_hist
+    1137             :       (io_in_bits_folded_hist_1_hist_7_folded_hist),
+    1138             :     .io_in_bits_folded_hist_1_hist_5_folded_hist
+    1139             :       (io_in_bits_folded_hist_1_hist_5_folded_hist),
+    1140             :     .io_in_bits_folded_hist_1_hist_4_folded_hist
+    1141             :       (io_in_bits_folded_hist_1_hist_4_folded_hist),
+    1142             :     .io_in_bits_folded_hist_1_hist_3_folded_hist
+    1143             :       (io_in_bits_folded_hist_1_hist_3_folded_hist),
+    1144             :     .io_in_bits_folded_hist_1_hist_1_folded_hist
+    1145             :       (io_in_bits_folded_hist_1_hist_1_folded_hist),
+    1146             :     .io_in_bits_folded_hist_3_hist_12_folded_hist
+    1147             :       (io_in_bits_folded_hist_3_hist_12_folded_hist),
+    1148             :     .io_in_bits_folded_hist_3_hist_11_folded_hist
+    1149             :       (io_in_bits_folded_hist_3_hist_11_folded_hist),
+    1150             :     .io_in_bits_folded_hist_3_hist_2_folded_hist
+    1151             :       (io_in_bits_folded_hist_3_hist_2_folded_hist),
+    1152             :     .io_out_s2_full_pred_0_br_taken_mask_0
+    1153             :       (_tage_io_out_s2_full_pred_0_br_taken_mask_0),
+    1154             :     .io_out_s2_full_pred_0_br_taken_mask_1
+    1155             :       (_tage_io_out_s2_full_pred_0_br_taken_mask_1),
+    1156             :     .io_out_s2_full_pred_1_br_taken_mask_0
+    1157             :       (_tage_io_out_s2_full_pred_1_br_taken_mask_0),
+    1158             :     .io_out_s2_full_pred_1_br_taken_mask_1
+    1159             :       (_tage_io_out_s2_full_pred_1_br_taken_mask_1),
+    1160             :     .io_out_s2_full_pred_2_br_taken_mask_0
+    1161             :       (_tage_io_out_s2_full_pred_2_br_taken_mask_0),
+    1162             :     .io_out_s2_full_pred_2_br_taken_mask_1
+    1163             :       (_tage_io_out_s2_full_pred_2_br_taken_mask_1),
+    1164             :     .io_out_s2_full_pred_3_br_taken_mask_0
+    1165             :       (_tage_io_out_s2_full_pred_3_br_taken_mask_0),
+    1166             :     .io_out_s2_full_pred_3_br_taken_mask_1
+    1167             :       (_tage_io_out_s2_full_pred_3_br_taken_mask_1),
+    1168             :     .io_out_s3_full_pred_0_br_taken_mask_0
+    1169             :       (_tage_io_out_s3_full_pred_0_br_taken_mask_0),
+    1170             :     .io_out_s3_full_pred_0_br_taken_mask_1
+    1171             :       (_tage_io_out_s3_full_pred_0_br_taken_mask_1),
+    1172             :     .io_out_s3_full_pred_1_br_taken_mask_0
+    1173             :       (_tage_io_out_s3_full_pred_1_br_taken_mask_0),
+    1174             :     .io_out_s3_full_pred_1_br_taken_mask_1
+    1175             :       (_tage_io_out_s3_full_pred_1_br_taken_mask_1),
+    1176             :     .io_out_s3_full_pred_2_br_taken_mask_0
+    1177             :       (_tage_io_out_s3_full_pred_2_br_taken_mask_0),
+    1178             :     .io_out_s3_full_pred_2_br_taken_mask_1
+    1179             :       (_tage_io_out_s3_full_pred_2_br_taken_mask_1),
+    1180             :     .io_out_s3_full_pred_3_br_taken_mask_0
+    1181             :       (_tage_io_out_s3_full_pred_3_br_taken_mask_0),
+    1182             :     .io_out_s3_full_pred_3_br_taken_mask_1
+    1183             :       (_tage_io_out_s3_full_pred_3_br_taken_mask_1),
+    1184             :     .io_out_last_stage_meta
+    1185             :       (_tage_io_out_last_stage_meta),
+    1186             :     .io_ctrl_tage_enable
+    1187             :       (_tage_io_ctrl_delay_io_out_tage_enable),
+    1188             :     .io_ctrl_sc_enable
+    1189             :       (_tage_io_ctrl_delay_io_out_sc_enable),
+    1190             :     .io_s0_fire_0                                             (io_s0_fire_0),
+    1191             :     .io_s0_fire_1                                             (io_s0_fire_1),
+    1192             :     .io_s0_fire_3                                             (io_s0_fire_3),
+    1193             :     .io_s1_fire_0                                             (io_s1_fire_0),
+    1194             :     .io_s1_fire_1                                             (io_s1_fire_1),
+    1195             :     .io_s1_fire_2                                             (io_s1_fire_2),
+    1196             :     .io_s1_fire_3                                             (io_s1_fire_3),
+    1197             :     .io_s2_fire_0                                             (io_s2_fire_0),
+    1198             :     .io_s2_fire_1                                             (io_s2_fire_1),
+    1199             :     .io_s2_fire_2                                             (io_s2_fire_2),
+    1200             :     .io_s2_fire_3                                             (io_s2_fire_3),
+    1201             :     .io_s1_ready                                              (_tage_io_s1_ready),
+    1202             :     .io_update_valid                                          (io_update_valid),
+    1203             :     .io_update_bits_pc                                        (io_update_bits_pc),
+    1204             :     .io_update_bits_spec_info_folded_hist_hist_17_folded_hist
+    1205             :       (io_update_bits_spec_info_folded_hist_hist_17_folded_hist),
+    1206             :     .io_update_bits_spec_info_folded_hist_hist_16_folded_hist
+    1207             :       (io_update_bits_spec_info_folded_hist_hist_16_folded_hist),
+    1208             :     .io_update_bits_spec_info_folded_hist_hist_15_folded_hist
+    1209             :       (io_update_bits_spec_info_folded_hist_hist_15_folded_hist),
+    1210             :     .io_update_bits_spec_info_folded_hist_hist_14_folded_hist
+    1211             :       (io_update_bits_spec_info_folded_hist_hist_14_folded_hist),
+    1212             :     .io_update_bits_spec_info_folded_hist_hist_12_folded_hist
+    1213             :       (io_update_bits_spec_info_folded_hist_hist_12_folded_hist),
+    1214             :     .io_update_bits_spec_info_folded_hist_hist_11_folded_hist
+    1215             :       (io_update_bits_spec_info_folded_hist_hist_11_folded_hist),
+    1216             :     .io_update_bits_spec_info_folded_hist_hist_9_folded_hist
+    1217             :       (io_update_bits_spec_info_folded_hist_hist_9_folded_hist),
+    1218             :     .io_update_bits_spec_info_folded_hist_hist_8_folded_hist
+    1219             :       (io_update_bits_spec_info_folded_hist_hist_8_folded_hist),
+    1220             :     .io_update_bits_spec_info_folded_hist_hist_7_folded_hist
+    1221             :       (io_update_bits_spec_info_folded_hist_hist_7_folded_hist),
+    1222             :     .io_update_bits_spec_info_folded_hist_hist_5_folded_hist
+    1223             :       (io_update_bits_spec_info_folded_hist_hist_5_folded_hist),
+    1224             :     .io_update_bits_spec_info_folded_hist_hist_4_folded_hist
+    1225             :       (io_update_bits_spec_info_folded_hist_hist_4_folded_hist),
+    1226             :     .io_update_bits_spec_info_folded_hist_hist_3_folded_hist
+    1227             :       (io_update_bits_spec_info_folded_hist_hist_3_folded_hist),
+    1228             :     .io_update_bits_spec_info_folded_hist_hist_2_folded_hist
+    1229             :       (io_update_bits_spec_info_folded_hist_hist_2_folded_hist),
+    1230             :     .io_update_bits_spec_info_folded_hist_hist_1_folded_hist
+    1231             :       (io_update_bits_spec_info_folded_hist_hist_1_folded_hist),
+    1232             :     .io_update_bits_ftb_entry_brSlots_0_valid
+    1233             :       (io_update_bits_ftb_entry_brSlots_0_valid),
+    1234             :     .io_update_bits_ftb_entry_tailSlot_sharing
+    1235             :       (io_update_bits_ftb_entry_tailSlot_sharing),
+    1236             :     .io_update_bits_ftb_entry_tailSlot_valid
+    1237             :       (io_update_bits_ftb_entry_tailSlot_valid),
+    1238             :     .io_update_bits_ftb_entry_always_taken_0
+    1239             :       (io_update_bits_ftb_entry_always_taken_0),
+    1240             :     .io_update_bits_ftb_entry_always_taken_1
+    1241             :       (io_update_bits_ftb_entry_always_taken_1),
+    1242             :     .io_update_bits_br_taken_mask_0
+    1243             :       (io_update_bits_br_taken_mask_0),
+    1244             :     .io_update_bits_br_taken_mask_1
+    1245             :       (io_update_bits_br_taken_mask_1),
+    1246             :     .io_update_bits_mispred_mask_0
+    1247             :       (io_update_bits_mispred_mask_0),
+    1248             :     .io_update_bits_mispred_mask_1
+    1249             :       (io_update_bits_mispred_mask_1),
+    1250             :     .io_update_bits_meta
+    1251             :       ({129'h0, io_update_bits_meta[222:129]}),
+    1252             :     .io_perf_0_value                                          (_tage_io_perf_0_value),
+    1253             :     .io_perf_1_value                                          (_tage_io_perf_1_value),
+    1254             :     .io_perf_2_value                                          (_tage_io_perf_2_value)
+    1255             :   );
+    1256             :   RAS ras (
+    1257             :     .clock                                                          (clock),
+    1258             :     .reset                                                          (reset),
+    1259             :     .io_reset_vector                                                (io_reset_vector),
+    1260             :     .io_in_bits_s0_pc_0                                             (io_in_bits_s0_pc_0),
+    1261             :     .io_in_bits_s0_pc_1                                             (io_in_bits_s0_pc_1),
+    1262             :     .io_in_bits_s0_pc_2                                             (io_in_bits_s0_pc_2),
+    1263             :     .io_in_bits_s0_pc_3                                             (io_in_bits_s0_pc_3),
+    1264             :     .io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0
+    1265             :       (_ittage_io_out_s2_full_pred_0_br_taken_mask_0),
+    1266             :     .io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1
+    1267             :       (_ittage_io_out_s2_full_pred_0_br_taken_mask_1),
+    1268             :     .io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_0
+    1269             :       (_ittage_io_out_s2_full_pred_0_slot_valids_0),
+    1270             :     .io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_1
+    1271             :       (_ittage_io_out_s2_full_pred_0_slot_valids_1),
+    1272             :     .io_in_bits_resp_in_0_s2_full_pred_0_targets_0
+    1273             :       (_ittage_io_out_s2_full_pred_0_targets_0),
+    1274             :     .io_in_bits_resp_in_0_s2_full_pred_0_targets_1
+    1275             :       (_ittage_io_out_s2_full_pred_0_targets_1),
+    1276             :     .io_in_bits_resp_in_0_s2_full_pred_0_jalr_target
+    1277             :       (_ittage_io_out_s2_full_pred_0_jalr_target),
+    1278             :     .io_in_bits_resp_in_0_s2_full_pred_0_offsets_0
+    1279             :       (_ittage_io_out_s2_full_pred_0_offsets_0),
+    1280             :     .io_in_bits_resp_in_0_s2_full_pred_0_offsets_1
+    1281             :       (_ittage_io_out_s2_full_pred_0_offsets_1),
+    1282             :     .io_in_bits_resp_in_0_s2_full_pred_0_fallThroughAddr
+    1283             :       (_ittage_io_out_s2_full_pred_0_fallThroughAddr),
+    1284             :     .io_in_bits_resp_in_0_s2_full_pred_0_is_br_sharing
+    1285             :       (_ittage_io_out_s2_full_pred_0_is_br_sharing),
+    1286             :     .io_in_bits_resp_in_0_s2_full_pred_0_hit
+    1287             :       (_ittage_io_out_s2_full_pred_0_hit),
+    1288             :     .io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0
+    1289             :       (_ittage_io_out_s2_full_pred_1_br_taken_mask_0),
+    1290             :     .io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1
+    1291             :       (_ittage_io_out_s2_full_pred_1_br_taken_mask_1),
+    1292             :     .io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_0
+    1293             :       (_ittage_io_out_s2_full_pred_1_slot_valids_0),
+    1294             :     .io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_1
+    1295             :       (_ittage_io_out_s2_full_pred_1_slot_valids_1),
+    1296             :     .io_in_bits_resp_in_0_s2_full_pred_1_targets_0
+    1297             :       (_ittage_io_out_s2_full_pred_1_targets_0),
+    1298             :     .io_in_bits_resp_in_0_s2_full_pred_1_targets_1
+    1299             :       (_ittage_io_out_s2_full_pred_1_targets_1),
+    1300             :     .io_in_bits_resp_in_0_s2_full_pred_1_jalr_target
+    1301             :       (_ittage_io_out_s2_full_pred_1_jalr_target),
+    1302             :     .io_in_bits_resp_in_0_s2_full_pred_1_offsets_0
+    1303             :       (_ittage_io_out_s2_full_pred_1_offsets_0),
+    1304             :     .io_in_bits_resp_in_0_s2_full_pred_1_offsets_1
+    1305             :       (_ittage_io_out_s2_full_pred_1_offsets_1),
+    1306             :     .io_in_bits_resp_in_0_s2_full_pred_1_fallThroughAddr
+    1307             :       (_ittage_io_out_s2_full_pred_1_fallThroughAddr),
+    1308             :     .io_in_bits_resp_in_0_s2_full_pred_1_is_br_sharing
+    1309             :       (_ittage_io_out_s2_full_pred_1_is_br_sharing),
+    1310             :     .io_in_bits_resp_in_0_s2_full_pred_1_hit
+    1311             :       (_ittage_io_out_s2_full_pred_1_hit),
+    1312             :     .io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0
+    1313             :       (_ittage_io_out_s2_full_pred_2_br_taken_mask_0),
+    1314             :     .io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1
+    1315             :       (_ittage_io_out_s2_full_pred_2_br_taken_mask_1),
+    1316             :     .io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_0
+    1317             :       (_ittage_io_out_s2_full_pred_2_slot_valids_0),
+    1318             :     .io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1
+    1319             :       (_ittage_io_out_s2_full_pred_2_slot_valids_1),
+    1320             :     .io_in_bits_resp_in_0_s2_full_pred_2_targets_0
+    1321             :       (_ittage_io_out_s2_full_pred_2_targets_0),
+    1322             :     .io_in_bits_resp_in_0_s2_full_pred_2_targets_1
+    1323             :       (_ittage_io_out_s2_full_pred_2_targets_1),
+    1324             :     .io_in_bits_resp_in_0_s2_full_pred_2_jalr_target
+    1325             :       (_ittage_io_out_s2_full_pred_2_jalr_target),
+    1326             :     .io_in_bits_resp_in_0_s2_full_pred_2_offsets_0
+    1327             :       (_ittage_io_out_s2_full_pred_2_offsets_0),
+    1328             :     .io_in_bits_resp_in_0_s2_full_pred_2_offsets_1
+    1329             :       (_ittage_io_out_s2_full_pred_2_offsets_1),
+    1330             :     .io_in_bits_resp_in_0_s2_full_pred_2_fallThroughAddr
+    1331             :       (_ittage_io_out_s2_full_pred_2_fallThroughAddr),
+    1332             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_jalr
+    1333             :       (_ittage_io_out_s2_full_pred_2_is_jalr),
+    1334             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_call
+    1335             :       (_ittage_io_out_s2_full_pred_2_is_call),
+    1336             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_ret
+    1337             :       (_ittage_io_out_s2_full_pred_2_is_ret),
+    1338             :     .io_in_bits_resp_in_0_s2_full_pred_2_last_may_be_rvi_call
+    1339             :       (_ittage_io_out_s2_full_pred_2_last_may_be_rvi_call),
+    1340             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing
+    1341             :       (_ittage_io_out_s2_full_pred_2_is_br_sharing),
+    1342             :     .io_in_bits_resp_in_0_s2_full_pred_2_hit
+    1343             :       (_ittage_io_out_s2_full_pred_2_hit),
+    1344             :     .io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0
+    1345             :       (_ittage_io_out_s2_full_pred_3_br_taken_mask_0),
+    1346             :     .io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1
+    1347             :       (_ittage_io_out_s2_full_pred_3_br_taken_mask_1),
+    1348             :     .io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_0
+    1349             :       (_ittage_io_out_s2_full_pred_3_slot_valids_0),
+    1350             :     .io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_1
+    1351             :       (_ittage_io_out_s2_full_pred_3_slot_valids_1),
+    1352             :     .io_in_bits_resp_in_0_s2_full_pred_3_targets_0
+    1353             :       (_ittage_io_out_s2_full_pred_3_targets_0),
+    1354             :     .io_in_bits_resp_in_0_s2_full_pred_3_targets_1
+    1355             :       (_ittage_io_out_s2_full_pred_3_targets_1),
+    1356             :     .io_in_bits_resp_in_0_s2_full_pred_3_jalr_target
+    1357             :       (_ittage_io_out_s2_full_pred_3_jalr_target),
+    1358             :     .io_in_bits_resp_in_0_s2_full_pred_3_offsets_0
+    1359             :       (_ittage_io_out_s2_full_pred_3_offsets_0),
+    1360             :     .io_in_bits_resp_in_0_s2_full_pred_3_offsets_1
+    1361             :       (_ittage_io_out_s2_full_pred_3_offsets_1),
+    1362             :     .io_in_bits_resp_in_0_s2_full_pred_3_fallThroughAddr
+    1363             :       (_ittage_io_out_s2_full_pred_3_fallThroughAddr),
+    1364             :     .io_in_bits_resp_in_0_s2_full_pred_3_fallThroughErr
+    1365             :       (_ittage_io_out_s2_full_pred_3_fallThroughErr),
+    1366             :     .io_in_bits_resp_in_0_s2_full_pred_3_is_br_sharing
+    1367             :       (_ittage_io_out_s2_full_pred_3_is_br_sharing),
+    1368             :     .io_in_bits_resp_in_0_s2_full_pred_3_hit
+    1369             :       (_ittage_io_out_s2_full_pred_3_hit),
+    1370             :     .io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0
+    1371             :       (_ittage_io_out_s3_full_pred_0_br_taken_mask_0),
+    1372             :     .io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1
+    1373             :       (_ittage_io_out_s3_full_pred_0_br_taken_mask_1),
+    1374             :     .io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_0
+    1375             :       (_ittage_io_out_s3_full_pred_0_slot_valids_0),
+    1376             :     .io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_1
+    1377             :       (_ittage_io_out_s3_full_pred_0_slot_valids_1),
+    1378             :     .io_in_bits_resp_in_0_s3_full_pred_0_targets_0
+    1379             :       (_ittage_io_out_s3_full_pred_0_targets_0),
+    1380             :     .io_in_bits_resp_in_0_s3_full_pred_0_targets_1
+    1381             :       (_ittage_io_out_s3_full_pred_0_targets_1),
+    1382             :     .io_in_bits_resp_in_0_s3_full_pred_0_jalr_target
+    1383             :       (_ittage_io_out_s3_full_pred_0_jalr_target),
+    1384             :     .io_in_bits_resp_in_0_s3_full_pred_0_fallThroughAddr
+    1385             :       (_ittage_io_out_s3_full_pred_0_fallThroughAddr),
+    1386             :     .io_in_bits_resp_in_0_s3_full_pred_0_fallThroughErr
+    1387             :       (_ittage_io_out_s3_full_pred_0_fallThroughErr),
+    1388             :     .io_in_bits_resp_in_0_s3_full_pred_0_is_br_sharing
+    1389             :       (_ittage_io_out_s3_full_pred_0_is_br_sharing),
+    1390             :     .io_in_bits_resp_in_0_s3_full_pred_0_hit
+    1391             :       (_ittage_io_out_s3_full_pred_0_hit),
+    1392             :     .io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0
+    1393             :       (_ittage_io_out_s3_full_pred_1_br_taken_mask_0),
+    1394             :     .io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1
+    1395             :       (_ittage_io_out_s3_full_pred_1_br_taken_mask_1),
+    1396             :     .io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_0
+    1397             :       (_ittage_io_out_s3_full_pred_1_slot_valids_0),
+    1398             :     .io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_1
+    1399             :       (_ittage_io_out_s3_full_pred_1_slot_valids_1),
+    1400             :     .io_in_bits_resp_in_0_s3_full_pred_1_targets_0
+    1401             :       (_ittage_io_out_s3_full_pred_1_targets_0),
+    1402             :     .io_in_bits_resp_in_0_s3_full_pred_1_targets_1
+    1403             :       (_ittage_io_out_s3_full_pred_1_targets_1),
+    1404             :     .io_in_bits_resp_in_0_s3_full_pred_1_jalr_target
+    1405             :       (_ittage_io_out_s3_full_pred_1_jalr_target),
+    1406             :     .io_in_bits_resp_in_0_s3_full_pred_1_fallThroughAddr
+    1407             :       (_ittage_io_out_s3_full_pred_1_fallThroughAddr),
+    1408             :     .io_in_bits_resp_in_0_s3_full_pred_1_fallThroughErr
+    1409             :       (_ittage_io_out_s3_full_pred_1_fallThroughErr),
+    1410             :     .io_in_bits_resp_in_0_s3_full_pred_1_is_br_sharing
+    1411             :       (_ittage_io_out_s3_full_pred_1_is_br_sharing),
+    1412             :     .io_in_bits_resp_in_0_s3_full_pred_1_hit
+    1413             :       (_ittage_io_out_s3_full_pred_1_hit),
+    1414             :     .io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0
+    1415             :       (_ittage_io_out_s3_full_pred_2_br_taken_mask_0),
+    1416             :     .io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1
+    1417             :       (_ittage_io_out_s3_full_pred_2_br_taken_mask_1),
+    1418             :     .io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_0
+    1419             :       (_ittage_io_out_s3_full_pred_2_slot_valids_0),
+    1420             :     .io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1
+    1421             :       (_ittage_io_out_s3_full_pred_2_slot_valids_1),
+    1422             :     .io_in_bits_resp_in_0_s3_full_pred_2_targets_0
+    1423             :       (_ittage_io_out_s3_full_pred_2_targets_0),
+    1424             :     .io_in_bits_resp_in_0_s3_full_pred_2_targets_1
+    1425             :       (_ittage_io_out_s3_full_pred_2_targets_1),
+    1426             :     .io_in_bits_resp_in_0_s3_full_pred_2_jalr_target
+    1427             :       (_ittage_io_out_s3_full_pred_2_jalr_target),
+    1428             :     .io_in_bits_resp_in_0_s3_full_pred_2_fallThroughAddr
+    1429             :       (_ittage_io_out_s3_full_pred_2_fallThroughAddr),
+    1430             :     .io_in_bits_resp_in_0_s3_full_pred_2_fallThroughErr
+    1431             :       (_ittage_io_out_s3_full_pred_2_fallThroughErr),
+    1432             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_jalr
+    1433             :       (_ittage_io_out_s3_full_pred_2_is_jalr),
+    1434             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_call
+    1435             :       (_ittage_io_out_s3_full_pred_2_is_call),
+    1436             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_ret
+    1437             :       (_ittage_io_out_s3_full_pred_2_is_ret),
+    1438             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing
+    1439             :       (_ittage_io_out_s3_full_pred_2_is_br_sharing),
+    1440             :     .io_in_bits_resp_in_0_s3_full_pred_2_hit
+    1441             :       (_ittage_io_out_s3_full_pred_2_hit),
+    1442             :     .io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0
+    1443             :       (_ittage_io_out_s3_full_pred_3_br_taken_mask_0),
+    1444             :     .io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1
+    1445             :       (_ittage_io_out_s3_full_pred_3_br_taken_mask_1),
+    1446             :     .io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_0
+    1447             :       (_ittage_io_out_s3_full_pred_3_slot_valids_0),
+    1448             :     .io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_1
+    1449             :       (_ittage_io_out_s3_full_pred_3_slot_valids_1),
+    1450             :     .io_in_bits_resp_in_0_s3_full_pred_3_targets_0
+    1451             :       (_ittage_io_out_s3_full_pred_3_targets_0),
+    1452             :     .io_in_bits_resp_in_0_s3_full_pred_3_targets_1
+    1453             :       (_ittage_io_out_s3_full_pred_3_targets_1),
+    1454             :     .io_in_bits_resp_in_0_s3_full_pred_3_jalr_target
+    1455             :       (_ittage_io_out_s3_full_pred_3_jalr_target),
+    1456             :     .io_in_bits_resp_in_0_s3_full_pred_3_offsets_0
+    1457             :       (_ittage_io_out_s3_full_pred_3_offsets_0),
+    1458             :     .io_in_bits_resp_in_0_s3_full_pred_3_offsets_1
+    1459             :       (_ittage_io_out_s3_full_pred_3_offsets_1),
+    1460             :     .io_in_bits_resp_in_0_s3_full_pred_3_fallThroughAddr
+    1461             :       (_ittage_io_out_s3_full_pred_3_fallThroughAddr),
+    1462             :     .io_in_bits_resp_in_0_s3_full_pred_3_fallThroughErr
+    1463             :       (_ittage_io_out_s3_full_pred_3_fallThroughErr),
+    1464             :     .io_in_bits_resp_in_0_s3_full_pred_3_is_br_sharing
+    1465             :       (_ittage_io_out_s3_full_pred_3_is_br_sharing),
+    1466             :     .io_in_bits_resp_in_0_s3_full_pred_3_hit
+    1467             :       (_ittage_io_out_s3_full_pred_3_hit),
+    1468             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_valid
+    1469             :       (_ittage_io_out_last_stage_ftb_entry_valid),
+    1470             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_offset
+    1471             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_offset),
+    1472             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_lower
+    1473             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_lower),
+    1474             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_tarStat
+    1475             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_tarStat),
+    1476             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_sharing
+    1477             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_sharing),
+    1478             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_valid
+    1479             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_valid),
+    1480             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_offset
+    1481             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_offset),
+    1482             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_lower
+    1483             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_lower),
+    1484             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_tarStat
+    1485             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_tarStat),
+    1486             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_sharing
+    1487             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_sharing),
+    1488             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_valid
+    1489             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_valid),
+    1490             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_pftAddr
+    1491             :       (_ittage_io_out_last_stage_ftb_entry_pftAddr),
+    1492             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_carry
+    1493             :       (_ittage_io_out_last_stage_ftb_entry_carry),
+    1494             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_isCall
+    1495             :       (_ittage_io_out_last_stage_ftb_entry_isCall),
+    1496             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_isRet
+    1497             :       (_ittage_io_out_last_stage_ftb_entry_isRet),
+    1498             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_isJalr
+    1499             :       (_ittage_io_out_last_stage_ftb_entry_isJalr),
+    1500             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_last_may_be_rvi_call
+    1501             :       (_ittage_io_out_last_stage_ftb_entry_last_may_be_rvi_call),
+    1502             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_0
+    1503             :       (_ittage_io_out_last_stage_ftb_entry_always_taken_0),
+    1504             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_1
+    1505             :       (_ittage_io_out_last_stage_ftb_entry_always_taken_1),
+    1506             :     .io_out_s2_pc_0                                                 (io_out_s2_pc_0),
+    1507             :     .io_out_s2_pc_1                                                 (io_out_s2_pc_1),
+    1508             :     .io_out_s2_pc_2                                                 (io_out_s2_pc_2),
+    1509             :     .io_out_s2_pc_3                                                 (io_out_s2_pc_3),
+    1510             :     .io_out_s2_full_pred_0_br_taken_mask_0
+    1511             :       (io_out_s2_full_pred_0_br_taken_mask_0),
+    1512             :     .io_out_s2_full_pred_0_br_taken_mask_1
+    1513             :       (io_out_s2_full_pred_0_br_taken_mask_1),
+    1514             :     .io_out_s2_full_pred_0_slot_valids_0
+    1515             :       (io_out_s2_full_pred_0_slot_valids_0),
+    1516             :     .io_out_s2_full_pred_0_slot_valids_1
+    1517             :       (io_out_s2_full_pred_0_slot_valids_1),
+    1518             :     .io_out_s2_full_pred_0_targets_0
+    1519             :       (io_out_s2_full_pred_0_targets_0),
+    1520             :     .io_out_s2_full_pred_0_targets_1
+    1521             :       (io_out_s2_full_pred_0_targets_1),
+    1522             :     .io_out_s2_full_pred_0_offsets_0
+    1523             :       (io_out_s2_full_pred_0_offsets_0),
+    1524             :     .io_out_s2_full_pred_0_offsets_1
+    1525             :       (io_out_s2_full_pred_0_offsets_1),
+    1526             :     .io_out_s2_full_pred_0_fallThroughAddr
+    1527             :       (io_out_s2_full_pred_0_fallThroughAddr),
+    1528             :     .io_out_s2_full_pred_0_is_br_sharing
+    1529             :       (io_out_s2_full_pred_0_is_br_sharing),
+    1530             :     .io_out_s2_full_pred_0_hit
+    1531             :       (io_out_s2_full_pred_0_hit),
+    1532             :     .io_out_s2_full_pred_1_br_taken_mask_0
+    1533             :       (io_out_s2_full_pred_1_br_taken_mask_0),
+    1534             :     .io_out_s2_full_pred_1_br_taken_mask_1
+    1535             :       (io_out_s2_full_pred_1_br_taken_mask_1),
+    1536             :     .io_out_s2_full_pred_1_slot_valids_0
+    1537             :       (io_out_s2_full_pred_1_slot_valids_0),
+    1538             :     .io_out_s2_full_pred_1_slot_valids_1
+    1539             :       (io_out_s2_full_pred_1_slot_valids_1),
+    1540             :     .io_out_s2_full_pred_1_targets_0
+    1541             :       (io_out_s2_full_pred_1_targets_0),
+    1542             :     .io_out_s2_full_pred_1_targets_1
+    1543             :       (io_out_s2_full_pred_1_targets_1),
+    1544             :     .io_out_s2_full_pred_1_offsets_0
+    1545             :       (io_out_s2_full_pred_1_offsets_0),
+    1546             :     .io_out_s2_full_pred_1_offsets_1
+    1547             :       (io_out_s2_full_pred_1_offsets_1),
+    1548             :     .io_out_s2_full_pred_1_fallThroughAddr
+    1549             :       (io_out_s2_full_pred_1_fallThroughAddr),
+    1550             :     .io_out_s2_full_pred_1_is_br_sharing
+    1551             :       (io_out_s2_full_pred_1_is_br_sharing),
+    1552             :     .io_out_s2_full_pred_1_hit
+    1553             :       (io_out_s2_full_pred_1_hit),
+    1554             :     .io_out_s2_full_pred_2_br_taken_mask_0
+    1555             :       (io_out_s2_full_pred_2_br_taken_mask_0),
+    1556             :     .io_out_s2_full_pred_2_br_taken_mask_1
+    1557             :       (io_out_s2_full_pred_2_br_taken_mask_1),
+    1558             :     .io_out_s2_full_pred_2_slot_valids_0
+    1559             :       (io_out_s2_full_pred_2_slot_valids_0),
+    1560             :     .io_out_s2_full_pred_2_slot_valids_1
+    1561             :       (io_out_s2_full_pred_2_slot_valids_1),
+    1562             :     .io_out_s2_full_pred_2_targets_0
+    1563             :       (io_out_s2_full_pred_2_targets_0),
+    1564             :     .io_out_s2_full_pred_2_targets_1
+    1565             :       (io_out_s2_full_pred_2_targets_1),
+    1566             :     .io_out_s2_full_pred_2_offsets_0
+    1567             :       (io_out_s2_full_pred_2_offsets_0),
+    1568             :     .io_out_s2_full_pred_2_offsets_1
+    1569             :       (io_out_s2_full_pred_2_offsets_1),
+    1570             :     .io_out_s2_full_pred_2_fallThroughAddr
+    1571             :       (io_out_s2_full_pred_2_fallThroughAddr),
+    1572             :     .io_out_s2_full_pred_2_is_br_sharing
+    1573             :       (io_out_s2_full_pred_2_is_br_sharing),
+    1574             :     .io_out_s2_full_pred_2_hit
+    1575             :       (io_out_s2_full_pred_2_hit),
+    1576             :     .io_out_s2_full_pred_3_br_taken_mask_0
+    1577             :       (io_out_s2_full_pred_3_br_taken_mask_0),
+    1578             :     .io_out_s2_full_pred_3_br_taken_mask_1
+    1579             :       (io_out_s2_full_pred_3_br_taken_mask_1),
+    1580             :     .io_out_s2_full_pred_3_slot_valids_0
+    1581             :       (io_out_s2_full_pred_3_slot_valids_0),
+    1582             :     .io_out_s2_full_pred_3_slot_valids_1
+    1583             :       (io_out_s2_full_pred_3_slot_valids_1),
+    1584             :     .io_out_s2_full_pred_3_targets_0
+    1585             :       (io_out_s2_full_pred_3_targets_0),
+    1586             :     .io_out_s2_full_pred_3_targets_1
+    1587             :       (io_out_s2_full_pred_3_targets_1),
+    1588             :     .io_out_s2_full_pred_3_offsets_0
+    1589             :       (io_out_s2_full_pred_3_offsets_0),
+    1590             :     .io_out_s2_full_pred_3_offsets_1
+    1591             :       (io_out_s2_full_pred_3_offsets_1),
+    1592             :     .io_out_s2_full_pred_3_fallThroughAddr
+    1593             :       (io_out_s2_full_pred_3_fallThroughAddr),
+    1594             :     .io_out_s2_full_pred_3_fallThroughErr
+    1595             :       (io_out_s2_full_pred_3_fallThroughErr),
+    1596             :     .io_out_s2_full_pred_3_is_br_sharing
+    1597             :       (io_out_s2_full_pred_3_is_br_sharing),
+    1598             :     .io_out_s2_full_pred_3_hit
+    1599             :       (io_out_s2_full_pred_3_hit),
+    1600             :     .io_out_s3_pc_0                                                 (io_out_s3_pc_0),
+    1601             :     .io_out_s3_pc_1                                                 (io_out_s3_pc_1),
+    1602             :     .io_out_s3_pc_2                                                 (io_out_s3_pc_2),
+    1603             :     .io_out_s3_pc_3                                                 (io_out_s3_pc_3),
+    1604             :     .io_out_s3_full_pred_0_br_taken_mask_0
+    1605             :       (io_out_s3_full_pred_0_br_taken_mask_0),
+    1606             :     .io_out_s3_full_pred_0_br_taken_mask_1
+    1607             :       (io_out_s3_full_pred_0_br_taken_mask_1),
+    1608             :     .io_out_s3_full_pred_0_slot_valids_0
+    1609             :       (io_out_s3_full_pred_0_slot_valids_0),
+    1610             :     .io_out_s3_full_pred_0_slot_valids_1
+    1611             :       (io_out_s3_full_pred_0_slot_valids_1),
+    1612             :     .io_out_s3_full_pred_0_targets_0
+    1613             :       (io_out_s3_full_pred_0_targets_0),
+    1614             :     .io_out_s3_full_pred_0_targets_1
+    1615             :       (io_out_s3_full_pred_0_targets_1),
+    1616             :     .io_out_s3_full_pred_0_fallThroughAddr
+    1617             :       (io_out_s3_full_pred_0_fallThroughAddr),
+    1618             :     .io_out_s3_full_pred_0_fallThroughErr
+    1619             :       (io_out_s3_full_pred_0_fallThroughErr),
+    1620             :     .io_out_s3_full_pred_0_is_br_sharing
+    1621             :       (io_out_s3_full_pred_0_is_br_sharing),
+    1622             :     .io_out_s3_full_pred_0_hit
+    1623             :       (io_out_s3_full_pred_0_hit),
+    1624             :     .io_out_s3_full_pred_1_br_taken_mask_0
+    1625             :       (io_out_s3_full_pred_1_br_taken_mask_0),
+    1626             :     .io_out_s3_full_pred_1_br_taken_mask_1
+    1627             :       (io_out_s3_full_pred_1_br_taken_mask_1),
+    1628             :     .io_out_s3_full_pred_1_slot_valids_0
+    1629             :       (io_out_s3_full_pred_1_slot_valids_0),
+    1630             :     .io_out_s3_full_pred_1_slot_valids_1
+    1631             :       (io_out_s3_full_pred_1_slot_valids_1),
+    1632             :     .io_out_s3_full_pred_1_targets_0
+    1633             :       (io_out_s3_full_pred_1_targets_0),
+    1634             :     .io_out_s3_full_pred_1_targets_1
+    1635             :       (io_out_s3_full_pred_1_targets_1),
+    1636             :     .io_out_s3_full_pred_1_fallThroughAddr
+    1637             :       (io_out_s3_full_pred_1_fallThroughAddr),
+    1638             :     .io_out_s3_full_pred_1_fallThroughErr
+    1639             :       (io_out_s3_full_pred_1_fallThroughErr),
+    1640             :     .io_out_s3_full_pred_1_is_br_sharing
+    1641             :       (io_out_s3_full_pred_1_is_br_sharing),
+    1642             :     .io_out_s3_full_pred_1_hit
+    1643             :       (io_out_s3_full_pred_1_hit),
+    1644             :     .io_out_s3_full_pred_2_br_taken_mask_0
+    1645             :       (io_out_s3_full_pred_2_br_taken_mask_0),
+    1646             :     .io_out_s3_full_pred_2_br_taken_mask_1
+    1647             :       (io_out_s3_full_pred_2_br_taken_mask_1),
+    1648             :     .io_out_s3_full_pred_2_slot_valids_0
+    1649             :       (io_out_s3_full_pred_2_slot_valids_0),
+    1650             :     .io_out_s3_full_pred_2_slot_valids_1
+    1651             :       (io_out_s3_full_pred_2_slot_valids_1),
+    1652             :     .io_out_s3_full_pred_2_targets_0
+    1653             :       (io_out_s3_full_pred_2_targets_0),
+    1654             :     .io_out_s3_full_pred_2_targets_1
+    1655             :       (io_out_s3_full_pred_2_targets_1),
+    1656             :     .io_out_s3_full_pred_2_fallThroughAddr
+    1657             :       (io_out_s3_full_pred_2_fallThroughAddr),
+    1658             :     .io_out_s3_full_pred_2_fallThroughErr
+    1659             :       (io_out_s3_full_pred_2_fallThroughErr),
+    1660             :     .io_out_s3_full_pred_2_is_br_sharing
+    1661             :       (io_out_s3_full_pred_2_is_br_sharing),
+    1662             :     .io_out_s3_full_pred_2_hit
+    1663             :       (io_out_s3_full_pred_2_hit),
+    1664             :     .io_out_s3_full_pred_3_br_taken_mask_0
+    1665             :       (io_out_s3_full_pred_3_br_taken_mask_0),
+    1666             :     .io_out_s3_full_pred_3_br_taken_mask_1
+    1667             :       (io_out_s3_full_pred_3_br_taken_mask_1),
+    1668             :     .io_out_s3_full_pred_3_slot_valids_0
+    1669             :       (io_out_s3_full_pred_3_slot_valids_0),
+    1670             :     .io_out_s3_full_pred_3_slot_valids_1
+    1671             :       (io_out_s3_full_pred_3_slot_valids_1),
+    1672             :     .io_out_s3_full_pred_3_targets_0
+    1673             :       (io_out_s3_full_pred_3_targets_0),
+    1674             :     .io_out_s3_full_pred_3_targets_1
+    1675             :       (io_out_s3_full_pred_3_targets_1),
+    1676             :     .io_out_s3_full_pred_3_offsets_0
+    1677             :       (io_out_s3_full_pred_3_offsets_0),
+    1678             :     .io_out_s3_full_pred_3_offsets_1
+    1679             :       (io_out_s3_full_pred_3_offsets_1),
+    1680             :     .io_out_s3_full_pred_3_fallThroughAddr
+    1681             :       (io_out_s3_full_pred_3_fallThroughAddr),
+    1682             :     .io_out_s3_full_pred_3_fallThroughErr
+    1683             :       (io_out_s3_full_pred_3_fallThroughErr),
+    1684             :     .io_out_s3_full_pred_3_is_br_sharing
+    1685             :       (io_out_s3_full_pred_3_is_br_sharing),
+    1686             :     .io_out_s3_full_pred_3_hit
+    1687             :       (io_out_s3_full_pred_3_hit),
+    1688             :     .io_out_last_stage_meta
+    1689             :       (_ras_io_out_last_stage_meta),
+    1690             :     .io_out_last_stage_spec_info_ssp
+    1691             :       (io_out_last_stage_spec_info_ssp),
+    1692             :     .io_out_last_stage_spec_info_sctr
+    1693             :       (io_out_last_stage_spec_info_sctr),
+    1694             :     .io_out_last_stage_spec_info_TOSW_flag
+    1695             :       (io_out_last_stage_spec_info_TOSW_flag),
+    1696             :     .io_out_last_stage_spec_info_TOSW_value
+    1697             :       (io_out_last_stage_spec_info_TOSW_value),
+    1698             :     .io_out_last_stage_spec_info_TOSR_flag
+    1699             :       (io_out_last_stage_spec_info_TOSR_flag),
+    1700             :     .io_out_last_stage_spec_info_TOSR_value
+    1701             :       (io_out_last_stage_spec_info_TOSR_value),
+    1702             :     .io_out_last_stage_spec_info_NOS_flag
+    1703             :       (io_out_last_stage_spec_info_NOS_flag),
+    1704             :     .io_out_last_stage_spec_info_NOS_value
+    1705             :       (io_out_last_stage_spec_info_NOS_value),
+    1706             :     .io_out_last_stage_spec_info_topAddr
+    1707             :       (io_out_last_stage_spec_info_topAddr),
+    1708             :     .io_out_last_stage_ftb_entry_valid
+    1709             :       (io_out_last_stage_ftb_entry_valid),
+    1710             :     .io_out_last_stage_ftb_entry_brSlots_0_offset
+    1711             :       (io_out_last_stage_ftb_entry_brSlots_0_offset),
+    1712             :     .io_out_last_stage_ftb_entry_brSlots_0_lower
+    1713             :       (io_out_last_stage_ftb_entry_brSlots_0_lower),
+    1714             :     .io_out_last_stage_ftb_entry_brSlots_0_tarStat
+    1715             :       (io_out_last_stage_ftb_entry_brSlots_0_tarStat),
+    1716             :     .io_out_last_stage_ftb_entry_brSlots_0_sharing
+    1717             :       (io_out_last_stage_ftb_entry_brSlots_0_sharing),
+    1718             :     .io_out_last_stage_ftb_entry_brSlots_0_valid
+    1719             :       (io_out_last_stage_ftb_entry_brSlots_0_valid),
+    1720             :     .io_out_last_stage_ftb_entry_tailSlot_offset
+    1721             :       (io_out_last_stage_ftb_entry_tailSlot_offset),
+    1722             :     .io_out_last_stage_ftb_entry_tailSlot_lower
+    1723             :       (io_out_last_stage_ftb_entry_tailSlot_lower),
+    1724             :     .io_out_last_stage_ftb_entry_tailSlot_tarStat
+    1725             :       (io_out_last_stage_ftb_entry_tailSlot_tarStat),
+    1726             :     .io_out_last_stage_ftb_entry_tailSlot_sharing
+    1727             :       (io_out_last_stage_ftb_entry_tailSlot_sharing),
+    1728             :     .io_out_last_stage_ftb_entry_tailSlot_valid
+    1729             :       (io_out_last_stage_ftb_entry_tailSlot_valid),
+    1730             :     .io_out_last_stage_ftb_entry_pftAddr
+    1731             :       (io_out_last_stage_ftb_entry_pftAddr),
+    1732             :     .io_out_last_stage_ftb_entry_carry
+    1733             :       (io_out_last_stage_ftb_entry_carry),
+    1734             :     .io_out_last_stage_ftb_entry_isCall
+    1735             :       (io_out_last_stage_ftb_entry_isCall),
+    1736             :     .io_out_last_stage_ftb_entry_isRet
+    1737             :       (io_out_last_stage_ftb_entry_isRet),
+    1738             :     .io_out_last_stage_ftb_entry_isJalr
+    1739             :       (io_out_last_stage_ftb_entry_isJalr),
+    1740             :     .io_out_last_stage_ftb_entry_last_may_be_rvi_call
+    1741             :       (io_out_last_stage_ftb_entry_last_may_be_rvi_call),
+    1742             :     .io_out_last_stage_ftb_entry_always_taken_0
+    1743             :       (io_out_last_stage_ftb_entry_always_taken_0),
+    1744             :     .io_out_last_stage_ftb_entry_always_taken_1
+    1745             :       (io_out_last_stage_ftb_entry_always_taken_1),
+    1746             :     .io_ctrl_ras_enable
+    1747             :       (_ras_io_ctrl_delay_io_out_ras_enable),
+    1748             :     .io_s0_fire_0                                                   (io_s0_fire_0),
+    1749             :     .io_s0_fire_1                                                   (io_s0_fire_1),
+    1750             :     .io_s0_fire_2                                                   (io_s0_fire_2),
+    1751             :     .io_s0_fire_3                                                   (io_s0_fire_3),
+    1752             :     .io_s1_fire_0                                                   (io_s1_fire_0),
+    1753             :     .io_s1_fire_1                                                   (io_s1_fire_1),
+    1754             :     .io_s1_fire_2                                                   (io_s1_fire_2),
+    1755             :     .io_s1_fire_3                                                   (io_s1_fire_3),
+    1756             :     .io_s2_fire_0                                                   (io_s2_fire_0),
+    1757             :     .io_s2_fire_1                                                   (io_s2_fire_1),
+    1758             :     .io_s2_fire_2                                                   (io_s2_fire_2),
+    1759             :     .io_s2_fire_3                                                   (io_s2_fire_3),
+    1760             :     .io_s3_fire_2                                                   (io_s3_fire_2),
+    1761             :     .io_s3_redirect_2                                               (io_s3_redirect_2),
+    1762             :     .io_update_valid                                                (io_update_valid),
+    1763             :     .io_update_bits_ftb_entry_tailSlot_offset
+    1764             :       (io_update_bits_ftb_entry_tailSlot_offset),
+    1765             :     .io_update_bits_ftb_entry_tailSlot_valid
+    1766             :       (io_update_bits_ftb_entry_tailSlot_valid),
+    1767             :     .io_update_bits_ftb_entry_isCall
+    1768             :       (io_update_bits_ftb_entry_isCall),
+    1769             :     .io_update_bits_ftb_entry_isRet
+    1770             :       (io_update_bits_ftb_entry_isRet),
+    1771             :     .io_update_bits_cfi_idx_valid
+    1772             :       (io_update_bits_cfi_idx_valid),
+    1773             :     .io_update_bits_cfi_idx_bits
+    1774             :       (io_update_bits_cfi_idx_bits),
+    1775             :     .io_update_bits_jmp_taken
+    1776             :       (io_update_bits_jmp_taken),
+    1777             :     .io_update_bits_meta                                            (io_update_bits_meta),
+    1778             :     .io_redirect_valid                                              (io_redirect_valid),
+    1779             :     .io_redirect_bits_level
+    1780             :       (io_redirect_bits_level),
+    1781             :     .io_redirect_bits_cfiUpdate_pc
+    1782             :       (io_redirect_bits_cfiUpdate_pc),
+    1783             :     .io_redirect_bits_cfiUpdate_pd_isRVC
+    1784             :       (io_redirect_bits_cfiUpdate_pd_isRVC),
+    1785             :     .io_redirect_bits_cfiUpdate_pd_isCall
+    1786             :       (io_redirect_bits_cfiUpdate_pd_isCall),
+    1787             :     .io_redirect_bits_cfiUpdate_pd_isRet
+    1788             :       (io_redirect_bits_cfiUpdate_pd_isRet),
+    1789             :     .io_redirect_bits_cfiUpdate_ssp
+    1790             :       (io_redirect_bits_cfiUpdate_ssp),
+    1791             :     .io_redirect_bits_cfiUpdate_sctr
+    1792             :       (io_redirect_bits_cfiUpdate_sctr),
+    1793             :     .io_redirect_bits_cfiUpdate_TOSW_flag
+    1794             :       (io_redirect_bits_cfiUpdate_TOSW_flag),
+    1795             :     .io_redirect_bits_cfiUpdate_TOSW_value
+    1796             :       (io_redirect_bits_cfiUpdate_TOSW_value),
+    1797             :     .io_redirect_bits_cfiUpdate_TOSR_flag
+    1798             :       (io_redirect_bits_cfiUpdate_TOSR_flag),
+    1799             :     .io_redirect_bits_cfiUpdate_TOSR_value
+    1800             :       (io_redirect_bits_cfiUpdate_TOSR_value),
+    1801             :     .io_redirect_bits_cfiUpdate_NOS_flag
+    1802             :       (io_redirect_bits_cfiUpdate_NOS_flag),
+    1803             :     .io_redirect_bits_cfiUpdate_NOS_value
+    1804             :       (io_redirect_bits_cfiUpdate_NOS_value)
+    1805             :   );
+    1806             :   ITTage ittage (
+    1807             :     .clock                                                          (clock),
+    1808             :     .reset                                                          (reset),
+    1809             :     .io_in_bits_s0_pc_3                                             (io_in_bits_s0_pc_3),
+    1810             :     .io_in_bits_folded_hist_3_hist_14_folded_hist
+    1811             :       (io_in_bits_folded_hist_3_hist_14_folded_hist),
+    1812             :     .io_in_bits_folded_hist_3_hist_13_folded_hist
+    1813             :       (io_in_bits_folded_hist_3_hist_13_folded_hist),
+    1814             :     .io_in_bits_folded_hist_3_hist_12_folded_hist
+    1815             :       (io_in_bits_folded_hist_3_hist_12_folded_hist),
+    1816             :     .io_in_bits_folded_hist_3_hist_10_folded_hist
+    1817             :       (io_in_bits_folded_hist_3_hist_10_folded_hist),
+    1818             :     .io_in_bits_folded_hist_3_hist_6_folded_hist
+    1819             :       (io_in_bits_folded_hist_3_hist_6_folded_hist),
+    1820             :     .io_in_bits_folded_hist_3_hist_4_folded_hist
+    1821             :       (io_in_bits_folded_hist_3_hist_4_folded_hist),
+    1822             :     .io_in_bits_folded_hist_3_hist_3_folded_hist
+    1823             :       (io_in_bits_folded_hist_3_hist_3_folded_hist),
+    1824             :     .io_in_bits_folded_hist_3_hist_2_folded_hist
+    1825             :       (io_in_bits_folded_hist_3_hist_2_folded_hist),
+    1826             :     .io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0
+    1827             :       (_ftb_io_out_s2_full_pred_0_br_taken_mask_0),
+    1828             :     .io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1
+    1829             :       (_ftb_io_out_s2_full_pred_0_br_taken_mask_1),
+    1830             :     .io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_0
+    1831             :       (_ftb_io_out_s2_full_pred_0_slot_valids_0),
+    1832             :     .io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_1
+    1833             :       (_ftb_io_out_s2_full_pred_0_slot_valids_1),
+    1834             :     .io_in_bits_resp_in_0_s2_full_pred_0_targets_0
+    1835             :       (_ftb_io_out_s2_full_pred_0_targets_0),
+    1836             :     .io_in_bits_resp_in_0_s2_full_pred_0_targets_1
+    1837             :       (_ftb_io_out_s2_full_pred_0_targets_1),
+    1838             :     .io_in_bits_resp_in_0_s2_full_pred_0_jalr_target
+    1839             :       (_ftb_io_out_s2_full_pred_0_jalr_target),
+    1840             :     .io_in_bits_resp_in_0_s2_full_pred_0_offsets_0
+    1841             :       (_ftb_io_out_s2_full_pred_0_offsets_0),
+    1842             :     .io_in_bits_resp_in_0_s2_full_pred_0_offsets_1
+    1843             :       (_ftb_io_out_s2_full_pred_0_offsets_1),
+    1844             :     .io_in_bits_resp_in_0_s2_full_pred_0_fallThroughAddr
+    1845             :       (_ftb_io_out_s2_full_pred_0_fallThroughAddr),
+    1846             :     .io_in_bits_resp_in_0_s2_full_pred_0_is_br_sharing
+    1847             :       (_ftb_io_out_s2_full_pred_0_is_br_sharing),
+    1848             :     .io_in_bits_resp_in_0_s2_full_pred_0_hit
+    1849             :       (_ftb_io_out_s2_full_pred_0_hit),
+    1850             :     .io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0
+    1851             :       (_ftb_io_out_s2_full_pred_1_br_taken_mask_0),
+    1852             :     .io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1
+    1853             :       (_ftb_io_out_s2_full_pred_1_br_taken_mask_1),
+    1854             :     .io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_0
+    1855             :       (_ftb_io_out_s2_full_pred_1_slot_valids_0),
+    1856             :     .io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_1
+    1857             :       (_ftb_io_out_s2_full_pred_1_slot_valids_1),
+    1858             :     .io_in_bits_resp_in_0_s2_full_pred_1_targets_0
+    1859             :       (_ftb_io_out_s2_full_pred_1_targets_0),
+    1860             :     .io_in_bits_resp_in_0_s2_full_pred_1_targets_1
+    1861             :       (_ftb_io_out_s2_full_pred_1_targets_1),
+    1862             :     .io_in_bits_resp_in_0_s2_full_pred_1_jalr_target
+    1863             :       (_ftb_io_out_s2_full_pred_1_jalr_target),
+    1864             :     .io_in_bits_resp_in_0_s2_full_pred_1_offsets_0
+    1865             :       (_ftb_io_out_s2_full_pred_1_offsets_0),
+    1866             :     .io_in_bits_resp_in_0_s2_full_pred_1_offsets_1
+    1867             :       (_ftb_io_out_s2_full_pred_1_offsets_1),
+    1868             :     .io_in_bits_resp_in_0_s2_full_pred_1_fallThroughAddr
+    1869             :       (_ftb_io_out_s2_full_pred_1_fallThroughAddr),
+    1870             :     .io_in_bits_resp_in_0_s2_full_pred_1_is_br_sharing
+    1871             :       (_ftb_io_out_s2_full_pred_1_is_br_sharing),
+    1872             :     .io_in_bits_resp_in_0_s2_full_pred_1_hit
+    1873             :       (_ftb_io_out_s2_full_pred_1_hit),
+    1874             :     .io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0
+    1875             :       (_ftb_io_out_s2_full_pred_2_br_taken_mask_0),
+    1876             :     .io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1
+    1877             :       (_ftb_io_out_s2_full_pred_2_br_taken_mask_1),
+    1878             :     .io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_0
+    1879             :       (_ftb_io_out_s2_full_pred_2_slot_valids_0),
+    1880             :     .io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1
+    1881             :       (_ftb_io_out_s2_full_pred_2_slot_valids_1),
+    1882             :     .io_in_bits_resp_in_0_s2_full_pred_2_targets_0
+    1883             :       (_ftb_io_out_s2_full_pred_2_targets_0),
+    1884             :     .io_in_bits_resp_in_0_s2_full_pred_2_targets_1
+    1885             :       (_ftb_io_out_s2_full_pred_2_targets_1),
+    1886             :     .io_in_bits_resp_in_0_s2_full_pred_2_jalr_target
+    1887             :       (_ftb_io_out_s2_full_pred_2_jalr_target),
+    1888             :     .io_in_bits_resp_in_0_s2_full_pred_2_offsets_0
+    1889             :       (_ftb_io_out_s2_full_pred_2_offsets_0),
+    1890             :     .io_in_bits_resp_in_0_s2_full_pred_2_offsets_1
+    1891             :       (_ftb_io_out_s2_full_pred_2_offsets_1),
+    1892             :     .io_in_bits_resp_in_0_s2_full_pred_2_fallThroughAddr
+    1893             :       (_ftb_io_out_s2_full_pred_2_fallThroughAddr),
+    1894             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_jalr
+    1895             :       (_ftb_io_out_s2_full_pred_2_is_jalr),
+    1896             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_call
+    1897             :       (_ftb_io_out_s2_full_pred_2_is_call),
+    1898             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_ret
+    1899             :       (_ftb_io_out_s2_full_pred_2_is_ret),
+    1900             :     .io_in_bits_resp_in_0_s2_full_pred_2_last_may_be_rvi_call
+    1901             :       (_ftb_io_out_s2_full_pred_2_last_may_be_rvi_call),
+    1902             :     .io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing
+    1903             :       (_ftb_io_out_s2_full_pred_2_is_br_sharing),
+    1904             :     .io_in_bits_resp_in_0_s2_full_pred_2_hit
+    1905             :       (_ftb_io_out_s2_full_pred_2_hit),
+    1906             :     .io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0
+    1907             :       (_ftb_io_out_s2_full_pred_3_br_taken_mask_0),
+    1908             :     .io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1
+    1909             :       (_ftb_io_out_s2_full_pred_3_br_taken_mask_1),
+    1910             :     .io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_0
+    1911             :       (_ftb_io_out_s2_full_pred_3_slot_valids_0),
+    1912             :     .io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_1
+    1913             :       (_ftb_io_out_s2_full_pred_3_slot_valids_1),
+    1914             :     .io_in_bits_resp_in_0_s2_full_pred_3_targets_0
+    1915             :       (_ftb_io_out_s2_full_pred_3_targets_0),
+    1916             :     .io_in_bits_resp_in_0_s2_full_pred_3_targets_1
+    1917             :       (_ftb_io_out_s2_full_pred_3_targets_1),
+    1918             :     .io_in_bits_resp_in_0_s2_full_pred_3_jalr_target
+    1919             :       (_ftb_io_out_s2_full_pred_3_jalr_target),
+    1920             :     .io_in_bits_resp_in_0_s2_full_pred_3_offsets_0
+    1921             :       (_ftb_io_out_s2_full_pred_3_offsets_0),
+    1922             :     .io_in_bits_resp_in_0_s2_full_pred_3_offsets_1
+    1923             :       (_ftb_io_out_s2_full_pred_3_offsets_1),
+    1924             :     .io_in_bits_resp_in_0_s2_full_pred_3_fallThroughAddr
+    1925             :       (_ftb_io_out_s2_full_pred_3_fallThroughAddr),
+    1926             :     .io_in_bits_resp_in_0_s2_full_pred_3_fallThroughErr
+    1927             :       (_ftb_io_out_s2_full_pred_3_fallThroughErr),
+    1928             :     .io_in_bits_resp_in_0_s2_full_pred_3_is_br_sharing
+    1929             :       (_ftb_io_out_s2_full_pred_3_is_br_sharing),
+    1930             :     .io_in_bits_resp_in_0_s2_full_pred_3_hit
+    1931             :       (_ftb_io_out_s2_full_pred_3_hit),
+    1932             :     .io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0
+    1933             :       (_ftb_io_out_s3_full_pred_0_br_taken_mask_0),
+    1934             :     .io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1
+    1935             :       (_ftb_io_out_s3_full_pred_0_br_taken_mask_1),
+    1936             :     .io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_0
+    1937             :       (_ftb_io_out_s3_full_pred_0_slot_valids_0),
+    1938             :     .io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_1
+    1939             :       (_ftb_io_out_s3_full_pred_0_slot_valids_1),
+    1940             :     .io_in_bits_resp_in_0_s3_full_pred_0_targets_0
+    1941             :       (_ftb_io_out_s3_full_pred_0_targets_0),
+    1942             :     .io_in_bits_resp_in_0_s3_full_pred_0_targets_1
+    1943             :       (_ftb_io_out_s3_full_pred_0_targets_1),
+    1944             :     .io_in_bits_resp_in_0_s3_full_pred_0_jalr_target
+    1945             :       (_ftb_io_out_s3_full_pred_0_jalr_target),
+    1946             :     .io_in_bits_resp_in_0_s3_full_pred_0_fallThroughAddr
+    1947             :       (_ftb_io_out_s3_full_pred_0_fallThroughAddr),
+    1948             :     .io_in_bits_resp_in_0_s3_full_pred_0_fallThroughErr
+    1949             :       (_ftb_io_out_s3_full_pred_0_fallThroughErr),
+    1950             :     .io_in_bits_resp_in_0_s3_full_pred_0_is_br_sharing
+    1951             :       (_ftb_io_out_s3_full_pred_0_is_br_sharing),
+    1952             :     .io_in_bits_resp_in_0_s3_full_pred_0_hit
+    1953             :       (_ftb_io_out_s3_full_pred_0_hit),
+    1954             :     .io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0
+    1955             :       (_ftb_io_out_s3_full_pred_1_br_taken_mask_0),
+    1956             :     .io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1
+    1957             :       (_ftb_io_out_s3_full_pred_1_br_taken_mask_1),
+    1958             :     .io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_0
+    1959             :       (_ftb_io_out_s3_full_pred_1_slot_valids_0),
+    1960             :     .io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_1
+    1961             :       (_ftb_io_out_s3_full_pred_1_slot_valids_1),
+    1962             :     .io_in_bits_resp_in_0_s3_full_pred_1_targets_0
+    1963             :       (_ftb_io_out_s3_full_pred_1_targets_0),
+    1964             :     .io_in_bits_resp_in_0_s3_full_pred_1_targets_1
+    1965             :       (_ftb_io_out_s3_full_pred_1_targets_1),
+    1966             :     .io_in_bits_resp_in_0_s3_full_pred_1_jalr_target
+    1967             :       (_ftb_io_out_s3_full_pred_1_jalr_target),
+    1968             :     .io_in_bits_resp_in_0_s3_full_pred_1_fallThroughAddr
+    1969             :       (_ftb_io_out_s3_full_pred_1_fallThroughAddr),
+    1970             :     .io_in_bits_resp_in_0_s3_full_pred_1_fallThroughErr
+    1971             :       (_ftb_io_out_s3_full_pred_1_fallThroughErr),
+    1972             :     .io_in_bits_resp_in_0_s3_full_pred_1_is_br_sharing
+    1973             :       (_ftb_io_out_s3_full_pred_1_is_br_sharing),
+    1974             :     .io_in_bits_resp_in_0_s3_full_pred_1_hit
+    1975             :       (_ftb_io_out_s3_full_pred_1_hit),
+    1976             :     .io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0
+    1977             :       (_ftb_io_out_s3_full_pred_2_br_taken_mask_0),
+    1978             :     .io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1
+    1979             :       (_ftb_io_out_s3_full_pred_2_br_taken_mask_1),
+    1980             :     .io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_0
+    1981             :       (_ftb_io_out_s3_full_pred_2_slot_valids_0),
+    1982             :     .io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1
+    1983             :       (_ftb_io_out_s3_full_pred_2_slot_valids_1),
+    1984             :     .io_in_bits_resp_in_0_s3_full_pred_2_targets_0
+    1985             :       (_ftb_io_out_s3_full_pred_2_targets_0),
+    1986             :     .io_in_bits_resp_in_0_s3_full_pred_2_targets_1
+    1987             :       (_ftb_io_out_s3_full_pred_2_targets_1),
+    1988             :     .io_in_bits_resp_in_0_s3_full_pred_2_jalr_target
+    1989             :       (_ftb_io_out_s3_full_pred_2_jalr_target),
+    1990             :     .io_in_bits_resp_in_0_s3_full_pred_2_fallThroughAddr
+    1991             :       (_ftb_io_out_s3_full_pred_2_fallThroughAddr),
+    1992             :     .io_in_bits_resp_in_0_s3_full_pred_2_fallThroughErr
+    1993             :       (_ftb_io_out_s3_full_pred_2_fallThroughErr),
+    1994             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_jalr
+    1995             :       (_ftb_io_out_s3_full_pred_2_is_jalr),
+    1996             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_call
+    1997             :       (_ftb_io_out_s3_full_pred_2_is_call),
+    1998             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_ret
+    1999             :       (_ftb_io_out_s3_full_pred_2_is_ret),
+    2000             :     .io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing
+    2001             :       (_ftb_io_out_s3_full_pred_2_is_br_sharing),
+    2002             :     .io_in_bits_resp_in_0_s3_full_pred_2_hit
+    2003             :       (_ftb_io_out_s3_full_pred_2_hit),
+    2004             :     .io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0
+    2005             :       (_ftb_io_out_s3_full_pred_3_br_taken_mask_0),
+    2006             :     .io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1
+    2007             :       (_ftb_io_out_s3_full_pred_3_br_taken_mask_1),
+    2008             :     .io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_0
+    2009             :       (_ftb_io_out_s3_full_pred_3_slot_valids_0),
+    2010             :     .io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_1
+    2011             :       (_ftb_io_out_s3_full_pred_3_slot_valids_1),
+    2012             :     .io_in_bits_resp_in_0_s3_full_pred_3_targets_0
+    2013             :       (_ftb_io_out_s3_full_pred_3_targets_0),
+    2014             :     .io_in_bits_resp_in_0_s3_full_pred_3_targets_1
+    2015             :       (_ftb_io_out_s3_full_pred_3_targets_1),
+    2016             :     .io_in_bits_resp_in_0_s3_full_pred_3_jalr_target
+    2017             :       (_ftb_io_out_s3_full_pred_3_jalr_target),
+    2018             :     .io_in_bits_resp_in_0_s3_full_pred_3_offsets_0
+    2019             :       (_ftb_io_out_s3_full_pred_3_offsets_0),
+    2020             :     .io_in_bits_resp_in_0_s3_full_pred_3_offsets_1
+    2021             :       (_ftb_io_out_s3_full_pred_3_offsets_1),
+    2022             :     .io_in_bits_resp_in_0_s3_full_pred_3_fallThroughAddr
+    2023             :       (_ftb_io_out_s3_full_pred_3_fallThroughAddr),
+    2024             :     .io_in_bits_resp_in_0_s3_full_pred_3_fallThroughErr
+    2025             :       (_ftb_io_out_s3_full_pred_3_fallThroughErr),
+    2026             :     .io_in_bits_resp_in_0_s3_full_pred_3_is_br_sharing
+    2027             :       (_ftb_io_out_s3_full_pred_3_is_br_sharing),
+    2028             :     .io_in_bits_resp_in_0_s3_full_pred_3_hit
+    2029             :       (_ftb_io_out_s3_full_pred_3_hit),
+    2030             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_valid
+    2031             :       (_ftb_io_out_last_stage_ftb_entry_valid),
+    2032             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_offset
+    2033             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_offset),
+    2034             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_lower
+    2035             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_lower),
+    2036             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_tarStat
+    2037             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_tarStat),
+    2038             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_sharing
+    2039             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_sharing),
+    2040             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_valid
+    2041             :       (_ftb_io_out_last_stage_ftb_entry_brSlots_0_valid),
+    2042             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_offset
+    2043             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_offset),
+    2044             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_lower
+    2045             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_lower),
+    2046             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_tarStat
+    2047             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_tarStat),
+    2048             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_sharing
+    2049             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_sharing),
+    2050             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_valid
+    2051             :       (_ftb_io_out_last_stage_ftb_entry_tailSlot_valid),
+    2052             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_pftAddr
+    2053             :       (_ftb_io_out_last_stage_ftb_entry_pftAddr),
+    2054             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_carry
+    2055             :       (_ftb_io_out_last_stage_ftb_entry_carry),
+    2056             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_isCall
+    2057             :       (_ftb_io_out_last_stage_ftb_entry_isCall),
+    2058             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_isRet
+    2059             :       (_ftb_io_out_last_stage_ftb_entry_isRet),
+    2060             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_isJalr
+    2061             :       (_ftb_io_out_last_stage_ftb_entry_isJalr),
+    2062             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_last_may_be_rvi_call
+    2063             :       (_ftb_io_out_last_stage_ftb_entry_last_may_be_rvi_call),
+    2064             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_0
+    2065             :       (_ftb_io_out_last_stage_ftb_entry_always_taken_0),
+    2066             :     .io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_1
+    2067             :       (_ftb_io_out_last_stage_ftb_entry_always_taken_1),
+    2068             :     .io_out_s2_full_pred_0_br_taken_mask_0
+    2069             :       (_ittage_io_out_s2_full_pred_0_br_taken_mask_0),
+    2070             :     .io_out_s2_full_pred_0_br_taken_mask_1
+    2071             :       (_ittage_io_out_s2_full_pred_0_br_taken_mask_1),
+    2072             :     .io_out_s2_full_pred_0_slot_valids_0
+    2073             :       (_ittage_io_out_s2_full_pred_0_slot_valids_0),
+    2074             :     .io_out_s2_full_pred_0_slot_valids_1
+    2075             :       (_ittage_io_out_s2_full_pred_0_slot_valids_1),
+    2076             :     .io_out_s2_full_pred_0_targets_0
+    2077             :       (_ittage_io_out_s2_full_pred_0_targets_0),
+    2078             :     .io_out_s2_full_pred_0_targets_1
+    2079             :       (_ittage_io_out_s2_full_pred_0_targets_1),
+    2080             :     .io_out_s2_full_pred_0_jalr_target
+    2081             :       (_ittage_io_out_s2_full_pred_0_jalr_target),
+    2082             :     .io_out_s2_full_pred_0_offsets_0
+    2083             :       (_ittage_io_out_s2_full_pred_0_offsets_0),
+    2084             :     .io_out_s2_full_pred_0_offsets_1
+    2085             :       (_ittage_io_out_s2_full_pred_0_offsets_1),
+    2086             :     .io_out_s2_full_pred_0_fallThroughAddr
+    2087             :       (_ittage_io_out_s2_full_pred_0_fallThroughAddr),
+    2088             :     .io_out_s2_full_pred_0_is_br_sharing
+    2089             :       (_ittage_io_out_s2_full_pred_0_is_br_sharing),
+    2090             :     .io_out_s2_full_pred_0_hit
+    2091             :       (_ittage_io_out_s2_full_pred_0_hit),
+    2092             :     .io_out_s2_full_pred_1_br_taken_mask_0
+    2093             :       (_ittage_io_out_s2_full_pred_1_br_taken_mask_0),
+    2094             :     .io_out_s2_full_pred_1_br_taken_mask_1
+    2095             :       (_ittage_io_out_s2_full_pred_1_br_taken_mask_1),
+    2096             :     .io_out_s2_full_pred_1_slot_valids_0
+    2097             :       (_ittage_io_out_s2_full_pred_1_slot_valids_0),
+    2098             :     .io_out_s2_full_pred_1_slot_valids_1
+    2099             :       (_ittage_io_out_s2_full_pred_1_slot_valids_1),
+    2100             :     .io_out_s2_full_pred_1_targets_0
+    2101             :       (_ittage_io_out_s2_full_pred_1_targets_0),
+    2102             :     .io_out_s2_full_pred_1_targets_1
+    2103             :       (_ittage_io_out_s2_full_pred_1_targets_1),
+    2104             :     .io_out_s2_full_pred_1_jalr_target
+    2105             :       (_ittage_io_out_s2_full_pred_1_jalr_target),
+    2106             :     .io_out_s2_full_pred_1_offsets_0
+    2107             :       (_ittage_io_out_s2_full_pred_1_offsets_0),
+    2108             :     .io_out_s2_full_pred_1_offsets_1
+    2109             :       (_ittage_io_out_s2_full_pred_1_offsets_1),
+    2110             :     .io_out_s2_full_pred_1_fallThroughAddr
+    2111             :       (_ittage_io_out_s2_full_pred_1_fallThroughAddr),
+    2112             :     .io_out_s2_full_pred_1_is_br_sharing
+    2113             :       (_ittage_io_out_s2_full_pred_1_is_br_sharing),
+    2114             :     .io_out_s2_full_pred_1_hit
+    2115             :       (_ittage_io_out_s2_full_pred_1_hit),
+    2116             :     .io_out_s2_full_pred_2_br_taken_mask_0
+    2117             :       (_ittage_io_out_s2_full_pred_2_br_taken_mask_0),
+    2118             :     .io_out_s2_full_pred_2_br_taken_mask_1
+    2119             :       (_ittage_io_out_s2_full_pred_2_br_taken_mask_1),
+    2120             :     .io_out_s2_full_pred_2_slot_valids_0
+    2121             :       (_ittage_io_out_s2_full_pred_2_slot_valids_0),
+    2122             :     .io_out_s2_full_pred_2_slot_valids_1
+    2123             :       (_ittage_io_out_s2_full_pred_2_slot_valids_1),
+    2124             :     .io_out_s2_full_pred_2_targets_0
+    2125             :       (_ittage_io_out_s2_full_pred_2_targets_0),
+    2126             :     .io_out_s2_full_pred_2_targets_1
+    2127             :       (_ittage_io_out_s2_full_pred_2_targets_1),
+    2128             :     .io_out_s2_full_pred_2_jalr_target
+    2129             :       (_ittage_io_out_s2_full_pred_2_jalr_target),
+    2130             :     .io_out_s2_full_pred_2_offsets_0
+    2131             :       (_ittage_io_out_s2_full_pred_2_offsets_0),
+    2132             :     .io_out_s2_full_pred_2_offsets_1
+    2133             :       (_ittage_io_out_s2_full_pred_2_offsets_1),
+    2134             :     .io_out_s2_full_pred_2_fallThroughAddr
+    2135             :       (_ittage_io_out_s2_full_pred_2_fallThroughAddr),
+    2136             :     .io_out_s2_full_pred_2_is_jalr
+    2137             :       (_ittage_io_out_s2_full_pred_2_is_jalr),
+    2138             :     .io_out_s2_full_pred_2_is_call
+    2139             :       (_ittage_io_out_s2_full_pred_2_is_call),
+    2140             :     .io_out_s2_full_pred_2_is_ret
+    2141             :       (_ittage_io_out_s2_full_pred_2_is_ret),
+    2142             :     .io_out_s2_full_pred_2_last_may_be_rvi_call
+    2143             :       (_ittage_io_out_s2_full_pred_2_last_may_be_rvi_call),
+    2144             :     .io_out_s2_full_pred_2_is_br_sharing
+    2145             :       (_ittage_io_out_s2_full_pred_2_is_br_sharing),
+    2146             :     .io_out_s2_full_pred_2_hit
+    2147             :       (_ittage_io_out_s2_full_pred_2_hit),
+    2148             :     .io_out_s2_full_pred_3_br_taken_mask_0
+    2149             :       (_ittage_io_out_s2_full_pred_3_br_taken_mask_0),
+    2150             :     .io_out_s2_full_pred_3_br_taken_mask_1
+    2151             :       (_ittage_io_out_s2_full_pred_3_br_taken_mask_1),
+    2152             :     .io_out_s2_full_pred_3_slot_valids_0
+    2153             :       (_ittage_io_out_s2_full_pred_3_slot_valids_0),
+    2154             :     .io_out_s2_full_pred_3_slot_valids_1
+    2155             :       (_ittage_io_out_s2_full_pred_3_slot_valids_1),
+    2156             :     .io_out_s2_full_pred_3_targets_0
+    2157             :       (_ittage_io_out_s2_full_pred_3_targets_0),
+    2158             :     .io_out_s2_full_pred_3_targets_1
+    2159             :       (_ittage_io_out_s2_full_pred_3_targets_1),
+    2160             :     .io_out_s2_full_pred_3_jalr_target
+    2161             :       (_ittage_io_out_s2_full_pred_3_jalr_target),
+    2162             :     .io_out_s2_full_pred_3_offsets_0
+    2163             :       (_ittage_io_out_s2_full_pred_3_offsets_0),
+    2164             :     .io_out_s2_full_pred_3_offsets_1
+    2165             :       (_ittage_io_out_s2_full_pred_3_offsets_1),
+    2166             :     .io_out_s2_full_pred_3_fallThroughAddr
+    2167             :       (_ittage_io_out_s2_full_pred_3_fallThroughAddr),
+    2168             :     .io_out_s2_full_pred_3_fallThroughErr
+    2169             :       (_ittage_io_out_s2_full_pred_3_fallThroughErr),
+    2170             :     .io_out_s2_full_pred_3_is_br_sharing
+    2171             :       (_ittage_io_out_s2_full_pred_3_is_br_sharing),
+    2172             :     .io_out_s2_full_pred_3_hit
+    2173             :       (_ittage_io_out_s2_full_pred_3_hit),
+    2174             :     .io_out_s3_full_pred_0_br_taken_mask_0
+    2175             :       (_ittage_io_out_s3_full_pred_0_br_taken_mask_0),
+    2176             :     .io_out_s3_full_pred_0_br_taken_mask_1
+    2177             :       (_ittage_io_out_s3_full_pred_0_br_taken_mask_1),
+    2178             :     .io_out_s3_full_pred_0_slot_valids_0
+    2179             :       (_ittage_io_out_s3_full_pred_0_slot_valids_0),
+    2180             :     .io_out_s3_full_pred_0_slot_valids_1
+    2181             :       (_ittage_io_out_s3_full_pred_0_slot_valids_1),
+    2182             :     .io_out_s3_full_pred_0_targets_0
+    2183             :       (_ittage_io_out_s3_full_pred_0_targets_0),
+    2184             :     .io_out_s3_full_pred_0_targets_1
+    2185             :       (_ittage_io_out_s3_full_pred_0_targets_1),
+    2186             :     .io_out_s3_full_pred_0_jalr_target
+    2187             :       (_ittage_io_out_s3_full_pred_0_jalr_target),
+    2188             :     .io_out_s3_full_pred_0_fallThroughAddr
+    2189             :       (_ittage_io_out_s3_full_pred_0_fallThroughAddr),
+    2190             :     .io_out_s3_full_pred_0_fallThroughErr
+    2191             :       (_ittage_io_out_s3_full_pred_0_fallThroughErr),
+    2192             :     .io_out_s3_full_pred_0_is_br_sharing
+    2193             :       (_ittage_io_out_s3_full_pred_0_is_br_sharing),
+    2194             :     .io_out_s3_full_pred_0_hit
+    2195             :       (_ittage_io_out_s3_full_pred_0_hit),
+    2196             :     .io_out_s3_full_pred_1_br_taken_mask_0
+    2197             :       (_ittage_io_out_s3_full_pred_1_br_taken_mask_0),
+    2198             :     .io_out_s3_full_pred_1_br_taken_mask_1
+    2199             :       (_ittage_io_out_s3_full_pred_1_br_taken_mask_1),
+    2200             :     .io_out_s3_full_pred_1_slot_valids_0
+    2201             :       (_ittage_io_out_s3_full_pred_1_slot_valids_0),
+    2202             :     .io_out_s3_full_pred_1_slot_valids_1
+    2203             :       (_ittage_io_out_s3_full_pred_1_slot_valids_1),
+    2204             :     .io_out_s3_full_pred_1_targets_0
+    2205             :       (_ittage_io_out_s3_full_pred_1_targets_0),
+    2206             :     .io_out_s3_full_pred_1_targets_1
+    2207             :       (_ittage_io_out_s3_full_pred_1_targets_1),
+    2208             :     .io_out_s3_full_pred_1_jalr_target
+    2209             :       (_ittage_io_out_s3_full_pred_1_jalr_target),
+    2210             :     .io_out_s3_full_pred_1_fallThroughAddr
+    2211             :       (_ittage_io_out_s3_full_pred_1_fallThroughAddr),
+    2212             :     .io_out_s3_full_pred_1_fallThroughErr
+    2213             :       (_ittage_io_out_s3_full_pred_1_fallThroughErr),
+    2214             :     .io_out_s3_full_pred_1_is_br_sharing
+    2215             :       (_ittage_io_out_s3_full_pred_1_is_br_sharing),
+    2216             :     .io_out_s3_full_pred_1_hit
+    2217             :       (_ittage_io_out_s3_full_pred_1_hit),
+    2218             :     .io_out_s3_full_pred_2_br_taken_mask_0
+    2219             :       (_ittage_io_out_s3_full_pred_2_br_taken_mask_0),
+    2220             :     .io_out_s3_full_pred_2_br_taken_mask_1
+    2221             :       (_ittage_io_out_s3_full_pred_2_br_taken_mask_1),
+    2222             :     .io_out_s3_full_pred_2_slot_valids_0
+    2223             :       (_ittage_io_out_s3_full_pred_2_slot_valids_0),
+    2224             :     .io_out_s3_full_pred_2_slot_valids_1
+    2225             :       (_ittage_io_out_s3_full_pred_2_slot_valids_1),
+    2226             :     .io_out_s3_full_pred_2_targets_0
+    2227             :       (_ittage_io_out_s3_full_pred_2_targets_0),
+    2228             :     .io_out_s3_full_pred_2_targets_1
+    2229             :       (_ittage_io_out_s3_full_pred_2_targets_1),
+    2230             :     .io_out_s3_full_pred_2_jalr_target
+    2231             :       (_ittage_io_out_s3_full_pred_2_jalr_target),
+    2232             :     .io_out_s3_full_pred_2_fallThroughAddr
+    2233             :       (_ittage_io_out_s3_full_pred_2_fallThroughAddr),
+    2234             :     .io_out_s3_full_pred_2_fallThroughErr
+    2235             :       (_ittage_io_out_s3_full_pred_2_fallThroughErr),
+    2236             :     .io_out_s3_full_pred_2_is_jalr
+    2237             :       (_ittage_io_out_s3_full_pred_2_is_jalr),
+    2238             :     .io_out_s3_full_pred_2_is_call
+    2239             :       (_ittage_io_out_s3_full_pred_2_is_call),
+    2240             :     .io_out_s3_full_pred_2_is_ret
+    2241             :       (_ittage_io_out_s3_full_pred_2_is_ret),
+    2242             :     .io_out_s3_full_pred_2_is_br_sharing
+    2243             :       (_ittage_io_out_s3_full_pred_2_is_br_sharing),
+    2244             :     .io_out_s3_full_pred_2_hit
+    2245             :       (_ittage_io_out_s3_full_pred_2_hit),
+    2246             :     .io_out_s3_full_pred_3_br_taken_mask_0
+    2247             :       (_ittage_io_out_s3_full_pred_3_br_taken_mask_0),
+    2248             :     .io_out_s3_full_pred_3_br_taken_mask_1
+    2249             :       (_ittage_io_out_s3_full_pred_3_br_taken_mask_1),
+    2250             :     .io_out_s3_full_pred_3_slot_valids_0
+    2251             :       (_ittage_io_out_s3_full_pred_3_slot_valids_0),
+    2252             :     .io_out_s3_full_pred_3_slot_valids_1
+    2253             :       (_ittage_io_out_s3_full_pred_3_slot_valids_1),
+    2254             :     .io_out_s3_full_pred_3_targets_0
+    2255             :       (_ittage_io_out_s3_full_pred_3_targets_0),
+    2256             :     .io_out_s3_full_pred_3_targets_1
+    2257             :       (_ittage_io_out_s3_full_pred_3_targets_1),
+    2258             :     .io_out_s3_full_pred_3_jalr_target
+    2259             :       (_ittage_io_out_s3_full_pred_3_jalr_target),
+    2260             :     .io_out_s3_full_pred_3_offsets_0
+    2261             :       (_ittage_io_out_s3_full_pred_3_offsets_0),
+    2262             :     .io_out_s3_full_pred_3_offsets_1
+    2263             :       (_ittage_io_out_s3_full_pred_3_offsets_1),
+    2264             :     .io_out_s3_full_pred_3_fallThroughAddr
+    2265             :       (_ittage_io_out_s3_full_pred_3_fallThroughAddr),
+    2266             :     .io_out_s3_full_pred_3_fallThroughErr
+    2267             :       (_ittage_io_out_s3_full_pred_3_fallThroughErr),
+    2268             :     .io_out_s3_full_pred_3_is_br_sharing
+    2269             :       (_ittage_io_out_s3_full_pred_3_is_br_sharing),
+    2270             :     .io_out_s3_full_pred_3_hit
+    2271             :       (_ittage_io_out_s3_full_pred_3_hit),
+    2272             :     .io_out_last_stage_meta
+    2273             :       (_ittage_io_out_last_stage_meta),
+    2274             :     .io_out_last_stage_ftb_entry_valid
+    2275             :       (_ittage_io_out_last_stage_ftb_entry_valid),
+    2276             :     .io_out_last_stage_ftb_entry_brSlots_0_offset
+    2277             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_offset),
+    2278             :     .io_out_last_stage_ftb_entry_brSlots_0_lower
+    2279             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_lower),
+    2280             :     .io_out_last_stage_ftb_entry_brSlots_0_tarStat
+    2281             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_tarStat),
+    2282             :     .io_out_last_stage_ftb_entry_brSlots_0_sharing
+    2283             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_sharing),
+    2284             :     .io_out_last_stage_ftb_entry_brSlots_0_valid
+    2285             :       (_ittage_io_out_last_stage_ftb_entry_brSlots_0_valid),
+    2286             :     .io_out_last_stage_ftb_entry_tailSlot_offset
+    2287             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_offset),
+    2288             :     .io_out_last_stage_ftb_entry_tailSlot_lower
+    2289             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_lower),
+    2290             :     .io_out_last_stage_ftb_entry_tailSlot_tarStat
+    2291             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_tarStat),
+    2292             :     .io_out_last_stage_ftb_entry_tailSlot_sharing
+    2293             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_sharing),
+    2294             :     .io_out_last_stage_ftb_entry_tailSlot_valid
+    2295             :       (_ittage_io_out_last_stage_ftb_entry_tailSlot_valid),
+    2296             :     .io_out_last_stage_ftb_entry_pftAddr
+    2297             :       (_ittage_io_out_last_stage_ftb_entry_pftAddr),
+    2298             :     .io_out_last_stage_ftb_entry_carry
+    2299             :       (_ittage_io_out_last_stage_ftb_entry_carry),
+    2300             :     .io_out_last_stage_ftb_entry_isCall
+    2301             :       (_ittage_io_out_last_stage_ftb_entry_isCall),
+    2302             :     .io_out_last_stage_ftb_entry_isRet
+    2303             :       (_ittage_io_out_last_stage_ftb_entry_isRet),
+    2304             :     .io_out_last_stage_ftb_entry_isJalr
+    2305             :       (_ittage_io_out_last_stage_ftb_entry_isJalr),
+    2306             :     .io_out_last_stage_ftb_entry_last_may_be_rvi_call
+    2307             :       (_ittage_io_out_last_stage_ftb_entry_last_may_be_rvi_call),
+    2308             :     .io_out_last_stage_ftb_entry_always_taken_0
+    2309             :       (_ittage_io_out_last_stage_ftb_entry_always_taken_0),
+    2310             :     .io_out_last_stage_ftb_entry_always_taken_1
+    2311             :       (_ittage_io_out_last_stage_ftb_entry_always_taken_1),
+    2312             :     .io_s0_fire_3                                                   (io_s0_fire_3),
+    2313             :     .io_s1_fire_3                                                   (io_s1_fire_3),
+    2314             :     .io_s2_fire_0                                                   (io_s2_fire_0),
+    2315             :     .io_s2_fire_1                                                   (io_s2_fire_1),
+    2316             :     .io_s2_fire_2                                                   (io_s2_fire_2),
+    2317             :     .io_s2_fire_3                                                   (io_s2_fire_3),
+    2318             :     .io_update_valid                                                (io_update_valid),
+    2319             :     .io_update_bits_pc                                              (io_update_bits_pc),
+    2320             :     .io_update_bits_spec_info_folded_hist_hist_14_folded_hist
+    2321             :       (io_update_bits_spec_info_folded_hist_hist_14_folded_hist),
+    2322             :     .io_update_bits_spec_info_folded_hist_hist_13_folded_hist
+    2323             :       (io_update_bits_spec_info_folded_hist_hist_13_folded_hist),
+    2324             :     .io_update_bits_spec_info_folded_hist_hist_12_folded_hist
+    2325             :       (io_update_bits_spec_info_folded_hist_hist_12_folded_hist),
+    2326             :     .io_update_bits_spec_info_folded_hist_hist_10_folded_hist
+    2327             :       (io_update_bits_spec_info_folded_hist_hist_10_folded_hist),
+    2328             :     .io_update_bits_spec_info_folded_hist_hist_6_folded_hist
+    2329             :       (io_update_bits_spec_info_folded_hist_hist_6_folded_hist),
+    2330             :     .io_update_bits_spec_info_folded_hist_hist_4_folded_hist
+    2331             :       (io_update_bits_spec_info_folded_hist_hist_4_folded_hist),
+    2332             :     .io_update_bits_spec_info_folded_hist_hist_3_folded_hist
+    2333             :       (io_update_bits_spec_info_folded_hist_hist_3_folded_hist),
+    2334             :     .io_update_bits_spec_info_folded_hist_hist_2_folded_hist
+    2335             :       (io_update_bits_spec_info_folded_hist_hist_2_folded_hist),
+    2336             :     .io_update_bits_ftb_entry_tailSlot_offset
+    2337             :       (io_update_bits_ftb_entry_tailSlot_offset),
+    2338             :     .io_update_bits_ftb_entry_tailSlot_sharing
+    2339             :       (io_update_bits_ftb_entry_tailSlot_sharing),
+    2340             :     .io_update_bits_ftb_entry_tailSlot_valid
+    2341             :       (io_update_bits_ftb_entry_tailSlot_valid),
+    2342             :     .io_update_bits_ftb_entry_isRet
+    2343             :       (io_update_bits_ftb_entry_isRet),
+    2344             :     .io_update_bits_ftb_entry_isJalr
+    2345             :       (io_update_bits_ftb_entry_isJalr),
+    2346             :     .io_update_bits_cfi_idx_valid
+    2347             :       (io_update_bits_cfi_idx_valid),
+    2348             :     .io_update_bits_cfi_idx_bits
+    2349             :       (io_update_bits_cfi_idx_bits),
+    2350             :     .io_update_bits_jmp_taken
+    2351             :       (io_update_bits_jmp_taken),
+    2352             :     .io_update_bits_mispred_mask_2
+    2353             :       (io_update_bits_mispred_mask_2),
+    2354             :     .io_update_bits_meta
+    2355             :       ({25'h0, io_update_bits_meta[222:25]}),
+    2356             :     .io_update_bits_full_target
+    2357             :       (io_update_bits_full_target)
+    2358             :   );
+    2359             :   DelayN_1 ubtb_io_ctrl_delay (
+    2360             :     .clock              (clock),
+    2361             :     .io_in_ubtb_enable  (io_ctrl_ubtb_enable),
+    2362             :     .io_in_btb_enable   (io_ctrl_btb_enable),
+    2363             :     .io_in_tage_enable  (io_ctrl_tage_enable),
+    2364             :     .io_in_sc_enable    (io_ctrl_sc_enable),
+    2365             :     .io_in_ras_enable   (io_ctrl_ras_enable),
+    2366             :     .io_out_ubtb_enable (_ubtb_io_ctrl_delay_io_out_ubtb_enable),
+    2367             :     .io_out_btb_enable  (/* unused */),
+    2368             :     .io_out_tage_enable (/* unused */),
+    2369             :     .io_out_sc_enable   (/* unused */),
+    2370             :     .io_out_ras_enable  (/* unused */)
+    2371             :   );
+    2372             :   DelayN_1 tage_io_ctrl_delay (
+    2373             :     .clock              (clock),
+    2374             :     .io_in_ubtb_enable  (io_ctrl_ubtb_enable),
+    2375             :     .io_in_btb_enable   (io_ctrl_btb_enable),
+    2376             :     .io_in_tage_enable  (io_ctrl_tage_enable),
+    2377             :     .io_in_sc_enable    (io_ctrl_sc_enable),
+    2378             :     .io_in_ras_enable   (io_ctrl_ras_enable),
+    2379             :     .io_out_ubtb_enable (/* unused */),
+    2380             :     .io_out_btb_enable  (/* unused */),
+    2381             :     .io_out_tage_enable (_tage_io_ctrl_delay_io_out_tage_enable),
+    2382             :     .io_out_sc_enable   (_tage_io_ctrl_delay_io_out_sc_enable),
+    2383             :     .io_out_ras_enable  (/* unused */)
+    2384             :   );
+    2385             :   DelayN_1 ftb_io_ctrl_delay (
+    2386             :     .clock              (clock),
+    2387             :     .io_in_ubtb_enable  (io_ctrl_ubtb_enable),
+    2388             :     .io_in_btb_enable   (io_ctrl_btb_enable),
+    2389             :     .io_in_tage_enable  (io_ctrl_tage_enable),
+    2390             :     .io_in_sc_enable    (io_ctrl_sc_enable),
+    2391             :     .io_in_ras_enable   (io_ctrl_ras_enable),
+    2392             :     .io_out_ubtb_enable (/* unused */),
+    2393             :     .io_out_btb_enable  (_ftb_io_ctrl_delay_io_out_btb_enable),
+    2394             :     .io_out_tage_enable (/* unused */),
+    2395             :     .io_out_sc_enable   (/* unused */),
+    2396             :     .io_out_ras_enable  (/* unused */)
+    2397             :   );
+    2398             :   DelayN_1 ras_io_ctrl_delay (
+    2399             :     .clock              (clock),
+    2400             :     .io_in_ubtb_enable  (io_ctrl_ubtb_enable),
+    2401             :     .io_in_btb_enable   (io_ctrl_btb_enable),
+    2402             :     .io_in_tage_enable  (io_ctrl_tage_enable),
+    2403             :     .io_in_sc_enable    (io_ctrl_sc_enable),
+    2404             :     .io_in_ras_enable   (io_ctrl_ras_enable),
+    2405             :     .io_out_ubtb_enable (/* unused */),
+    2406             :     .io_out_btb_enable  (/* unused */),
+    2407             :     .io_out_tage_enable (/* unused */),
+    2408             :     .io_out_sc_enable   (/* unused */),
+    2409             :     .io_out_ras_enable  (_ras_io_ctrl_delay_io_out_ras_enable)
+    2410             :   );
+    2411             :   assign io_out_last_stage_meta =
+    2412             :     {_ubtb_io_out_last_stage_meta[5:0],
+    2413             :      _tage_io_out_last_stage_meta[87:0],
+    2414             :      _ftb_io_out_last_stage_meta[2:0],
+    2415             :      _ittage_io_out_last_stage_meta[100:0],
+    2416             :      _ras_io_out_last_stage_meta[24:0]};
+    2417             :   assign io_s1_ready = _tage_io_s1_ready & _ftb_io_s1_ready;
+    2418             :   assign io_perf_0_value = io_perf_0_value_REG_1;
+    2419             :   assign io_perf_1_value = io_perf_1_value_REG_1;
+    2420             :   assign io_perf_2_value = io_perf_2_value_REG_1;
+    2421             :   assign io_perf_3_value = io_perf_3_value_REG_1;
+    2422             :   assign io_perf_4_value = io_perf_4_value_REG_1;
+    2423             :   assign io_perf_5_value = io_perf_5_value_REG_1;
+    2424             :   assign io_perf_6_value = io_perf_6_value_REG_1;
+    2425             : endmodule
+    2426             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.func-sort-c.html new file mode 100644 index 0000000..01f3541 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayNWithValid.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayNWithValid.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.func.html new file mode 100644 index 0000000..a9d7178 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayNWithValid.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayNWithValid.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.gcov.html new file mode 100644 index 0000000..0d0f615 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid.sv.gcov.html @@ -0,0 +1,183 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayNWithValid.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayNWithValid.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module DelayNWithValid(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61        1143 :   input  [40:0] io_in_bits,
+      62          57 :   input         io_in_valid,
+      63        1057 :   output [40:0] io_out_bits
+      64             : );
+      65             : 
+      66          58 :   reg        valid_REG;
+      67         968 :   reg [40:0] data;
+      68        1057 :   reg [40:0] res_bits;
+      69      127730 :   always @(posedge clock or posedge reset) begin
+      70         272 :     if (reset)
+      71         136 :       valid_REG <= 1'h0;
+      72             :     else
+      73       63729 :       valid_REG <= io_in_valid;
+      74             :   end // always @(posedge, posedge)
+      75      127694 :   always @(posedge clock) begin
+      76          44 :     if (io_in_valid)
+      77          22 :       data <= io_in_bits;
+      78          50 :     if (valid_REG)
+      79          25 :       res_bits <= data;
+      80             :   end // always @(posedge)
+      81             :   `ifdef ENABLE_INITIAL_REG_
+      82             :     `ifdef FIRRTL_BEFORE_INITIAL
+      83             :       `FIRRTL_BEFORE_INITIAL
+      84             :     `endif // FIRRTL_BEFORE_INITIAL
+      85             :     logic [31:0] _RANDOM[0:2];
+      86          58 :     initial begin
+      87             :       `ifdef INIT_RANDOM_PROLOG_
+      88             :         `INIT_RANDOM_PROLOG_
+      89             :       `endif // INIT_RANDOM_PROLOG_
+      90             :       `ifdef RANDOMIZE_REG_INIT
+      91             :         for (logic [1:0] i = 2'h0; i < 2'h3; i += 2'h1) begin
+      92             :           _RANDOM[i] = `RANDOM;
+      93             :         end
+      94             :         valid_REG = _RANDOM[2'h0][0];
+      95             :         data = {_RANDOM[2'h0][31:1], _RANDOM[2'h1][9:0]};
+      96             :         res_bits = {_RANDOM[2'h1][31:11], _RANDOM[2'h2][19:0]};
+      97             :       `endif // RANDOMIZE_REG_INIT
+      98          17 :       if (reset)
+      99          12 :         valid_REG = 1'h0;
+     100             :     end // initial
+     101             :     `ifdef FIRRTL_AFTER_INITIAL
+     102             :       `FIRRTL_AFTER_INITIAL
+     103             :     `endif // FIRRTL_AFTER_INITIAL
+     104             :   `endif // ENABLE_INITIAL_REG_
+     105             :   assign io_out_bits = res_bits;
+     106             : endmodule
+     107             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.func-sort-c.html new file mode 100644 index 0000000..8715af3 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayNWithValid_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayNWithValid_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:128128100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.func.html new file mode 100644 index 0000000..317c34a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayNWithValid_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayNWithValid_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:128128100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.gcov.html new file mode 100644 index 0000000..59f2b0b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayNWithValid_1.sv.gcov.html @@ -0,0 +1,347 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayNWithValid_1.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayNWithValid_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:128128100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module DelayNWithValid_1(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          33 :   input         io_in_bits_valid,
+      62         122 :   input  [3:0]  io_in_bits_brSlots_0_offset,
+      63         337 :   input  [11:0] io_in_bits_brSlots_0_lower,
+      64          65 :   input  [1:0]  io_in_bits_brSlots_0_tarStat,
+      65          33 :   input         io_in_bits_brSlots_0_sharing,
+      66          35 :   input         io_in_bits_brSlots_0_valid,
+      67         137 :   input  [3:0]  io_in_bits_tailSlot_offset,
+      68         552 :   input  [19:0] io_in_bits_tailSlot_lower,
+      69          63 :   input  [1:0]  io_in_bits_tailSlot_tarStat,
+      70          33 :   input         io_in_bits_tailSlot_sharing,
+      71          28 :   input         io_in_bits_tailSlot_valid,
+      72         152 :   input  [3:0]  io_in_bits_pftAddr,
+      73          34 :   input         io_in_bits_carry,
+      74          39 :   input         io_in_bits_isCall,
+      75          36 :   input         io_in_bits_isRet,
+      76          37 :   input         io_in_bits_isJalr,
+      77          41 :   input         io_in_bits_last_may_be_rvi_call,
+      78          32 :   input         io_in_bits_always_taken_0,
+      79          33 :   input         io_in_bits_always_taken_1,
+      80          57 :   input         io_in_valid,
+      81          27 :   output        io_out_bits_valid,
+      82          90 :   output [3:0]  io_out_bits_brSlots_0_offset,
+      83         291 :   output [11:0] io_out_bits_brSlots_0_lower,
+      84          53 :   output [1:0]  io_out_bits_brSlots_0_tarStat,
+      85          26 :   output        io_out_bits_brSlots_0_sharing,
+      86          25 :   output        io_out_bits_brSlots_0_valid,
+      87          97 :   output [3:0]  io_out_bits_tailSlot_offset,
+      88         508 :   output [19:0] io_out_bits_tailSlot_lower,
+      89          42 :   output [1:0]  io_out_bits_tailSlot_tarStat,
+      90          24 :   output        io_out_bits_tailSlot_sharing,
+      91          21 :   output        io_out_bits_tailSlot_valid,
+      92         101 :   output [3:0]  io_out_bits_pftAddr,
+      93          31 :   output        io_out_bits_carry,
+      94          25 :   output        io_out_bits_isCall,
+      95          21 :   output        io_out_bits_isRet,
+      96          25 :   output        io_out_bits_isJalr,
+      97          24 :   output        io_out_bits_last_may_be_rvi_call,
+      98          21 :   output        io_out_bits_always_taken_0,
+      99          26 :   output        io_out_bits_always_taken_1
+     100             : );
+     101             : 
+     102          59 :   reg        valid_REG;
+     103          30 :   reg        data_valid;
+     104          91 :   reg [3:0]  data_brSlots_0_offset;
+     105         294 :   reg [11:0] data_brSlots_0_lower;
+     106          48 :   reg [1:0]  data_brSlots_0_tarStat;
+     107          21 :   reg        data_brSlots_0_sharing;
+     108          27 :   reg        data_brSlots_0_valid;
+     109         100 :   reg [3:0]  data_tailSlot_offset;
+     110         470 :   reg [19:0] data_tailSlot_lower;
+     111          43 :   reg [1:0]  data_tailSlot_tarStat;
+     112          20 :   reg        data_tailSlot_sharing;
+     113          26 :   reg        data_tailSlot_valid;
+     114          87 :   reg [3:0]  data_pftAddr;
+     115          24 :   reg        data_carry;
+     116          25 :   reg        data_isCall;
+     117          13 :   reg        data_isRet;
+     118          24 :   reg        data_isJalr;
+     119          23 :   reg        data_last_may_be_rvi_call;
+     120          22 :   reg        data_always_taken_0;
+     121          24 :   reg        data_always_taken_1;
+     122          27 :   reg        res_bits_valid;
+     123          90 :   reg [3:0]  res_bits_brSlots_0_offset;
+     124         291 :   reg [11:0] res_bits_brSlots_0_lower;
+     125          53 :   reg [1:0]  res_bits_brSlots_0_tarStat;
+     126          26 :   reg        res_bits_brSlots_0_sharing;
+     127          25 :   reg        res_bits_brSlots_0_valid;
+     128          97 :   reg [3:0]  res_bits_tailSlot_offset;
+     129         508 :   reg [19:0] res_bits_tailSlot_lower;
+     130          42 :   reg [1:0]  res_bits_tailSlot_tarStat;
+     131          24 :   reg        res_bits_tailSlot_sharing;
+     132          21 :   reg        res_bits_tailSlot_valid;
+     133         101 :   reg [3:0]  res_bits_pftAddr;
+     134          31 :   reg        res_bits_carry;
+     135          25 :   reg        res_bits_isCall;
+     136          21 :   reg        res_bits_isRet;
+     137          25 :   reg        res_bits_isJalr;
+     138          24 :   reg        res_bits_last_may_be_rvi_call;
+     139          21 :   reg        res_bits_always_taken_0;
+     140          26 :   reg        res_bits_always_taken_1;
+     141      127730 :   always @(posedge clock or posedge reset) begin
+     142         272 :     if (reset)
+     143         136 :       valid_REG <= 1'h0;
+     144             :     else
+     145       63729 :       valid_REG <= io_in_valid;
+     146             :   end // always @(posedge, posedge)
+     147      127694 :   always @(posedge clock) begin
+     148          44 :     if (io_in_valid) begin
+     149          22 :       data_valid <= io_in_bits_valid;
+     150          22 :       data_brSlots_0_offset <= io_in_bits_brSlots_0_offset;
+     151          22 :       data_brSlots_0_lower <= io_in_bits_brSlots_0_lower;
+     152          22 :       data_brSlots_0_tarStat <= io_in_bits_brSlots_0_tarStat;
+     153          22 :       data_brSlots_0_sharing <= io_in_bits_brSlots_0_sharing;
+     154          22 :       data_brSlots_0_valid <= io_in_bits_brSlots_0_valid;
+     155          22 :       data_tailSlot_offset <= io_in_bits_tailSlot_offset;
+     156          22 :       data_tailSlot_lower <= io_in_bits_tailSlot_lower;
+     157          22 :       data_tailSlot_tarStat <= io_in_bits_tailSlot_tarStat;
+     158          22 :       data_tailSlot_sharing <= io_in_bits_tailSlot_sharing;
+     159          22 :       data_tailSlot_valid <= io_in_bits_tailSlot_valid;
+     160          22 :       data_pftAddr <= io_in_bits_pftAddr;
+     161          22 :       data_carry <= io_in_bits_carry;
+     162          22 :       data_isCall <= io_in_bits_isCall;
+     163          22 :       data_isRet <= io_in_bits_isRet;
+     164          22 :       data_isJalr <= io_in_bits_isJalr;
+     165          22 :       data_last_may_be_rvi_call <= io_in_bits_last_may_be_rvi_call;
+     166          22 :       data_always_taken_0 <= io_in_bits_always_taken_0;
+     167          22 :       data_always_taken_1 <= io_in_bits_always_taken_1;
+     168             :     end
+     169          50 :     if (valid_REG) begin
+     170          25 :       res_bits_valid <= data_valid;
+     171          25 :       res_bits_brSlots_0_offset <= data_brSlots_0_offset;
+     172          25 :       res_bits_brSlots_0_lower <= data_brSlots_0_lower;
+     173          25 :       res_bits_brSlots_0_tarStat <= data_brSlots_0_tarStat;
+     174          25 :       res_bits_brSlots_0_sharing <= data_brSlots_0_sharing;
+     175          25 :       res_bits_brSlots_0_valid <= data_brSlots_0_valid;
+     176          25 :       res_bits_tailSlot_offset <= data_tailSlot_offset;
+     177          25 :       res_bits_tailSlot_lower <= data_tailSlot_lower;
+     178          25 :       res_bits_tailSlot_tarStat <= data_tailSlot_tarStat;
+     179          25 :       res_bits_tailSlot_sharing <= data_tailSlot_sharing;
+     180          25 :       res_bits_tailSlot_valid <= data_tailSlot_valid;
+     181          25 :       res_bits_pftAddr <= data_pftAddr;
+     182          25 :       res_bits_carry <= data_carry;
+     183          25 :       res_bits_isCall <= data_isCall;
+     184          25 :       res_bits_isRet <= data_isRet;
+     185          25 :       res_bits_isJalr <= data_isJalr;
+     186          25 :       res_bits_last_may_be_rvi_call <= data_last_may_be_rvi_call;
+     187          25 :       res_bits_always_taken_0 <= data_always_taken_0;
+     188          25 :       res_bits_always_taken_1 <= data_always_taken_1;
+     189             :     end
+     190             :   end // always @(posedge)
+     191             :   `ifdef ENABLE_INITIAL_REG_
+     192             :     `ifdef FIRRTL_BEFORE_INITIAL
+     193             :       `FIRRTL_BEFORE_INITIAL
+     194             :     `endif // FIRRTL_BEFORE_INITIAL
+     195             :     logic [31:0] _RANDOM[0:3];
+     196          58 :     initial begin
+     197             :       `ifdef INIT_RANDOM_PROLOG_
+     198             :         `INIT_RANDOM_PROLOG_
+     199             :       `endif // INIT_RANDOM_PROLOG_
+     200             :       `ifdef RANDOMIZE_REG_INIT
+     201             :         for (logic [2:0] i = 3'h0; i < 3'h4; i += 3'h1) begin
+     202             :           _RANDOM[i[1:0]] = `RANDOM;
+     203             :         end
+     204             :         valid_REG = _RANDOM[2'h0][0];
+     205             :         data_valid = _RANDOM[2'h0][1];
+     206             :         data_brSlots_0_offset = _RANDOM[2'h0][5:2];
+     207             :         data_brSlots_0_lower = _RANDOM[2'h0][17:6];
+     208             :         data_brSlots_0_tarStat = _RANDOM[2'h0][19:18];
+     209             :         data_brSlots_0_sharing = _RANDOM[2'h0][20];
+     210             :         data_brSlots_0_valid = _RANDOM[2'h0][21];
+     211             :         data_tailSlot_offset = _RANDOM[2'h0][25:22];
+     212             :         data_tailSlot_lower = {_RANDOM[2'h0][31:26], _RANDOM[2'h1][13:0]};
+     213             :         data_tailSlot_tarStat = _RANDOM[2'h1][15:14];
+     214             :         data_tailSlot_sharing = _RANDOM[2'h1][16];
+     215             :         data_tailSlot_valid = _RANDOM[2'h1][17];
+     216             :         data_pftAddr = _RANDOM[2'h1][21:18];
+     217             :         data_carry = _RANDOM[2'h1][22];
+     218             :         data_isCall = _RANDOM[2'h1][23];
+     219             :         data_isRet = _RANDOM[2'h1][24];
+     220             :         data_isJalr = _RANDOM[2'h1][25];
+     221             :         data_last_may_be_rvi_call = _RANDOM[2'h1][26];
+     222             :         data_always_taken_0 = _RANDOM[2'h1][27];
+     223             :         data_always_taken_1 = _RANDOM[2'h1][28];
+     224             :         res_bits_valid = _RANDOM[2'h1][30];
+     225             :         res_bits_brSlots_0_offset = {_RANDOM[2'h1][31], _RANDOM[2'h2][2:0]};
+     226             :         res_bits_brSlots_0_lower = _RANDOM[2'h2][14:3];
+     227             :         res_bits_brSlots_0_tarStat = _RANDOM[2'h2][16:15];
+     228             :         res_bits_brSlots_0_sharing = _RANDOM[2'h2][17];
+     229             :         res_bits_brSlots_0_valid = _RANDOM[2'h2][18];
+     230             :         res_bits_tailSlot_offset = _RANDOM[2'h2][22:19];
+     231             :         res_bits_tailSlot_lower = {_RANDOM[2'h2][31:23], _RANDOM[2'h3][10:0]};
+     232             :         res_bits_tailSlot_tarStat = _RANDOM[2'h3][12:11];
+     233             :         res_bits_tailSlot_sharing = _RANDOM[2'h3][13];
+     234             :         res_bits_tailSlot_valid = _RANDOM[2'h3][14];
+     235             :         res_bits_pftAddr = _RANDOM[2'h3][18:15];
+     236             :         res_bits_carry = _RANDOM[2'h3][19];
+     237             :         res_bits_isCall = _RANDOM[2'h3][20];
+     238             :         res_bits_isRet = _RANDOM[2'h3][21];
+     239             :         res_bits_isJalr = _RANDOM[2'h3][22];
+     240             :         res_bits_last_may_be_rvi_call = _RANDOM[2'h3][23];
+     241             :         res_bits_always_taken_0 = _RANDOM[2'h3][24];
+     242             :         res_bits_always_taken_1 = _RANDOM[2'h3][25];
+     243             :       `endif // RANDOMIZE_REG_INIT
+     244          17 :       if (reset)
+     245          12 :         valid_REG = 1'h0;
+     246             :     end // initial
+     247             :     `ifdef FIRRTL_AFTER_INITIAL
+     248             :       `FIRRTL_AFTER_INITIAL
+     249             :     `endif // FIRRTL_AFTER_INITIAL
+     250             :   `endif // ENABLE_INITIAL_REG_
+     251             :   assign io_out_bits_valid = res_bits_valid;
+     252             :   assign io_out_bits_brSlots_0_offset = res_bits_brSlots_0_offset;
+     253             :   assign io_out_bits_brSlots_0_lower = res_bits_brSlots_0_lower;
+     254             :   assign io_out_bits_brSlots_0_tarStat = res_bits_brSlots_0_tarStat;
+     255             :   assign io_out_bits_brSlots_0_sharing = res_bits_brSlots_0_sharing;
+     256             :   assign io_out_bits_brSlots_0_valid = res_bits_brSlots_0_valid;
+     257             :   assign io_out_bits_tailSlot_offset = res_bits_tailSlot_offset;
+     258             :   assign io_out_bits_tailSlot_lower = res_bits_tailSlot_lower;
+     259             :   assign io_out_bits_tailSlot_tarStat = res_bits_tailSlot_tarStat;
+     260             :   assign io_out_bits_tailSlot_sharing = res_bits_tailSlot_sharing;
+     261             :   assign io_out_bits_tailSlot_valid = res_bits_tailSlot_valid;
+     262             :   assign io_out_bits_pftAddr = res_bits_pftAddr;
+     263             :   assign io_out_bits_carry = res_bits_carry;
+     264             :   assign io_out_bits_isCall = res_bits_isCall;
+     265             :   assign io_out_bits_isRet = res_bits_isRet;
+     266             :   assign io_out_bits_isJalr = res_bits_isJalr;
+     267             :   assign io_out_bits_last_may_be_rvi_call = res_bits_last_may_be_rvi_call;
+     268             :   assign io_out_bits_always_taken_0 = res_bits_always_taken_0;
+     269             :   assign io_out_bits_always_taken_1 = res_bits_always_taken_1;
+     270             : endmodule
+     271             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.func-sort-c.html new file mode 100644 index 0000000..ccba7de --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2323100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.func.html new file mode 100644 index 0000000..a8ae44d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2323100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.gcov.html new file mode 100644 index 0000000..f97fc63 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_1.sv.gcov.html @@ -0,0 +1,188 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_1.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2323100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module DelayN_1(
+      59      638930 :   input  clock,
+      60         325 :   input  io_in_ubtb_enable,
+      61         315 :   input  io_in_btb_enable,
+      62         307 :   input  io_in_tage_enable,
+      63         356 :   input  io_in_sc_enable,
+      64         328 :   input  io_in_ras_enable,
+      65         386 :   output io_out_ubtb_enable,
+      66         398 :   output io_out_btb_enable,
+      67         378 :   output io_out_tage_enable,
+      68         422 :   output io_out_sc_enable,
+      69         412 :   output io_out_ras_enable
+      70             : );
+      71             : 
+      72         386 :   reg REG_ubtb_enable;
+      73         398 :   reg REG_btb_enable;
+      74         378 :   reg REG_tage_enable;
+      75         422 :   reg REG_sc_enable;
+      76         412 :   reg REG_ras_enable;
+      77      638470 :   always @(posedge clock) begin
+      78      319235 :     REG_ubtb_enable <= io_in_ubtb_enable;
+      79      319235 :     REG_btb_enable <= io_in_btb_enable;
+      80      319235 :     REG_tage_enable <= io_in_tage_enable;
+      81      319235 :     REG_sc_enable <= io_in_sc_enable;
+      82      319235 :     REG_ras_enable <= io_in_ras_enable;
+      83             :   end // always @(posedge)
+      84             :   `ifdef ENABLE_INITIAL_REG_
+      85             :     `ifdef FIRRTL_BEFORE_INITIAL
+      86             :       `FIRRTL_BEFORE_INITIAL
+      87             :     `endif // FIRRTL_BEFORE_INITIAL
+      88             :     logic [31:0] _RANDOM[0:0];
+      89         290 :     initial begin
+      90             :       `ifdef INIT_RANDOM_PROLOG_
+      91             :         `INIT_RANDOM_PROLOG_
+      92             :       `endif // INIT_RANDOM_PROLOG_
+      93             :       `ifdef RANDOMIZE_REG_INIT
+      94             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+      95             :         REG_ubtb_enable = _RANDOM[/*Zero width*/ 1'b0][0];
+      96             :         REG_btb_enable = _RANDOM[/*Zero width*/ 1'b0][1];
+      97             :         REG_tage_enable = _RANDOM[/*Zero width*/ 1'b0][3];
+      98             :         REG_sc_enable = _RANDOM[/*Zero width*/ 1'b0][4];
+      99             :         REG_ras_enable = _RANDOM[/*Zero width*/ 1'b0][5];
+     100             :       `endif // RANDOMIZE_REG_INIT
+     101             :     end // initial
+     102             :     `ifdef FIRRTL_AFTER_INITIAL
+     103             :       `FIRRTL_AFTER_INITIAL
+     104             :     `endif // FIRRTL_AFTER_INITIAL
+     105             :   `endif // ENABLE_INITIAL_REG_
+     106             :   assign io_out_ubtb_enable = REG_ubtb_enable;
+     107             :   assign io_out_btb_enable = REG_btb_enable;
+     108             :   assign io_out_tage_enable = REG_tage_enable;
+     109             :   assign io_out_sc_enable = REG_sc_enable;
+     110             :   assign io_out_ras_enable = REG_ras_enable;
+     111             : endmodule
+     112             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.func-sort-c.html new file mode 100644 index 0000000..0031439 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1515100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.func.html new file mode 100644 index 0000000..c6ec7b6 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1515100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.gcov.html new file mode 100644 index 0000000..36b80d1 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_2.sv.gcov.html @@ -0,0 +1,178 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_2.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1515100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module DelayN_2(
+      59      127786 :   input         clock,
+      60        1105 :   input  [35:0] io_in,
+      61       18419 :   output [35:0] io_out
+      62             : );
+      63             : 
+      64        8059 :   reg [35:0] REG;
+      65       10571 :   reg [35:0] REG_1;
+      66       13265 :   reg [35:0] REG_2;
+      67       15817 :   reg [35:0] REG_3;
+      68       18419 :   reg [35:0] REG_4;
+      69      638470 :   always @(posedge clock) begin
+      70      319235 :     REG <= io_in;
+      71      319235 :     REG_1 <= REG;
+      72      319235 :     REG_2 <= REG_1;
+      73      319235 :     REG_3 <= REG_2;
+      74      319235 :     REG_4 <= REG_3;
+      75             :   end // always @(posedge)
+      76             :   `ifdef ENABLE_INITIAL_REG_
+      77             :     `ifdef FIRRTL_BEFORE_INITIAL
+      78             :       `FIRRTL_BEFORE_INITIAL
+      79             :     `endif // FIRRTL_BEFORE_INITIAL
+      80             :     logic [31:0] _RANDOM[0:5];
+      81         290 :     initial begin
+      82             :       `ifdef INIT_RANDOM_PROLOG_
+      83             :         `INIT_RANDOM_PROLOG_
+      84             :       `endif // INIT_RANDOM_PROLOG_
+      85             :       `ifdef RANDOMIZE_REG_INIT
+      86             :         for (logic [2:0] i = 3'h0; i < 3'h6; i += 3'h1) begin
+      87             :           _RANDOM[i] = `RANDOM;
+      88             :         end
+      89             :         REG = {_RANDOM[3'h0], _RANDOM[3'h1][3:0]};
+      90             :         REG_1 = {_RANDOM[3'h1][31:4], _RANDOM[3'h2][7:0]};
+      91             :         REG_2 = {_RANDOM[3'h2][31:8], _RANDOM[3'h3][11:0]};
+      92             :         REG_3 = {_RANDOM[3'h3][31:12], _RANDOM[3'h4][15:0]};
+      93             :         REG_4 = {_RANDOM[3'h4][31:16], _RANDOM[3'h5][19:0]};
+      94             :       `endif // RANDOMIZE_REG_INIT
+      95             :     end // initial
+      96             :     `ifdef FIRRTL_AFTER_INITIAL
+      97             :       `FIRRTL_AFTER_INITIAL
+      98             :     `endif // FIRRTL_AFTER_INITIAL
+      99             :   `endif // ENABLE_INITIAL_REG_
+     100             :   assign io_out = REG_4;
+     101             : endmodule
+     102             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.func-sort-c.html new file mode 100644 index 0000000..5642ca0 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.func.html new file mode 100644 index 0000000..359188a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.gcov.html new file mode 100644 index 0000000..ef0c657 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/DelayN_4.sv.gcov.html @@ -0,0 +1,167 @@ + + + + + + + LCOV - merged.info - BPUTop/DelayN_4.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - DelayN_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module DelayN_4(
+      59      127786 :   input  clock,
+      60          50 :   input  io_in,
+      61          72 :   output io_out
+      62             : );
+      63             : 
+      64          60 :   reg REG;
+      65          72 :   reg REG_1;
+      66      127694 :   always @(posedge clock) begin
+      67       63847 :     REG <= io_in;
+      68       63847 :     REG_1 <= REG;
+      69             :   end // always @(posedge)
+      70             :   `ifdef ENABLE_INITIAL_REG_
+      71             :     `ifdef FIRRTL_BEFORE_INITIAL
+      72             :       `FIRRTL_BEFORE_INITIAL
+      73             :     `endif // FIRRTL_BEFORE_INITIAL
+      74             :     logic [31:0] _RANDOM[0:0];
+      75          58 :     initial begin
+      76             :       `ifdef INIT_RANDOM_PROLOG_
+      77             :         `INIT_RANDOM_PROLOG_
+      78             :       `endif // INIT_RANDOM_PROLOG_
+      79             :       `ifdef RANDOMIZE_REG_INIT
+      80             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+      81             :         REG = _RANDOM[/*Zero width*/ 1'b0][0];
+      82             :         REG_1 = _RANDOM[/*Zero width*/ 1'b0][1];
+      83             :       `endif // RANDOMIZE_REG_INIT
+      84             :     end // initial
+      85             :     `ifdef FIRRTL_AFTER_INITIAL
+      86             :       `FIRRTL_AFTER_INITIAL
+      87             :     `endif // FIRRTL_AFTER_INITIAL
+      88             :   `endif // ENABLE_INITIAL_REG_
+      89             :   assign io_out = REG_1;
+      90             : endmodule
+      91             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.func-sort-c.html new file mode 100644 index 0000000..212dca5 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FTB.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FTB.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:703703100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.func.html new file mode 100644 index 0000000..566d0da --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FTB.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FTB.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:703703100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.gcov.html new file mode 100644 index 0000000..ee97a79 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTB.sv.gcov.html @@ -0,0 +1,1732 @@ + + + + + + + LCOV - merged.info - BPUTop/FTB.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FTB.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:703703100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FTB(
+      59      127786 :   input          clock,
+      60          62 :   input          reset,
+      61        1105 : 
+      62       10337 :   input  [35:0]  io_reset_vector,
+      63       10224 : 
+      64       10251 :   input  [40:0]  io_in_bits_s0_pc_0,
+      65       35066 :   input  [40:0]  io_in_bits_s0_pc_1,
+      66          75 :   input  [40:0]  io_in_bits_s0_pc_2,
+      67          68 :   input  [40:0]  io_in_bits_s0_pc_3,
+      68          61 :   input          io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0,
+      69          64 :   input          io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1,
+      70          64 :   input          io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0,
+      71          55 :   input          io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1,
+      72          75 :   input          io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0,
+      73          67 :   input          io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1,
+      74          75 :   input          io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0,
+      75          64 :   input          io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1,
+      76          82 :   input          io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0,
+      77          62 :   input          io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1,
+      78          84 :   input          io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0,
+      79          66 :   input          io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1,
+      80          70 :   input          io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0,
+      81          69 :   input          io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1,
+      82          79 :   input          io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0,
+      83          69 :   input          io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1,
+      84          31 : 
+      85          30 :   output         io_out_s2_full_pred_0_br_taken_mask_0,
+      86        1189 :   output         io_out_s2_full_pred_0_br_taken_mask_1,
+      87        1202 :   output         io_out_s2_full_pred_0_slot_valids_0,
+      88        1202 :   output         io_out_s2_full_pred_0_slot_valids_1,
+      89         116 :   output [40:0]  io_out_s2_full_pred_0_targets_0,
+      90         107 :   output [40:0]  io_out_s2_full_pred_0_targets_1,
+      91        9456 :   output [40:0]  io_out_s2_full_pred_0_jalr_target,
+      92          31 :   output [3:0]   io_out_s2_full_pred_0_offsets_0,
+      93          18 :   output [3:0]   io_out_s2_full_pred_0_offsets_1,
+      94          59 :   output [40:0]  io_out_s2_full_pred_0_fallThroughAddr,
+      95          71 :   output         io_out_s2_full_pred_0_is_br_sharing,
+      96          24 :   output         io_out_s2_full_pred_0_hit,
+      97          24 :   output         io_out_s2_full_pred_1_br_taken_mask_0,
+      98        1278 :   output         io_out_s2_full_pred_1_br_taken_mask_1,
+      99        1114 :   output         io_out_s2_full_pred_1_slot_valids_0,
+     100        1114 :   output         io_out_s2_full_pred_1_slot_valids_1,
+     101         108 :   output [40:0]  io_out_s2_full_pred_1_targets_0,
+     102         119 :   output [40:0]  io_out_s2_full_pred_1_targets_1,
+     103        9458 :   output [40:0]  io_out_s2_full_pred_1_jalr_target,
+     104          17 :   output [3:0]   io_out_s2_full_pred_1_offsets_0,
+     105          25 :   output [3:0]   io_out_s2_full_pred_1_offsets_1,
+     106          72 :   output [40:0]  io_out_s2_full_pred_1_fallThroughAddr,
+     107          58 :   output         io_out_s2_full_pred_1_is_br_sharing,
+     108          31 :   output         io_out_s2_full_pred_1_hit,
+     109          28 :   output         io_out_s2_full_pred_2_br_taken_mask_0,
+     110        1211 :   output         io_out_s2_full_pred_2_br_taken_mask_1,
+     111        1198 :   output         io_out_s2_full_pred_2_slot_valids_0,
+     112        1198 :   output         io_out_s2_full_pred_2_slot_valids_1,
+     113         114 :   output [40:0]  io_out_s2_full_pred_2_targets_0,
+     114         120 :   output [40:0]  io_out_s2_full_pred_2_targets_1,
+     115        9409 :   output [40:0]  io_out_s2_full_pred_2_jalr_target,
+     116          23 :   output [3:0]   io_out_s2_full_pred_2_offsets_0,
+     117          21 :   output [3:0]   io_out_s2_full_pred_2_offsets_1,
+     118          26 :   output [40:0]  io_out_s2_full_pred_2_fallThroughAddr,
+     119          25 :   output         io_out_s2_full_pred_2_is_jalr,
+     120          23 :   output         io_out_s2_full_pred_2_is_call,
+     121          29 :   output         io_out_s2_full_pred_2_is_ret,
+     122          79 :   output         io_out_s2_full_pred_2_last_may_be_rvi_call,
+     123          76 :   output         io_out_s2_full_pred_2_is_br_sharing,
+     124          27 :   output         io_out_s2_full_pred_2_hit,
+     125          30 :   output         io_out_s2_full_pred_3_br_taken_mask_0,
+     126        1252 :   output         io_out_s2_full_pred_3_br_taken_mask_1,
+     127        1179 :   output         io_out_s2_full_pred_3_slot_valids_0,
+     128        1179 :   output         io_out_s2_full_pred_3_slot_valids_1,
+     129         119 :   output [40:0]  io_out_s2_full_pred_3_targets_0,
+     130         105 :   output [40:0]  io_out_s2_full_pred_3_targets_1,
+     131        9476 :   output [40:0]  io_out_s2_full_pred_3_jalr_target,
+     132          33 :   output [3:0]   io_out_s2_full_pred_3_offsets_0,
+     133          22 :   output [3:0]   io_out_s2_full_pred_3_offsets_1,
+     134          18 :   output [40:0]  io_out_s2_full_pred_3_fallThroughAddr,
+     135          82 :   output         io_out_s2_full_pred_3_fallThroughErr,
+     136          70 :   output         io_out_s2_full_pred_3_is_br_sharing,
+     137          30 :   output         io_out_s2_full_pred_3_hit,
+     138          33 :   output         io_out_s3_full_pred_0_br_taken_mask_0,
+     139        1241 :   output         io_out_s3_full_pred_0_br_taken_mask_1,
+     140        1247 :   output         io_out_s3_full_pred_0_slot_valids_0,
+     141        1247 :   output         io_out_s3_full_pred_0_slot_valids_1,
+     142        9423 :   output [40:0]  io_out_s3_full_pred_0_targets_0,
+     143          39 :   output [40:0]  io_out_s3_full_pred_0_targets_1,
+     144          26 :   output [40:0]  io_out_s3_full_pred_0_jalr_target,
+     145          24 :   output [40:0]  io_out_s3_full_pred_0_fallThroughAddr,
+     146          80 :   output         io_out_s3_full_pred_0_fallThroughErr,
+     147          75 :   output         io_out_s3_full_pred_0_is_br_sharing,
+     148          30 :   output         io_out_s3_full_pred_0_hit,
+     149          39 :   output         io_out_s3_full_pred_1_br_taken_mask_0,
+     150        1262 :   output         io_out_s3_full_pred_1_br_taken_mask_1,
+     151        1289 :   output         io_out_s3_full_pred_1_slot_valids_0,
+     152        1289 :   output         io_out_s3_full_pred_1_slot_valids_1,
+     153        9377 :   output [40:0]  io_out_s3_full_pred_1_targets_0,
+     154          35 :   output [40:0]  io_out_s3_full_pred_1_targets_1,
+     155          24 :   output [40:0]  io_out_s3_full_pred_1_jalr_target,
+     156          33 :   output [40:0]  io_out_s3_full_pred_1_fallThroughAddr,
+     157          86 :   output         io_out_s3_full_pred_1_fallThroughErr,
+     158          70 :   output         io_out_s3_full_pred_1_is_br_sharing,
+     159          26 :   output         io_out_s3_full_pred_1_hit,
+     160          30 :   output         io_out_s3_full_pred_2_br_taken_mask_0,
+     161        1286 :   output         io_out_s3_full_pred_2_br_taken_mask_1,
+     162        1331 :   output         io_out_s3_full_pred_2_slot_valids_0,
+     163        1331 :   output         io_out_s3_full_pred_2_slot_valids_1,
+     164        9424 :   output [40:0]  io_out_s3_full_pred_2_targets_0,
+     165          35 :   output [40:0]  io_out_s3_full_pred_2_targets_1,
+     166          23 :   output [40:0]  io_out_s3_full_pred_2_jalr_target,
+     167          23 :   output [40:0]  io_out_s3_full_pred_2_fallThroughAddr,
+     168          24 :   output         io_out_s3_full_pred_2_fallThroughErr,
+     169          21 :   output         io_out_s3_full_pred_2_is_jalr,
+     170          29 :   output         io_out_s3_full_pred_2_is_call,
+     171          75 :   output         io_out_s3_full_pred_2_is_ret,
+     172          76 :   output         io_out_s3_full_pred_2_is_br_sharing,
+     173          41 :   output         io_out_s3_full_pred_2_hit,
+     174          42 :   output         io_out_s3_full_pred_3_br_taken_mask_0,
+     175        1231 :   output         io_out_s3_full_pred_3_br_taken_mask_1,
+     176        1247 :   output         io_out_s3_full_pred_3_slot_valids_0,
+     177        1247 :   output         io_out_s3_full_pred_3_slot_valids_1,
+     178         128 :   output [40:0]  io_out_s3_full_pred_3_targets_0,
+     179         137 :   output [40:0]  io_out_s3_full_pred_3_targets_1,
+     180        9391 :   output [40:0]  io_out_s3_full_pred_3_jalr_target,
+     181          41 :   output [3:0]   io_out_s3_full_pred_3_offsets_0,
+     182          30 :   output [3:0]   io_out_s3_full_pred_3_offsets_1,
+     183          27 :   output [40:0]  io_out_s3_full_pred_3_fallThroughAddr,
+     184        3313 :   output         io_out_s3_full_pred_3_fallThroughErr,
+     185          29 :   output         io_out_s3_full_pred_3_is_br_sharing,
+     186         126 :   output         io_out_s3_full_pred_3_hit,
+     187         409 :   output [222:0] io_out_last_stage_meta,
+     188          65 :   output         io_out_last_stage_ftb_entry_valid,
+     189          32 :   output [3:0]   io_out_last_stage_ftb_entry_brSlots_0_offset,
+     190          30 :   output [11:0]  io_out_last_stage_ftb_entry_brSlots_0_lower,
+     191         116 :   output [1:0]   io_out_last_stage_ftb_entry_brSlots_0_tarStat,
+     192         705 :   output         io_out_last_stage_ftb_entry_brSlots_0_sharing,
+     193          65 :   output         io_out_last_stage_ftb_entry_brSlots_0_valid,
+     194          37 :   output [3:0]   io_out_last_stage_ftb_entry_tailSlot_offset,
+     195          33 :   output [19:0]  io_out_last_stage_ftb_entry_tailSlot_lower,
+     196         142 :   output [1:0]   io_out_last_stage_ftb_entry_tailSlot_tarStat,
+     197          35 :   output         io_out_last_stage_ftb_entry_tailSlot_sharing,
+     198          34 :   output         io_out_last_stage_ftb_entry_tailSlot_valid,
+     199          33 :   output [3:0]   io_out_last_stage_ftb_entry_pftAddr,
+     200          36 :   output         io_out_last_stage_ftb_entry_carry,
+     201          31 :   output         io_out_last_stage_ftb_entry_isCall,
+     202          27 :   output         io_out_last_stage_ftb_entry_isRet,
+     203          33 :   output         io_out_last_stage_ftb_entry_isJalr,
+     204          86 :   output         io_out_last_stage_ftb_entry_last_may_be_rvi_call,
+     205          75 :   output         io_out_last_stage_ftb_entry_always_taken_0,
+     206          76 :   output         io_out_last_stage_ftb_entry_always_taken_1,
+     207          76 : 
+     208          73 : 
+     209         133 :   input          io_ctrl_btb_enable,
+     210         131 :   input          io_s0_fire_0,
+     211         135 :   input          io_s0_fire_1,
+     212         133 :   input          io_s0_fire_2,
+     213         127 :   input          io_s0_fire_3,
+     214         127 :   input          io_s1_fire_0,
+     215         127 :   input          io_s1_fire_1,
+     216         127 :   input          io_s1_fire_2,
+     217          88 :   input          io_s1_fire_3,
+     218         105 :   input          io_s2_fire_0,
+     219        1143 :   input          io_s2_fire_1,
+     220          33 :   input          io_s2_fire_2,
+     221         122 :   input          io_s2_fire_3,
+     222         337 :   output         io_s1_ready,
+     223          65 : 
+     224          33 :   input          io_update_valid,
+     225          35 :   input  [40:0]  io_update_bits_pc,
+     226         137 :   input          io_update_bits_ftb_entry_valid,
+     227         552 :   input  [3:0]   io_update_bits_ftb_entry_brSlots_0_offset,
+     228          63 :   input  [11:0]  io_update_bits_ftb_entry_brSlots_0_lower,
+     229          33 :   input  [1:0]   io_update_bits_ftb_entry_brSlots_0_tarStat,
+     230          28 :   input          io_update_bits_ftb_entry_brSlots_0_sharing,
+     231         152 :   input          io_update_bits_ftb_entry_brSlots_0_valid,
+     232          34 :   input  [3:0]   io_update_bits_ftb_entry_tailSlot_offset,
+     233          39 :   input  [19:0]  io_update_bits_ftb_entry_tailSlot_lower,
+     234          36 :   input  [1:0]   io_update_bits_ftb_entry_tailSlot_tarStat,
+     235          37 :   input          io_update_bits_ftb_entry_tailSlot_sharing,
+     236          41 :   input          io_update_bits_ftb_entry_tailSlot_valid,
+     237          32 :   input  [3:0]   io_update_bits_ftb_entry_pftAddr,
+     238          33 :   input          io_update_bits_ftb_entry_carry,
+     239          46 :   input          io_update_bits_ftb_entry_isCall,
+     240        4526 :   input          io_update_bits_ftb_entry_isRet,
+     241         129 :   input          io_update_bits_ftb_entry_isJalr,
+     242         190 :   input          io_update_bits_ftb_entry_last_may_be_rvi_call,
+     243             :   input          io_update_bits_ftb_entry_always_taken_0,
+     244             :   input          io_update_bits_ftb_entry_always_taken_1,
+     245             :   input          io_update_bits_old_entry,
+     246             :   input  [222:0] io_update_bits_meta,
+     247             :   output [5:0]   io_perf_0_value,
+     248             :   output [5:0]   io_perf_1_value
+     249             : );
+     250             : 
+     251             :   wire        _write_valid_delay_io_out;
+     252             :   wire        _delay2_entry_pipMod_io_out_bits_valid;
+     253             :   wire [3:0]  _delay2_entry_pipMod_io_out_bits_brSlots_0_offset;
+     254             :   wire [11:0] _delay2_entry_pipMod_io_out_bits_brSlots_0_lower;
+     255             :   wire [1:0]  _delay2_entry_pipMod_io_out_bits_brSlots_0_tarStat;
+     256             :   wire        _delay2_entry_pipMod_io_out_bits_brSlots_0_sharing;
+     257             :   wire        _delay2_entry_pipMod_io_out_bits_brSlots_0_valid;
+     258             :   wire [3:0]  _delay2_entry_pipMod_io_out_bits_tailSlot_offset;
+     259             :   wire [19:0] _delay2_entry_pipMod_io_out_bits_tailSlot_lower;
+     260             :   wire [1:0]  _delay2_entry_pipMod_io_out_bits_tailSlot_tarStat;
+     261             :   wire        _delay2_entry_pipMod_io_out_bits_tailSlot_sharing;
+     262             :   wire        _delay2_entry_pipMod_io_out_bits_tailSlot_valid;
+     263             :   wire [3:0]  _delay2_entry_pipMod_io_out_bits_pftAddr;
+     264             :   wire        _delay2_entry_pipMod_io_out_bits_carry;
+     265             :   wire        _delay2_entry_pipMod_io_out_bits_isCall;
+     266             :   wire        _delay2_entry_pipMod_io_out_bits_isRet;
+     267             :   wire        _delay2_entry_pipMod_io_out_bits_isJalr;
+     268             :   wire        _delay2_entry_pipMod_io_out_bits_last_may_be_rvi_call;
+     269             :   wire        _delay2_entry_pipMod_io_out_bits_always_taken_0;
+     270             :   wire        _delay2_entry_pipMod_io_out_bits_always_taken_1;
+     271             :   wire [40:0] _delay2_pc_pipMod_io_out_bits;
+     272             :   wire        _ftbBank_io_req_pc_ready;
+     273             :   wire        _ftbBank_io_read_resp_valid;
+     274             :   wire [3:0]  _ftbBank_io_read_resp_brSlots_0_offset;
+     275             :   wire [11:0] _ftbBank_io_read_resp_brSlots_0_lower;
+     276             :   wire [1:0]  _ftbBank_io_read_resp_brSlots_0_tarStat;
+     277             :   wire        _ftbBank_io_read_resp_brSlots_0_sharing;
+     278             :   wire        _ftbBank_io_read_resp_brSlots_0_valid;
+     279             :   wire [3:0]  _ftbBank_io_read_resp_tailSlot_offset;
+     280             :   wire [19:0] _ftbBank_io_read_resp_tailSlot_lower;
+     281             :   wire [1:0]  _ftbBank_io_read_resp_tailSlot_tarStat;
+     282             :   wire        _ftbBank_io_read_resp_tailSlot_sharing;
+     283             :   wire        _ftbBank_io_read_resp_tailSlot_valid;
+     284             :   wire [3:0]  _ftbBank_io_read_resp_pftAddr;
+     285             :   wire        _ftbBank_io_read_resp_carry;
+     286             :   wire        _ftbBank_io_read_resp_isCall;
+     287             :   wire        _ftbBank_io_read_resp_isRet;
+     288             :   wire        _ftbBank_io_read_resp_isJalr;
+     289             :   wire        _ftbBank_io_read_resp_last_may_be_rvi_call;
+     290             :   wire        _ftbBank_io_read_resp_always_taken_0;
+     291        9933 :   wire        _ftbBank_io_read_resp_always_taken_1;
+     292        9916 :   wire        _ftbBank_io_read_hits_valid;
+     293        9909 :   wire [1:0]  _ftbBank_io_read_hits_bits;
+     294        9952 :   wire        _ftbBank_io_update_hits_valid;
+     295        9396 :   wire [1:0]  _ftbBank_io_update_hits_bits;
+     296        9392 :   wire [35:0] _reset_vector_delay_io_out;
+     297        9346 :   reg  [40:0] s1_pc_dup_0;
+     298        9373 :   reg  [40:0] s1_pc_dup_1;
+     299        9364 :   reg  [40:0] s1_pc_dup_2;
+     300        9352 :   reg  [40:0] s1_pc_dup_3;
+     301        9331 :   reg  [40:0] s2_pc_dup_0;
+     302        9345 :   reg  [40:0] s2_pc_dup_1;
+     303          77 :   reg  [40:0] s2_pc_dup_2;
+     304          91 :   reg  [40:0] s2_pc_dup_3;
+     305          25 :   reg  [40:0] s3_pc_dup_0;
+     306         116 :   reg  [40:0] s3_pc_dup_1;
+     307         349 :   reg  [40:0] s3_pc_dup_2;
+     308          61 :   reg  [40:0] s3_pc_dup_3;
+     309          31 :   reg         REG;
+     310          31 :   reg         REG_1;
+     311         107 :   reg         s2_ftb_entry_dup_0_valid;
+     312         564 :   reg  [3:0]  s2_ftb_entry_dup_0_brSlots_0_offset;
+     313          62 :   reg  [11:0] s2_ftb_entry_dup_0_brSlots_0_lower;
+     314          31 :   reg  [1:0]  s2_ftb_entry_dup_0_brSlots_0_tarStat;
+     315          30 :   reg         s2_ftb_entry_dup_0_brSlots_0_sharing;
+     316         120 :   reg         s2_ftb_entry_dup_0_brSlots_0_valid;
+     317          21 :   reg  [3:0]  s2_ftb_entry_dup_0_tailSlot_offset;
+     318          28 :   reg  [19:0] s2_ftb_entry_dup_0_tailSlot_lower;
+     319          30 :   reg  [1:0]  s2_ftb_entry_dup_0_tailSlot_tarStat;
+     320          32 :   reg         s2_ftb_entry_dup_0_tailSlot_sharing;
+     321          34 :   reg         s2_ftb_entry_dup_0_tailSlot_valid;
+     322          33 :   reg  [3:0]  s2_ftb_entry_dup_0_pftAddr;
+     323          23 :   reg         s2_ftb_entry_dup_0_carry;
+     324         108 :   reg         s2_ftb_entry_dup_0_isCall;
+     325         324 :   reg         s2_ftb_entry_dup_0_isRet;
+     326          58 :   reg         s2_ftb_entry_dup_0_isJalr;
+     327          24 :   reg         s2_ftb_entry_dup_0_last_may_be_rvi_call;
+     328         119 :   reg         s2_ftb_entry_dup_0_always_taken_0;
+     329         561 :   reg         s2_ftb_entry_dup_0_always_taken_1;
+     330          54 :   reg  [3:0]  s2_ftb_entry_dup_1_brSlots_0_offset;
+     331          31 :   reg  [11:0] s2_ftb_entry_dup_1_brSlots_0_lower;
+     332          24 :   reg  [1:0]  s2_ftb_entry_dup_1_brSlots_0_tarStat;
+     333         118 :   reg         s2_ftb_entry_dup_1_brSlots_0_valid;
+     334          27 :   reg  [3:0]  s2_ftb_entry_dup_1_tailSlot_offset;
+     335          27 :   reg  [19:0] s2_ftb_entry_dup_1_tailSlot_lower;
+     336          30 :   reg  [1:0]  s2_ftb_entry_dup_1_tailSlot_tarStat;
+     337         114 :   reg         s2_ftb_entry_dup_1_tailSlot_sharing;
+     338         357 :   reg         s2_ftb_entry_dup_1_tailSlot_valid;
+     339          53 :   reg  [3:0]  s2_ftb_entry_dup_1_pftAddr;
+     340          31 :   reg         s2_ftb_entry_dup_1_carry;
+     341         120 :   reg         s2_ftb_entry_dup_1_always_taken_0;
+     342         574 :   reg         s2_ftb_entry_dup_1_always_taken_1;
+     343          55 :   reg  [3:0]  s2_ftb_entry_dup_2_brSlots_0_offset;
+     344          22 :   reg  [11:0] s2_ftb_entry_dup_2_brSlots_0_lower;
+     345          28 :   reg  [1:0]  s2_ftb_entry_dup_2_brSlots_0_tarStat;
+     346         127 :   reg         s2_ftb_entry_dup_2_brSlots_0_valid;
+     347          33 :   reg  [3:0]  s2_ftb_entry_dup_2_tailSlot_offset;
+     348          30 :   reg  [19:0] s2_ftb_entry_dup_2_tailSlot_lower;
+     349          39 :   reg  [1:0]  s2_ftb_entry_dup_2_tailSlot_tarStat;
+     350          21 :   reg         s2_ftb_entry_dup_2_tailSlot_sharing;
+     351          25 :   reg         s2_ftb_entry_dup_2_tailSlot_valid;
+     352          27 :   reg  [3:0]  s2_ftb_entry_dup_2_pftAddr;
+     353          26 :   reg         s2_ftb_entry_dup_2_carry;
+     354         119 :   reg         s2_ftb_entry_dup_2_isCall;
+     355         367 :   reg         s2_ftb_entry_dup_2_isRet;
+     356          52 :   reg         s2_ftb_entry_dup_2_isJalr;
+     357          27 :   reg         s2_ftb_entry_dup_2_last_may_be_rvi_call;
+     358         105 :   reg         s2_ftb_entry_dup_2_always_taken_0;
+     359         602 :   reg         s2_ftb_entry_dup_2_always_taken_1;
+     360          59 :   reg  [3:0]  s2_ftb_entry_dup_3_brSlots_0_offset;
+     361          31 :   reg  [11:0] s2_ftb_entry_dup_3_brSlots_0_lower;
+     362          30 :   reg  [1:0]  s2_ftb_entry_dup_3_brSlots_0_tarStat;
+     363         120 :   reg         s2_ftb_entry_dup_3_brSlots_0_valid;
+     364          32 :   reg  [3:0]  s2_ftb_entry_dup_3_tailSlot_offset;
+     365          25 :   reg  [19:0] s2_ftb_entry_dup_3_tailSlot_lower;
+     366          32 :   reg  [1:0]  s2_ftb_entry_dup_3_tailSlot_tarStat;
+     367          29 :   reg         s2_ftb_entry_dup_3_tailSlot_sharing;
+     368         126 :   reg         s2_ftb_entry_dup_3_tailSlot_valid;
+     369         409 :   reg  [3:0]  s2_ftb_entry_dup_3_pftAddr;
+     370          65 :   reg         s2_ftb_entry_dup_3_carry;
+     371          32 :   reg         s2_ftb_entry_dup_3_always_taken_0;
+     372          30 :   reg         s2_ftb_entry_dup_3_always_taken_1;
+     373         116 :   reg         s3_ftb_entry_dup_0_valid;
+     374         705 :   reg  [3:0]  s3_ftb_entry_dup_0_brSlots_0_offset;
+     375          65 :   reg  [11:0] s3_ftb_entry_dup_0_brSlots_0_lower;
+     376          37 :   reg  [1:0]  s3_ftb_entry_dup_0_brSlots_0_tarStat;
+     377          33 :   reg         s3_ftb_entry_dup_0_brSlots_0_sharing;
+     378         142 :   reg         s3_ftb_entry_dup_0_brSlots_0_valid;
+     379          35 :   reg  [3:0]  s3_ftb_entry_dup_0_tailSlot_offset;
+     380          34 :   reg  [19:0] s3_ftb_entry_dup_0_tailSlot_lower;
+     381          33 :   reg  [1:0]  s3_ftb_entry_dup_0_tailSlot_tarStat;
+     382          36 :   reg         s3_ftb_entry_dup_0_tailSlot_sharing;
+     383          31 :   reg         s3_ftb_entry_dup_0_tailSlot_valid;
+     384          27 :   reg  [3:0]  s3_ftb_entry_dup_0_pftAddr;
+     385          33 :   reg         s3_ftb_entry_dup_0_carry;
+     386         388 :   reg         s3_ftb_entry_dup_0_isCall;
+     387          64 :   reg         s3_ftb_entry_dup_0_isRet;
+     388          30 :   reg         s3_ftb_entry_dup_0_isJalr;
+     389         632 :   reg         s3_ftb_entry_dup_0_last_may_be_rvi_call;
+     390          63 :   reg         s3_ftb_entry_dup_0_always_taken_0;
+     391          31 :   reg         s3_ftb_entry_dup_0_always_taken_1;
+     392          39 :   reg  [11:0] s3_ftb_entry_dup_1_brSlots_0_lower;
+     393         142 :   reg  [1:0]  s3_ftb_entry_dup_1_brSlots_0_tarStat;
+     394          33 :   reg         s3_ftb_entry_dup_1_brSlots_0_valid;
+     395          26 :   reg  [19:0] s3_ftb_entry_dup_1_tailSlot_lower;
+     396          37 :   reg  [1:0]  s3_ftb_entry_dup_1_tailSlot_tarStat;
+     397         379 :   reg         s3_ftb_entry_dup_1_tailSlot_sharing;
+     398          65 :   reg         s3_ftb_entry_dup_1_tailSlot_valid;
+     399          26 :   reg  [3:0]  s3_ftb_entry_dup_1_pftAddr;
+     400         670 :   reg         s3_ftb_entry_dup_1_carry;
+     401          66 :   reg         s3_ftb_entry_dup_1_always_taken_0;
+     402          24 :   reg         s3_ftb_entry_dup_1_always_taken_1;
+     403          30 :   reg  [11:0] s3_ftb_entry_dup_2_brSlots_0_lower;
+     404         142 :   reg  [1:0]  s3_ftb_entry_dup_2_brSlots_0_tarStat;
+     405          38 :   reg         s3_ftb_entry_dup_2_brSlots_0_valid;
+     406          27 :   reg  [19:0] s3_ftb_entry_dup_2_tailSlot_lower;
+     407          28 :   reg  [1:0]  s3_ftb_entry_dup_2_tailSlot_tarStat;
+     408          38 :   reg         s3_ftb_entry_dup_2_tailSlot_sharing;
+     409          33 :   reg         s3_ftb_entry_dup_2_tailSlot_valid;
+     410          29 :   reg  [3:0]  s3_ftb_entry_dup_2_pftAddr;
+     411         128 :   reg         s3_ftb_entry_dup_2_carry;
+     412         385 :   reg         s3_ftb_entry_dup_2_isCall;
+     413          73 :   reg         s3_ftb_entry_dup_2_isRet;
+     414          41 :   reg         s3_ftb_entry_dup_2_isJalr;
+     415         137 :   reg         s3_ftb_entry_dup_2_always_taken_0;
+     416         624 :   reg         s3_ftb_entry_dup_2_always_taken_1;
+     417          68 :   reg  [3:0]  s3_ftb_entry_dup_3_brSlots_0_offset;
+     418          37 :   reg  [11:0] s3_ftb_entry_dup_3_brSlots_0_lower;
+     419          42 :   reg  [1:0]  s3_ftb_entry_dup_3_brSlots_0_tarStat;
+     420         126 :   reg         s3_ftb_entry_dup_3_brSlots_0_valid;
+     421          34 :   reg  [3:0]  s3_ftb_entry_dup_3_tailSlot_offset;
+     422          34 :   reg  [19:0] s3_ftb_entry_dup_3_tailSlot_lower;
+     423          36 :   reg  [1:0]  s3_ftb_entry_dup_3_tailSlot_tarStat;
+     424          15 :   reg         s3_ftb_entry_dup_3_tailSlot_sharing;
+     425          18 :   reg         s3_ftb_entry_dup_3_tailSlot_valid;
+     426          25 :   reg  [3:0]  s3_ftb_entry_dup_3_pftAddr;
+     427          29 :   reg         s3_ftb_entry_dup_3_carry;
+     428          18 :   reg         s3_ftb_entry_dup_3_always_taken_0;
+     429          24 :   reg         s3_ftb_entry_dup_3_always_taken_1;
+     430          33 :   wire        s1_hit = _ftbBank_io_read_hits_valid & io_ctrl_btb_enable;
+     431          29 :   reg         s2_hit_dup_0;
+     432          27 :   reg         s2_hit_dup_1;
+     433         903 :   reg         s2_hit_dup_2;
+     434         874 :   reg         s2_hit_dup_3;
+     435        1216 :   reg         s3_hit_dup_0;
+     436         935 :   reg         s3_hit_dup_1;
+     437         921 :   reg         s3_hit_dup_2;
+     438        1200 :   reg         s3_hit_dup_3;
+     439             :   reg  [27:0] higher_r;
+     440             :   reg  [27:0] higher_plus_one_r;
+     441             :   reg  [27:0] higher_minus_one_r;
+     442         630 :   reg  [27:0] higher_r_1;
+     443         657 :   reg  [27:0] higher_plus_one_r_1;
+     444         622 :   reg  [27:0] higher_minus_one_r_1;
+     445        1202 :   wire        _target_T_18 = s2_ftb_entry_dup_0_tailSlot_tarStat == 2'h1;
+     446             :   wire        _target_T_19 = s2_ftb_entry_dup_0_tailSlot_tarStat == 2'h2;
+     447             :   wire        _target_T_20 = s2_ftb_entry_dup_0_tailSlot_tarStat == 2'h0;
+     448             :   reg  [19:0] higher_r_2;
+     449             :   reg  [19:0] higher_plus_one_r_2;
+     450             :   reg  [19:0] higher_minus_one_r_2;
+     451             :   wire [40:0] io_out_s2_full_pred_0_targets_1_0 =
+     452             :     {s2_ftb_entry_dup_0_tailSlot_sharing
+     453             :        ? {(_target_T_18 ? higher_plus_one_r_1 : 28'h0)
+     454             :             | (_target_T_19 ? higher_minus_one_r_1 : 28'h0)
+     455             :             | (_target_T_20 ? higher_r_1 : 28'h0),
+     456          28 :           s2_ftb_entry_dup_0_tailSlot_lower[11:0]}
+     457         913 :        : {(_target_T_18 ? higher_plus_one_r_2 : 20'h0)
+     458         913 :             | (_target_T_19 ? higher_minus_one_r_2 : 20'h0)
+     459        1213 :             | (_target_T_20 ? higher_r_2 : 20'h0),
+     460         935 :           s2_ftb_entry_dup_0_tailSlot_lower},
+     461         937 :      1'h0};
+     462        1221 :   reg         io_out_s2_full_pred_0_fallThroughAddr_stashed_carry;
+     463             :   reg  [27:0] higher_r_3;
+     464             :   reg  [27:0] higher_plus_one_r_3;
+     465             :   reg  [27:0] higher_minus_one_r_3;
+     466         638 :   reg  [27:0] higher_r_4;
+     467         617 :   reg  [27:0] higher_plus_one_r_4;
+     468         648 :   reg  [27:0] higher_minus_one_r_4;
+     469        1114 :   wire        _target_T_45 = s2_ftb_entry_dup_1_tailSlot_tarStat == 2'h1;
+     470             :   wire        _target_T_46 = s2_ftb_entry_dup_1_tailSlot_tarStat == 2'h2;
+     471             :   wire        _target_T_47 = s2_ftb_entry_dup_1_tailSlot_tarStat == 2'h0;
+     472             :   reg  [19:0] higher_r_5;
+     473             :   reg  [19:0] higher_plus_one_r_5;
+     474             :   reg  [19:0] higher_minus_one_r_5;
+     475             :   wire [40:0] io_out_s2_full_pred_1_targets_1_0 =
+     476             :     {s2_ftb_entry_dup_1_tailSlot_sharing
+     477             :        ? {(_target_T_45 ? higher_plus_one_r_4 : 28'h0)
+     478             :             | (_target_T_46 ? higher_minus_one_r_4 : 28'h0)
+     479             :             | (_target_T_47 ? higher_r_4 : 28'h0),
+     480          31 :           s2_ftb_entry_dup_1_tailSlot_lower[11:0]}
+     481         916 :        : {(_target_T_45 ? higher_plus_one_r_5 : 20'h0)
+     482         927 :             | (_target_T_46 ? higher_minus_one_r_5 : 20'h0)
+     483        1229 :             | (_target_T_47 ? higher_r_5 : 20'h0),
+     484         906 :           s2_ftb_entry_dup_1_tailSlot_lower},
+     485         940 :      1'h0};
+     486        1237 :   reg         io_out_s2_full_pred_1_fallThroughAddr_stashed_carry;
+     487             :   reg  [27:0] higher_r_6;
+     488             :   reg  [27:0] higher_plus_one_r_6;
+     489             :   reg  [27:0] higher_minus_one_r_6;
+     490         620 :   reg  [27:0] higher_r_7;
+     491         635 :   reg  [27:0] higher_plus_one_r_7;
+     492         632 :   reg  [27:0] higher_minus_one_r_7;
+     493        1198 :   wire        _target_T_72 = s2_ftb_entry_dup_2_tailSlot_tarStat == 2'h1;
+     494             :   wire        _target_T_73 = s2_ftb_entry_dup_2_tailSlot_tarStat == 2'h2;
+     495             :   wire        _target_T_74 = s2_ftb_entry_dup_2_tailSlot_tarStat == 2'h0;
+     496             :   reg  [19:0] higher_r_8;
+     497             :   reg  [19:0] higher_plus_one_r_8;
+     498             :   reg  [19:0] higher_minus_one_r_8;
+     499             :   wire [40:0] io_out_s2_full_pred_2_targets_1_0 =
+     500             :     {s2_ftb_entry_dup_2_tailSlot_sharing
+     501             :        ? {(_target_T_72 ? higher_plus_one_r_7 : 28'h0)
+     502             :             | (_target_T_73 ? higher_minus_one_r_7 : 28'h0)
+     503             :             | (_target_T_74 ? higher_r_7 : 28'h0),
+     504          36 :           s2_ftb_entry_dup_2_tailSlot_lower[11:0]}
+     505         885 :        : {(_target_T_72 ? higher_plus_one_r_8 : 20'h0)
+     506         946 :             | (_target_T_73 ? higher_minus_one_r_8 : 20'h0)
+     507        1185 :             | (_target_T_74 ? higher_r_8 : 20'h0),
+     508         900 :           s2_ftb_entry_dup_2_tailSlot_lower},
+     509         929 :      1'h0};
+     510        1232 :   reg         io_out_s2_full_pred_2_fallThroughAddr_stashed_carry;
+     511             :   reg  [27:0] higher_r_9;
+     512             :   reg  [27:0] higher_plus_one_r_9;
+     513             :   reg  [27:0] higher_minus_one_r_9;
+     514         619 :   reg  [27:0] higher_r_10;
+     515         609 :   reg  [27:0] higher_plus_one_r_10;
+     516         589 :   reg  [27:0] higher_minus_one_r_10;
+     517        1179 :   wire        _target_T_99 = s2_ftb_entry_dup_3_tailSlot_tarStat == 2'h1;
+     518             :   wire        _target_T_100 = s2_ftb_entry_dup_3_tailSlot_tarStat == 2'h2;
+     519             :   wire        _target_T_101 = s2_ftb_entry_dup_3_tailSlot_tarStat == 2'h0;
+     520             :   reg  [19:0] higher_r_11;
+     521             :   reg  [19:0] higher_plus_one_r_11;
+     522             :   reg  [19:0] higher_minus_one_r_11;
+     523             :   wire [40:0] io_out_s2_full_pred_3_targets_1_0 =
+     524             :     {s2_ftb_entry_dup_3_tailSlot_sharing
+     525             :        ? {(_target_T_99 ? higher_plus_one_r_10 : 28'h0)
+     526             :             | (_target_T_100 ? higher_minus_one_r_10 : 28'h0)
+     527             :             | (_target_T_101 ? higher_r_10 : 28'h0),
+     528          33 :           s2_ftb_entry_dup_3_tailSlot_lower[11:0]}
+     529             :        : {(_target_T_99 ? higher_plus_one_r_11 : 20'h0)
+     530          31 :             | (_target_T_100 ? higher_minus_one_r_11 : 20'h0)
+     531         934 :             | (_target_T_101 ? higher_r_11 : 20'h0),
+     532         964 :           s2_ftb_entry_dup_3_tailSlot_lower},
+     533        1245 :      1'h0};
+     534         912 :   wire        io_out_s2_full_pred_3_fallThroughErr_0 =
+     535         975 :     {1'h0, s2_pc_dup_3[4:1]} >= {s2_ftb_entry_dup_3_carry, s2_ftb_entry_dup_3_pftAddr};
+     536        1270 :   reg         io_out_s2_full_pred_3_fallThroughAddr_stashed_carry;
+     537             :   reg  [27:0] higher_r_12;
+     538             :   reg  [27:0] higher_plus_one_r_12;
+     539             :   reg  [27:0] higher_minus_one_r_12;
+     540         633 :   reg  [27:0] higher_r_13;
+     541         652 :   reg  [27:0] higher_plus_one_r_13;
+     542         664 :   reg  [27:0] higher_minus_one_r_13;
+     543        1247 :   wire        _target_T_126 = s3_ftb_entry_dup_0_tailSlot_tarStat == 2'h1;
+     544             :   wire        _target_T_127 = s3_ftb_entry_dup_0_tailSlot_tarStat == 2'h2;
+     545             :   wire        _target_T_128 = s3_ftb_entry_dup_0_tailSlot_tarStat == 2'h0;
+     546             :   reg  [19:0] higher_r_14;
+     547             :   reg  [19:0] higher_plus_one_r_14;
+     548             :   reg  [19:0] higher_minus_one_r_14;
+     549             :   wire [40:0] io_out_s3_full_pred_0_targets_1_0 =
+     550             :     {s3_ftb_entry_dup_0_tailSlot_sharing
+     551             :        ? {(_target_T_126 ? higher_plus_one_r_13 : 28'h0)
+     552             :             | (_target_T_127 ? higher_minus_one_r_13 : 28'h0)
+     553             :             | (_target_T_128 ? higher_r_13 : 28'h0),
+     554          39 :           s3_ftb_entry_dup_0_tailSlot_lower[11:0]}
+     555             :        : {(_target_T_126 ? higher_plus_one_r_14 : 20'h0)
+     556         935 :             | (_target_T_127 ? higher_minus_one_r_14 : 20'h0)
+     557         918 :             | (_target_T_128 ? higher_r_14 : 20'h0),
+     558        1240 :           s3_ftb_entry_dup_0_tailSlot_lower},
+     559         924 :      1'h0};
+     560         937 :   wire        io_out_s3_full_pred_0_fallThroughErr_0 =
+     561        1268 :     {1'h0, s3_pc_dup_0[4:1]} >= {s3_ftb_entry_dup_0_carry, s3_ftb_entry_dup_0_pftAddr};
+     562             :   reg  [27:0] higher_r_15;
+     563             :   reg  [27:0] higher_plus_one_r_15;
+     564             :   reg  [27:0] higher_minus_one_r_15;
+     565         622 :   reg  [27:0] higher_r_16;
+     566         660 :   reg  [27:0] higher_plus_one_r_16;
+     567         670 :   reg  [27:0] higher_minus_one_r_16;
+     568        1289 :   wire        _target_T_153 = s3_ftb_entry_dup_1_tailSlot_tarStat == 2'h1;
+     569             :   wire        _target_T_154 = s3_ftb_entry_dup_1_tailSlot_tarStat == 2'h2;
+     570             :   wire        _target_T_155 = s3_ftb_entry_dup_1_tailSlot_tarStat == 2'h0;
+     571             :   reg  [19:0] higher_r_17;
+     572             :   reg  [19:0] higher_plus_one_r_17;
+     573             :   reg  [19:0] higher_minus_one_r_17;
+     574             :   wire [40:0] io_out_s3_full_pred_1_targets_1_0 =
+     575             :     {s3_ftb_entry_dup_1_tailSlot_sharing
+     576             :        ? {(_target_T_153 ? higher_plus_one_r_16 : 28'h0)
+     577             :             | (_target_T_154 ? higher_minus_one_r_16 : 28'h0)
+     578             :             | (_target_T_155 ? higher_r_16 : 28'h0),
+     579          35 :           s3_ftb_entry_dup_1_tailSlot_lower[11:0]}
+     580             :        : {(_target_T_153 ? higher_plus_one_r_17 : 20'h0)
+     581         941 :             | (_target_T_154 ? higher_minus_one_r_17 : 20'h0)
+     582         943 :             | (_target_T_155 ? higher_r_17 : 20'h0),
+     583        1281 :           s3_ftb_entry_dup_1_tailSlot_lower},
+     584         945 :      1'h0};
+     585         954 :   wire        io_out_s3_full_pred_1_fallThroughErr_0 =
+     586        1233 :     {1'h0, s3_pc_dup_1[4:1]} >= {s3_ftb_entry_dup_1_carry, s3_ftb_entry_dup_1_pftAddr};
+     587             :   reg  [27:0] higher_r_18;
+     588             :   reg  [27:0] higher_plus_one_r_18;
+     589             :   reg  [27:0] higher_minus_one_r_18;
+     590         632 :   reg  [27:0] higher_r_19;
+     591         631 :   reg  [27:0] higher_plus_one_r_19;
+     592         629 :   reg  [27:0] higher_minus_one_r_19;
+     593        1331 :   wire        _target_T_180 = s3_ftb_entry_dup_2_tailSlot_tarStat == 2'h1;
+     594             :   wire        _target_T_181 = s3_ftb_entry_dup_2_tailSlot_tarStat == 2'h2;
+     595             :   wire        _target_T_182 = s3_ftb_entry_dup_2_tailSlot_tarStat == 2'h0;
+     596             :   reg  [19:0] higher_r_20;
+     597             :   reg  [19:0] higher_plus_one_r_20;
+     598             :   reg  [19:0] higher_minus_one_r_20;
+     599             :   wire [40:0] io_out_s3_full_pred_2_targets_1_0 =
+     600             :     {s3_ftb_entry_dup_2_tailSlot_sharing
+     601             :        ? {(_target_T_180 ? higher_plus_one_r_19 : 28'h0)
+     602             :             | (_target_T_181 ? higher_minus_one_r_19 : 28'h0)
+     603             :             | (_target_T_182 ? higher_r_19 : 28'h0),
+     604          35 :           s3_ftb_entry_dup_2_tailSlot_lower[11:0]}
+     605             :        : {(_target_T_180 ? higher_plus_one_r_20 : 20'h0)
+     606         948 :             | (_target_T_181 ? higher_minus_one_r_20 : 20'h0)
+     607         971 :             | (_target_T_182 ? higher_r_20 : 20'h0),
+     608        1271 :           s3_ftb_entry_dup_2_tailSlot_lower},
+     609         950 :      1'h0};
+     610         945 :   wire        io_out_s3_full_pred_2_fallThroughErr_0 =
+     611        1268 :     {1'h0, s3_pc_dup_2[4:1]} >= {s3_ftb_entry_dup_2_carry, s3_ftb_entry_dup_2_pftAddr};
+     612             :   reg  [27:0] higher_r_21;
+     613             :   reg  [27:0] higher_plus_one_r_21;
+     614             :   reg  [27:0] higher_minus_one_r_21;
+     615         678 :   reg  [27:0] higher_r_22;
+     616         644 :   reg  [27:0] higher_plus_one_r_22;
+     617         684 :   reg  [27:0] higher_minus_one_r_22;
+     618        1247 :   wire        _target_T_207 = s3_ftb_entry_dup_3_tailSlot_tarStat == 2'h1;
+     619             :   wire        _target_T_208 = s3_ftb_entry_dup_3_tailSlot_tarStat == 2'h2;
+     620             :   wire        _target_T_209 = s3_ftb_entry_dup_3_tailSlot_tarStat == 2'h0;
+     621             :   reg  [19:0] higher_r_23;
+     622             :   reg  [19:0] higher_plus_one_r_23;
+     623             :   reg  [19:0] higher_minus_one_r_23;
+     624             :   wire [40:0] io_out_s3_full_pred_3_targets_1_0 =
+     625             :     {s3_ftb_entry_dup_3_tailSlot_sharing
+     626             :        ? {(_target_T_207 ? higher_plus_one_r_22 : 28'h0)
+     627             :             | (_target_T_208 ? higher_minus_one_r_22 : 28'h0)
+     628             :             | (_target_T_209 ? higher_r_22 : 28'h0),
+     629          41 :           s3_ftb_entry_dup_3_tailSlot_lower[11:0]}
+     630             :        : {(_target_T_207 ? higher_plus_one_r_23 : 20'h0)
+     631         104 :             | (_target_T_208 ? higher_minus_one_r_23 : 20'h0)
+     632         107 :             | (_target_T_209 ? higher_r_23 : 20'h0),
+     633          57 :           s3_ftb_entry_dup_3_tailSlot_lower},
+     634          27 :      1'h0};
+     635          49 :   wire        io_out_s3_full_pred_3_fallThroughErr_0 =
+     636          62 :     {1'h0, s3_pc_dup_3[4:1]} >= {s3_ftb_entry_dup_3_carry, s3_ftb_entry_dup_3_pftAddr};
+     637             :   reg  [2:0]  io_out_last_stage_meta_r;
+     638             :   reg  [2:0]  io_out_last_stage_meta_r_1;
+     639          55 :   wire        u_valid = io_update_valid & ~io_update_bits_old_entry;
+     640          37 :   wire        update_now = u_valid & io_update_bits_meta[0];
+     641          41 :   wire        update_need_read = u_valid & ~(io_update_bits_meta[0]);
+     642          52 :   reg         io_s1_ready_REG;
+     643         110 :   wire [40:0] _ftbBank_io_update_pc_T =
+     644         117 :     update_now ? io_update_bits_pc : _delay2_pc_pipMod_io_out_bits;
+     645             :   reg  [1:0]  ftbBank_io_update_write_way_REG;
+     646      127694 :   reg         ftbBank_io_update_write_alloc_REG;
+     647         102 :   reg         io_perf_0_value_REG;
+     648          51 :   reg         io_perf_0_value_REG_1;
+     649          51 :   reg         io_perf_1_value_REG;
+     650          51 :   reg         io_perf_1_value_REG_1;
+     651          51 :   wire [40:0] _GEN = {5'h0, _reset_vector_delay_io_out};
+     652             :   always @(posedge clock) begin
+     653       63796 :     if (REG_1) begin
+     654        8350 :       s1_pc_dup_0 <= _GEN;
+     655        4175 :       s1_pc_dup_1 <= _GEN;
+     656        8350 :       s1_pc_dup_2 <= _GEN;
+     657        4175 :       s1_pc_dup_3 <= _GEN;
+     658        8350 :     end
+     659        4175 :     else begin
+     660        8350 :       if (io_s0_fire_0)
+     661        4175 :         s1_pc_dup_0 <= io_in_bits_s0_pc_0;
+     662             :       if (io_s0_fire_1)
+     663        8252 :         s1_pc_dup_1 <= io_in_bits_s0_pc_1;
+     664        4126 :       if (io_s0_fire_2)
+     665        4126 :         s1_pc_dup_2 <= io_in_bits_s0_pc_2;
+     666        4126 :       if (io_s0_fire_3)
+     667        4126 :         s1_pc_dup_3 <= io_in_bits_s0_pc_3;
+     668        4126 :     end
+     669        4126 : 
+     670        4126 :     if (io_s1_fire_0) begin
+     671        4126 :       s2_pc_dup_0 <= s1_pc_dup_0;
+     672        4126 :       s2_ftb_entry_dup_0_valid <= _ftbBank_io_read_resp_valid;
+     673        4126 :       s2_ftb_entry_dup_0_brSlots_0_offset <= _ftbBank_io_read_resp_brSlots_0_offset;
+     674        4126 :       s2_ftb_entry_dup_0_brSlots_0_lower <= _ftbBank_io_read_resp_brSlots_0_lower;
+     675        4126 :       s2_ftb_entry_dup_0_brSlots_0_tarStat <= _ftbBank_io_read_resp_brSlots_0_tarStat;
+     676        4126 :       s2_ftb_entry_dup_0_brSlots_0_sharing <= _ftbBank_io_read_resp_brSlots_0_sharing;
+     677        4126 :       s2_ftb_entry_dup_0_brSlots_0_valid <= _ftbBank_io_read_resp_brSlots_0_valid;
+     678        4126 :       s2_ftb_entry_dup_0_tailSlot_offset <= _ftbBank_io_read_resp_tailSlot_offset;
+     679        4126 :       s2_ftb_entry_dup_0_tailSlot_lower <= _ftbBank_io_read_resp_tailSlot_lower;
+     680        4126 :       s2_ftb_entry_dup_0_tailSlot_tarStat <= _ftbBank_io_read_resp_tailSlot_tarStat;
+     681        4126 :       s2_ftb_entry_dup_0_tailSlot_sharing <= _ftbBank_io_read_resp_tailSlot_sharing;
+     682        4126 :       s2_ftb_entry_dup_0_tailSlot_valid <= _ftbBank_io_read_resp_tailSlot_valid;
+     683        4126 :       s2_ftb_entry_dup_0_pftAddr <= _ftbBank_io_read_resp_pftAddr;
+     684        4126 :       s2_ftb_entry_dup_0_carry <= _ftbBank_io_read_resp_carry;
+     685        4126 :       s2_ftb_entry_dup_0_isCall <= _ftbBank_io_read_resp_isCall;
+     686        4126 :       s2_ftb_entry_dup_0_isRet <= _ftbBank_io_read_resp_isRet;
+     687        4126 :       s2_ftb_entry_dup_0_isJalr <= _ftbBank_io_read_resp_isJalr;
+     688        4126 :       s2_ftb_entry_dup_0_last_may_be_rvi_call <=
+     689        4126 :         _ftbBank_io_read_resp_last_may_be_rvi_call;
+     690        4126 :       s2_ftb_entry_dup_0_always_taken_0 <= _ftbBank_io_read_resp_always_taken_0;
+     691        4126 :       s2_ftb_entry_dup_0_always_taken_1 <= _ftbBank_io_read_resp_always_taken_1;
+     692        4126 :       higher_r <= s1_pc_dup_0[40:13];
+     693        4126 :       higher_plus_one_r <= 28'(s1_pc_dup_0[40:13] + 28'h1);
+     694        4126 :       higher_minus_one_r <= 28'(s1_pc_dup_0[40:13] - 28'h1);
+     695        4126 :       higher_r_1 <= s1_pc_dup_0[40:13];
+     696             :       higher_plus_one_r_1 <= 28'(s1_pc_dup_0[40:13] + 28'h1);
+     697        8252 :       higher_minus_one_r_1 <= 28'(s1_pc_dup_0[40:13] - 28'h1);
+     698        4126 :       higher_r_2 <= s1_pc_dup_0[40:21];
+     699        4126 :       higher_plus_one_r_2 <= 20'(s1_pc_dup_0[40:21] + 20'h1);
+     700        4126 :       higher_minus_one_r_2 <= 20'(s1_pc_dup_0[40:21] - 20'h1);
+     701        4126 :       io_out_s2_full_pred_0_fallThroughAddr_stashed_carry <= _ftbBank_io_read_resp_carry;
+     702        4126 :       io_out_last_stage_meta_r <= {_ftbBank_io_read_hits_bits, s1_hit};
+     703        4126 :     end
+     704        4126 :     if (io_s1_fire_1) begin
+     705        4126 :       s2_pc_dup_1 <= s1_pc_dup_1;
+     706        4126 :       s2_ftb_entry_dup_1_brSlots_0_offset <= _ftbBank_io_read_resp_brSlots_0_offset;
+     707        4126 :       s2_ftb_entry_dup_1_brSlots_0_lower <= _ftbBank_io_read_resp_brSlots_0_lower;
+     708        4126 :       s2_ftb_entry_dup_1_brSlots_0_tarStat <= _ftbBank_io_read_resp_brSlots_0_tarStat;
+     709        4126 :       s2_ftb_entry_dup_1_brSlots_0_valid <= _ftbBank_io_read_resp_brSlots_0_valid;
+     710        4126 :       s2_ftb_entry_dup_1_tailSlot_offset <= _ftbBank_io_read_resp_tailSlot_offset;
+     711        4126 :       s2_ftb_entry_dup_1_tailSlot_lower <= _ftbBank_io_read_resp_tailSlot_lower;
+     712        4126 :       s2_ftb_entry_dup_1_tailSlot_tarStat <= _ftbBank_io_read_resp_tailSlot_tarStat;
+     713        4126 :       s2_ftb_entry_dup_1_tailSlot_sharing <= _ftbBank_io_read_resp_tailSlot_sharing;
+     714        4126 :       s2_ftb_entry_dup_1_tailSlot_valid <= _ftbBank_io_read_resp_tailSlot_valid;
+     715        4126 :       s2_ftb_entry_dup_1_pftAddr <= _ftbBank_io_read_resp_pftAddr;
+     716        4126 :       s2_ftb_entry_dup_1_carry <= _ftbBank_io_read_resp_carry;
+     717        4126 :       s2_ftb_entry_dup_1_always_taken_0 <= _ftbBank_io_read_resp_always_taken_0;
+     718        4126 :       s2_ftb_entry_dup_1_always_taken_1 <= _ftbBank_io_read_resp_always_taken_1;
+     719        4126 :       higher_r_3 <= s1_pc_dup_1[40:13];
+     720        4126 :       higher_plus_one_r_3 <= 28'(s1_pc_dup_1[40:13] + 28'h1);
+     721        4126 :       higher_minus_one_r_3 <= 28'(s1_pc_dup_1[40:13] - 28'h1);
+     722             :       higher_r_4 <= s1_pc_dup_1[40:13];
+     723        8252 :       higher_plus_one_r_4 <= 28'(s1_pc_dup_1[40:13] + 28'h1);
+     724        4126 :       higher_minus_one_r_4 <= 28'(s1_pc_dup_1[40:13] - 28'h1);
+     725        4126 :       higher_r_5 <= s1_pc_dup_1[40:21];
+     726        4126 :       higher_plus_one_r_5 <= 20'(s1_pc_dup_1[40:21] + 20'h1);
+     727        4126 :       higher_minus_one_r_5 <= 20'(s1_pc_dup_1[40:21] - 20'h1);
+     728        4126 :       io_out_s2_full_pred_1_fallThroughAddr_stashed_carry <= _ftbBank_io_read_resp_carry;
+     729        4126 :     end
+     730        4126 :     if (io_s1_fire_2) begin
+     731        4126 :       s2_pc_dup_2 <= s1_pc_dup_2;
+     732        4126 :       s2_ftb_entry_dup_2_brSlots_0_offset <= _ftbBank_io_read_resp_brSlots_0_offset;
+     733        4126 :       s2_ftb_entry_dup_2_brSlots_0_lower <= _ftbBank_io_read_resp_brSlots_0_lower;
+     734        4126 :       s2_ftb_entry_dup_2_brSlots_0_tarStat <= _ftbBank_io_read_resp_brSlots_0_tarStat;
+     735        4126 :       s2_ftb_entry_dup_2_brSlots_0_valid <= _ftbBank_io_read_resp_brSlots_0_valid;
+     736        4126 :       s2_ftb_entry_dup_2_tailSlot_offset <= _ftbBank_io_read_resp_tailSlot_offset;
+     737        4126 :       s2_ftb_entry_dup_2_tailSlot_lower <= _ftbBank_io_read_resp_tailSlot_lower;
+     738        4126 :       s2_ftb_entry_dup_2_tailSlot_tarStat <= _ftbBank_io_read_resp_tailSlot_tarStat;
+     739        4126 :       s2_ftb_entry_dup_2_tailSlot_sharing <= _ftbBank_io_read_resp_tailSlot_sharing;
+     740        4126 :       s2_ftb_entry_dup_2_tailSlot_valid <= _ftbBank_io_read_resp_tailSlot_valid;
+     741        4126 :       s2_ftb_entry_dup_2_pftAddr <= _ftbBank_io_read_resp_pftAddr;
+     742        4126 :       s2_ftb_entry_dup_2_carry <= _ftbBank_io_read_resp_carry;
+     743        4126 :       s2_ftb_entry_dup_2_isCall <= _ftbBank_io_read_resp_isCall;
+     744        4126 :       s2_ftb_entry_dup_2_isRet <= _ftbBank_io_read_resp_isRet;
+     745        4126 :       s2_ftb_entry_dup_2_isJalr <= _ftbBank_io_read_resp_isJalr;
+     746        4126 :       s2_ftb_entry_dup_2_last_may_be_rvi_call <=
+     747        4126 :         _ftbBank_io_read_resp_last_may_be_rvi_call;
+     748        4126 :       s2_ftb_entry_dup_2_always_taken_0 <= _ftbBank_io_read_resp_always_taken_0;
+     749        4126 :       s2_ftb_entry_dup_2_always_taken_1 <= _ftbBank_io_read_resp_always_taken_1;
+     750        4126 :       higher_r_6 <= s1_pc_dup_2[40:13];
+     751        4126 :       higher_plus_one_r_6 <= 28'(s1_pc_dup_2[40:13] + 28'h1);
+     752        4126 :       higher_minus_one_r_6 <= 28'(s1_pc_dup_2[40:13] - 28'h1);
+     753             :       higher_r_7 <= s1_pc_dup_2[40:13];
+     754        8252 :       higher_plus_one_r_7 <= 28'(s1_pc_dup_2[40:13] + 28'h1);
+     755        4126 :       higher_minus_one_r_7 <= 28'(s1_pc_dup_2[40:13] - 28'h1);
+     756        4126 :       higher_r_8 <= s1_pc_dup_2[40:21];
+     757        4126 :       higher_plus_one_r_8 <= 20'(s1_pc_dup_2[40:21] + 20'h1);
+     758        4126 :       higher_minus_one_r_8 <= 20'(s1_pc_dup_2[40:21] - 20'h1);
+     759        4126 :       io_out_s2_full_pred_2_fallThroughAddr_stashed_carry <= _ftbBank_io_read_resp_carry;
+     760        4126 :     end
+     761        4126 :     if (io_s1_fire_3) begin
+     762        4126 :       s2_pc_dup_3 <= s1_pc_dup_3;
+     763        4126 :       s2_ftb_entry_dup_3_brSlots_0_offset <= _ftbBank_io_read_resp_brSlots_0_offset;
+     764        4126 :       s2_ftb_entry_dup_3_brSlots_0_lower <= _ftbBank_io_read_resp_brSlots_0_lower;
+     765        4126 :       s2_ftb_entry_dup_3_brSlots_0_tarStat <= _ftbBank_io_read_resp_brSlots_0_tarStat;
+     766        4126 :       s2_ftb_entry_dup_3_brSlots_0_valid <= _ftbBank_io_read_resp_brSlots_0_valid;
+     767        4126 :       s2_ftb_entry_dup_3_tailSlot_offset <= _ftbBank_io_read_resp_tailSlot_offset;
+     768        4126 :       s2_ftb_entry_dup_3_tailSlot_lower <= _ftbBank_io_read_resp_tailSlot_lower;
+     769        4126 :       s2_ftb_entry_dup_3_tailSlot_tarStat <= _ftbBank_io_read_resp_tailSlot_tarStat;
+     770        4126 :       s2_ftb_entry_dup_3_tailSlot_sharing <= _ftbBank_io_read_resp_tailSlot_sharing;
+     771        4126 :       s2_ftb_entry_dup_3_tailSlot_valid <= _ftbBank_io_read_resp_tailSlot_valid;
+     772        4126 :       s2_ftb_entry_dup_3_pftAddr <= _ftbBank_io_read_resp_pftAddr;
+     773        4126 :       s2_ftb_entry_dup_3_carry <= _ftbBank_io_read_resp_carry;
+     774        4126 :       s2_ftb_entry_dup_3_always_taken_0 <= _ftbBank_io_read_resp_always_taken_0;
+     775        4126 :       s2_ftb_entry_dup_3_always_taken_1 <= _ftbBank_io_read_resp_always_taken_1;
+     776        4126 :       higher_r_9 <= s1_pc_dup_3[40:13];
+     777        4126 :       higher_plus_one_r_9 <= 28'(s1_pc_dup_3[40:13] + 28'h1);
+     778        4126 :       higher_minus_one_r_9 <= 28'(s1_pc_dup_3[40:13] - 28'h1);
+     779             :       higher_r_10 <= s1_pc_dup_3[40:13];
+     780        8150 :       higher_plus_one_r_10 <= 28'(s1_pc_dup_3[40:13] + 28'h1);
+     781        4075 :       higher_minus_one_r_10 <= 28'(s1_pc_dup_3[40:13] - 28'h1);
+     782        4075 :       higher_r_11 <= s1_pc_dup_3[40:21];
+     783        4075 :       higher_plus_one_r_11 <= 20'(s1_pc_dup_3[40:21] + 20'h1);
+     784        4075 :       higher_minus_one_r_11 <= 20'(s1_pc_dup_3[40:21] - 20'h1);
+     785        4075 :       io_out_s2_full_pred_3_fallThroughAddr_stashed_carry <= _ftbBank_io_read_resp_carry;
+     786        4075 :     end
+     787        4075 : 
+     788        4075 :     if (io_s2_fire_0) begin
+     789        4075 :       s3_pc_dup_0 <= s2_pc_dup_0;
+     790        4075 :       s3_ftb_entry_dup_0_valid <= s2_ftb_entry_dup_0_valid;
+     791        4075 :       s3_ftb_entry_dup_0_brSlots_0_offset <= s2_ftb_entry_dup_0_brSlots_0_offset;
+     792        4075 :       s3_ftb_entry_dup_0_brSlots_0_lower <= s2_ftb_entry_dup_0_brSlots_0_lower;
+     793        4075 :       s3_ftb_entry_dup_0_brSlots_0_tarStat <= s2_ftb_entry_dup_0_brSlots_0_tarStat;
+     794        4075 :       s3_ftb_entry_dup_0_brSlots_0_sharing <= s2_ftb_entry_dup_0_brSlots_0_sharing;
+     795        4075 :       s3_ftb_entry_dup_0_brSlots_0_valid <= s2_ftb_entry_dup_0_brSlots_0_valid;
+     796        4075 :       s3_ftb_entry_dup_0_tailSlot_offset <= s2_ftb_entry_dup_0_tailSlot_offset;
+     797        4075 :       s3_ftb_entry_dup_0_tailSlot_lower <= s2_ftb_entry_dup_0_tailSlot_lower;
+     798        4075 :       s3_ftb_entry_dup_0_tailSlot_tarStat <= s2_ftb_entry_dup_0_tailSlot_tarStat;
+     799        4075 :       s3_ftb_entry_dup_0_tailSlot_sharing <= s2_ftb_entry_dup_0_tailSlot_sharing;
+     800        4075 :       s3_ftb_entry_dup_0_tailSlot_valid <= s2_ftb_entry_dup_0_tailSlot_valid;
+     801        4075 :       s3_ftb_entry_dup_0_pftAddr <= s2_ftb_entry_dup_0_pftAddr;
+     802        4075 :       s3_ftb_entry_dup_0_carry <= s2_ftb_entry_dup_0_carry;
+     803        4075 :       s3_ftb_entry_dup_0_isCall <= s2_ftb_entry_dup_0_isCall;
+     804        4075 :       s3_ftb_entry_dup_0_isRet <= s2_ftb_entry_dup_0_isRet;
+     805        4075 :       s3_ftb_entry_dup_0_isJalr <= s2_ftb_entry_dup_0_isJalr;
+     806        4075 :       s3_ftb_entry_dup_0_last_may_be_rvi_call <= s2_ftb_entry_dup_0_last_may_be_rvi_call;
+     807        4075 :       s3_ftb_entry_dup_0_always_taken_0 <= s2_ftb_entry_dup_0_always_taken_0;
+     808        4075 :       s3_ftb_entry_dup_0_always_taken_1 <= s2_ftb_entry_dup_0_always_taken_1;
+     809        4075 :       higher_r_12 <= s2_pc_dup_0[40:13];
+     810        4075 :       higher_plus_one_r_12 <= 28'(s2_pc_dup_0[40:13] + 28'h1);
+     811             :       higher_minus_one_r_12 <= 28'(s2_pc_dup_0[40:13] - 28'h1);
+     812        8150 :       higher_r_13 <= s2_pc_dup_0[40:13];
+     813        4075 :       higher_plus_one_r_13 <= 28'(s2_pc_dup_0[40:13] + 28'h1);
+     814        4075 :       higher_minus_one_r_13 <= 28'(s2_pc_dup_0[40:13] - 28'h1);
+     815        4075 :       higher_r_14 <= s2_pc_dup_0[40:21];
+     816        4075 :       higher_plus_one_r_14 <= 20'(s2_pc_dup_0[40:21] + 20'h1);
+     817        4075 :       higher_minus_one_r_14 <= 20'(s2_pc_dup_0[40:21] - 20'h1);
+     818        4075 :       io_out_last_stage_meta_r_1 <= io_out_last_stage_meta_r;
+     819        4075 :     end
+     820        4075 :     if (io_s2_fire_1) begin
+     821        4075 :       s3_pc_dup_1 <= s2_pc_dup_1;
+     822        4075 :       s3_ftb_entry_dup_1_brSlots_0_lower <= s2_ftb_entry_dup_1_brSlots_0_lower;
+     823        4075 :       s3_ftb_entry_dup_1_brSlots_0_tarStat <= s2_ftb_entry_dup_1_brSlots_0_tarStat;
+     824        4075 :       s3_ftb_entry_dup_1_brSlots_0_valid <= s2_ftb_entry_dup_1_brSlots_0_valid;
+     825        4075 :       s3_ftb_entry_dup_1_tailSlot_lower <= s2_ftb_entry_dup_1_tailSlot_lower;
+     826        4075 :       s3_ftb_entry_dup_1_tailSlot_tarStat <= s2_ftb_entry_dup_1_tailSlot_tarStat;
+     827        4075 :       s3_ftb_entry_dup_1_tailSlot_sharing <= s2_ftb_entry_dup_1_tailSlot_sharing;
+     828        4075 :       s3_ftb_entry_dup_1_tailSlot_valid <= s2_ftb_entry_dup_1_tailSlot_valid;
+     829        4075 :       s3_ftb_entry_dup_1_pftAddr <= s2_ftb_entry_dup_1_pftAddr;
+     830        4075 :       s3_ftb_entry_dup_1_carry <= s2_ftb_entry_dup_1_carry;
+     831        4075 :       s3_ftb_entry_dup_1_always_taken_0 <= s2_ftb_entry_dup_1_always_taken_0;
+     832        4075 :       s3_ftb_entry_dup_1_always_taken_1 <= s2_ftb_entry_dup_1_always_taken_1;
+     833        4075 :       higher_r_15 <= s2_pc_dup_1[40:13];
+     834             :       higher_plus_one_r_15 <= 28'(s2_pc_dup_1[40:13] + 28'h1);
+     835        8150 :       higher_minus_one_r_15 <= 28'(s2_pc_dup_1[40:13] - 28'h1);
+     836        4075 :       higher_r_16 <= s2_pc_dup_1[40:13];
+     837        4075 :       higher_plus_one_r_16 <= 28'(s2_pc_dup_1[40:13] + 28'h1);
+     838        4075 :       higher_minus_one_r_16 <= 28'(s2_pc_dup_1[40:13] - 28'h1);
+     839        4075 :       higher_r_17 <= s2_pc_dup_1[40:21];
+     840        4075 :       higher_plus_one_r_17 <= 20'(s2_pc_dup_1[40:21] + 20'h1);
+     841        4075 :       higher_minus_one_r_17 <= 20'(s2_pc_dup_1[40:21] - 20'h1);
+     842        4075 :     end
+     843        4075 :     if (io_s2_fire_2) begin
+     844        4075 :       s3_pc_dup_2 <= s2_pc_dup_2;
+     845        4075 :       s3_ftb_entry_dup_2_brSlots_0_lower <= s2_ftb_entry_dup_2_brSlots_0_lower;
+     846        4075 :       s3_ftb_entry_dup_2_brSlots_0_tarStat <= s2_ftb_entry_dup_2_brSlots_0_tarStat;
+     847        4075 :       s3_ftb_entry_dup_2_brSlots_0_valid <= s2_ftb_entry_dup_2_brSlots_0_valid;
+     848        4075 :       s3_ftb_entry_dup_2_tailSlot_lower <= s2_ftb_entry_dup_2_tailSlot_lower;
+     849        4075 :       s3_ftb_entry_dup_2_tailSlot_tarStat <= s2_ftb_entry_dup_2_tailSlot_tarStat;
+     850        4075 :       s3_ftb_entry_dup_2_tailSlot_sharing <= s2_ftb_entry_dup_2_tailSlot_sharing;
+     851        4075 :       s3_ftb_entry_dup_2_tailSlot_valid <= s2_ftb_entry_dup_2_tailSlot_valid;
+     852        4075 :       s3_ftb_entry_dup_2_pftAddr <= s2_ftb_entry_dup_2_pftAddr;
+     853        4075 :       s3_ftb_entry_dup_2_carry <= s2_ftb_entry_dup_2_carry;
+     854        4075 :       s3_ftb_entry_dup_2_isCall <= s2_ftb_entry_dup_2_isCall;
+     855        4075 :       s3_ftb_entry_dup_2_isRet <= s2_ftb_entry_dup_2_isRet;
+     856        4075 :       s3_ftb_entry_dup_2_isJalr <= s2_ftb_entry_dup_2_isJalr;
+     857        4075 :       s3_ftb_entry_dup_2_always_taken_0 <= s2_ftb_entry_dup_2_always_taken_0;
+     858        4075 :       s3_ftb_entry_dup_2_always_taken_1 <= s2_ftb_entry_dup_2_always_taken_1;
+     859        4075 :       higher_r_18 <= s2_pc_dup_2[40:13];
+     860             :       higher_plus_one_r_18 <= 28'(s2_pc_dup_2[40:13] + 28'h1);
+     861        8150 :       higher_minus_one_r_18 <= 28'(s2_pc_dup_2[40:13] - 28'h1);
+     862        4075 :       higher_r_19 <= s2_pc_dup_2[40:13];
+     863        4075 :       higher_plus_one_r_19 <= 28'(s2_pc_dup_2[40:13] + 28'h1);
+     864        4075 :       higher_minus_one_r_19 <= 28'(s2_pc_dup_2[40:13] - 28'h1);
+     865        4075 :       higher_r_20 <= s2_pc_dup_2[40:21];
+     866        4075 :       higher_plus_one_r_20 <= 20'(s2_pc_dup_2[40:21] + 20'h1);
+     867        4075 :       higher_minus_one_r_20 <= 20'(s2_pc_dup_2[40:21] - 20'h1);
+     868        4075 :     end
+     869        4075 :     if (io_s2_fire_3) begin
+     870        4075 :       s3_pc_dup_3 <= s2_pc_dup_3;
+     871        4075 :       s3_ftb_entry_dup_3_brSlots_0_offset <= s2_ftb_entry_dup_3_brSlots_0_offset;
+     872        4075 :       s3_ftb_entry_dup_3_brSlots_0_lower <= s2_ftb_entry_dup_3_brSlots_0_lower;
+     873        4075 :       s3_ftb_entry_dup_3_brSlots_0_tarStat <= s2_ftb_entry_dup_3_brSlots_0_tarStat;
+     874        4075 :       s3_ftb_entry_dup_3_brSlots_0_valid <= s2_ftb_entry_dup_3_brSlots_0_valid;
+     875        4075 :       s3_ftb_entry_dup_3_tailSlot_offset <= s2_ftb_entry_dup_3_tailSlot_offset;
+     876        4075 :       s3_ftb_entry_dup_3_tailSlot_lower <= s2_ftb_entry_dup_3_tailSlot_lower;
+     877        4075 :       s3_ftb_entry_dup_3_tailSlot_tarStat <= s2_ftb_entry_dup_3_tailSlot_tarStat;
+     878        4075 :       s3_ftb_entry_dup_3_tailSlot_sharing <= s2_ftb_entry_dup_3_tailSlot_sharing;
+     879        4075 :       s3_ftb_entry_dup_3_tailSlot_valid <= s2_ftb_entry_dup_3_tailSlot_valid;
+     880        4075 :       s3_ftb_entry_dup_3_pftAddr <= s2_ftb_entry_dup_3_pftAddr;
+     881        4075 :       s3_ftb_entry_dup_3_carry <= s2_ftb_entry_dup_3_carry;
+     882        4075 :       s3_ftb_entry_dup_3_always_taken_0 <= s2_ftb_entry_dup_3_always_taken_0;
+     883        4075 :       s3_ftb_entry_dup_3_always_taken_1 <= s2_ftb_entry_dup_3_always_taken_1;
+     884        4075 :       higher_r_21 <= s2_pc_dup_3[40:13];
+     885             :       higher_plus_one_r_21 <= 28'(s2_pc_dup_3[40:13] + 28'h1);
+     886       63847 :       higher_minus_one_r_21 <= 28'(s2_pc_dup_3[40:13] - 28'h1);
+     887       63847 :       higher_r_22 <= s2_pc_dup_3[40:13];
+     888       63847 :       higher_plus_one_r_22 <= 28'(s2_pc_dup_3[40:13] + 28'h1);
+     889       63847 :       higher_minus_one_r_22 <= 28'(s2_pc_dup_3[40:13] - 28'h1);
+     890       63847 :       higher_r_23 <= s2_pc_dup_3[40:21];
+     891       63847 :       higher_plus_one_r_23 <= 20'(s2_pc_dup_3[40:21] + 20'h1);
+     892       63847 :       higher_minus_one_r_23 <= 20'(s2_pc_dup_3[40:21] - 20'h1);
+     893       63847 :     end
+     894       63847 : 
+     895             :     REG <= reset;
+     896      127730 :     REG_1 <= REG & ~reset;       //for get the pulse 
+     897         272 : 
+     898         136 :     io_s1_ready_REG <= update_need_read;
+     899         136 :     ftbBank_io_update_write_way_REG <= _ftbBank_io_update_hits_bits;
+     900         136 :     ftbBank_io_update_write_alloc_REG <= ~_ftbBank_io_update_hits_valid;
+     901         136 :     io_perf_0_value_REG <= io_update_valid & io_update_bits_meta[0];
+     902         136 :     io_perf_0_value_REG_1 <= io_perf_0_value_REG;
+     903         136 :     io_perf_1_value_REG <= io_update_valid & ~(io_update_bits_meta[0]);
+     904         136 :     io_perf_1_value_REG_1 <= io_perf_1_value_REG;
+     905         136 :   end // always @(posedge)
+     906             :   always @(posedge clock or posedge reset) begin
+     907       63729 :     if (reset) begin
+     908        8252 :       s2_hit_dup_0 <= 1'h0;
+     909        4126 :       s2_hit_dup_1 <= 1'h0;
+     910        8252 :       s2_hit_dup_2 <= 1'h0;
+     911        4126 :       s2_hit_dup_3 <= 1'h0;
+     912        8252 :       s3_hit_dup_0 <= 1'h0;
+     913        4126 :       s3_hit_dup_1 <= 1'h0;
+     914        8252 :       s3_hit_dup_2 <= 1'h0;
+     915        4126 :       s3_hit_dup_3 <= 1'h0;
+     916        8150 :     end
+     917        4075 :     else begin
+     918        8150 :       if (io_s1_fire_0)
+     919        4075 :         s2_hit_dup_0 <= s1_hit;
+     920        8150 :       if (io_s1_fire_1)
+     921        4075 :         s2_hit_dup_1 <= s1_hit;
+     922        8150 :       if (io_s1_fire_2)
+     923        4075 :         s2_hit_dup_2 <= s1_hit;
+     924             :       if (io_s1_fire_3)
+     925             :         s2_hit_dup_3 <= s1_hit;
+     926             :       if (io_s2_fire_0)
+     927             :         s3_hit_dup_0 <= s2_hit_dup_0;
+     928             :       if (io_s2_fire_1)
+     929             :         s3_hit_dup_1 <= s2_hit_dup_1;
+     930             :       if (io_s2_fire_2)
+     931          58 :         s3_hit_dup_2 <= s2_hit_dup_2;
+     932             :       if (io_s2_fire_3)
+     933             :         s3_hit_dup_3 <= s2_hit_dup_3;
+     934             :     end
+     935             :   end // always @(posedge, posedge)
+     936             :   `ifdef ENABLE_INITIAL_REG_
+     937             :     `ifdef FIRRTL_BEFORE_INITIAL
+     938             :       `FIRRTL_BEFORE_INITIAL
+     939             :     `endif // FIRRTL_BEFORE_INITIAL
+     940             :     logic [31:0] _RANDOM[0:90];
+     941             :     initial begin
+     942             :       `ifdef INIT_RANDOM_PROLOG_
+     943             :         `INIT_RANDOM_PROLOG_
+     944             :       `endif // INIT_RANDOM_PROLOG_
+     945             :       `ifdef RANDOMIZE_REG_INIT
+     946             :         for (logic [6:0] i = 7'h0; i < 7'h5B; i += 7'h1) begin
+     947             :           _RANDOM[i] = `RANDOM;
+     948             :         end
+     949             :         s1_pc_dup_0 = {_RANDOM[7'h0], _RANDOM[7'h1][8:0]};
+     950             :         s1_pc_dup_1 = {_RANDOM[7'h1][31:9], _RANDOM[7'h2][17:0]};
+     951             :         s1_pc_dup_2 = {_RANDOM[7'h2][31:18], _RANDOM[7'h3][26:0]};
+     952             :         s1_pc_dup_3 = {_RANDOM[7'h3][31:27], _RANDOM[7'h4], _RANDOM[7'h5][3:0]};
+     953             :         s2_pc_dup_0 = {_RANDOM[7'h5][31:4], _RANDOM[7'h6][12:0]};
+     954             :         s2_pc_dup_1 = {_RANDOM[7'h6][31:13], _RANDOM[7'h7][21:0]};
+     955             :         s2_pc_dup_2 = {_RANDOM[7'h7][31:22], _RANDOM[7'h8][30:0]};
+     956             :         s2_pc_dup_3 = {_RANDOM[7'h8][31], _RANDOM[7'h9], _RANDOM[7'hA][7:0]};
+     957             :         s3_pc_dup_0 = {_RANDOM[7'hA][31:8], _RANDOM[7'hB][16:0]};
+     958             :         s3_pc_dup_1 = {_RANDOM[7'hB][31:17], _RANDOM[7'hC][25:0]};
+     959             :         s3_pc_dup_2 = {_RANDOM[7'hC][31:26], _RANDOM[7'hD], _RANDOM[7'hE][2:0]};
+     960             :         s3_pc_dup_3 = {_RANDOM[7'hE][31:3], _RANDOM[7'hF][11:0]};
+     961             :         REG = _RANDOM[7'hF][12];
+     962             :         REG_1 = _RANDOM[7'hF][13];
+     963             :         s2_ftb_entry_dup_0_valid = _RANDOM[7'hF][15];
+     964             :         s2_ftb_entry_dup_0_brSlots_0_offset = _RANDOM[7'hF][19:16];
+     965             :         s2_ftb_entry_dup_0_brSlots_0_lower = _RANDOM[7'hF][31:20];
+     966             :         s2_ftb_entry_dup_0_brSlots_0_tarStat = _RANDOM[7'h10][1:0];
+     967             :         s2_ftb_entry_dup_0_brSlots_0_sharing = _RANDOM[7'h10][2];
+     968             :         s2_ftb_entry_dup_0_brSlots_0_valid = _RANDOM[7'h10][3];
+     969             :         s2_ftb_entry_dup_0_tailSlot_offset = _RANDOM[7'h10][7:4];
+     970             :         s2_ftb_entry_dup_0_tailSlot_lower = _RANDOM[7'h10][27:8];
+     971             :         s2_ftb_entry_dup_0_tailSlot_tarStat = _RANDOM[7'h10][29:28];
+     972             :         s2_ftb_entry_dup_0_tailSlot_sharing = _RANDOM[7'h10][30];
+     973             :         s2_ftb_entry_dup_0_tailSlot_valid = _RANDOM[7'h10][31];
+     974             :         s2_ftb_entry_dup_0_pftAddr = _RANDOM[7'h11][3:0];
+     975             :         s2_ftb_entry_dup_0_carry = _RANDOM[7'h11][4];
+     976             :         s2_ftb_entry_dup_0_isCall = _RANDOM[7'h11][5];
+     977             :         s2_ftb_entry_dup_0_isRet = _RANDOM[7'h11][6];
+     978             :         s2_ftb_entry_dup_0_isJalr = _RANDOM[7'h11][7];
+     979             :         s2_ftb_entry_dup_0_last_may_be_rvi_call = _RANDOM[7'h11][8];
+     980             :         s2_ftb_entry_dup_0_always_taken_0 = _RANDOM[7'h11][9];
+     981             :         s2_ftb_entry_dup_0_always_taken_1 = _RANDOM[7'h11][10];
+     982             :         s2_ftb_entry_dup_1_brSlots_0_offset = _RANDOM[7'h11][15:12];
+     983             :         s2_ftb_entry_dup_1_brSlots_0_lower = _RANDOM[7'h11][27:16];
+     984             :         s2_ftb_entry_dup_1_brSlots_0_tarStat = _RANDOM[7'h11][29:28];
+     985             :         s2_ftb_entry_dup_1_brSlots_0_valid = _RANDOM[7'h11][31];
+     986             :         s2_ftb_entry_dup_1_tailSlot_offset = _RANDOM[7'h12][3:0];
+     987             :         s2_ftb_entry_dup_1_tailSlot_lower = _RANDOM[7'h12][23:4];
+     988             :         s2_ftb_entry_dup_1_tailSlot_tarStat = _RANDOM[7'h12][25:24];
+     989             :         s2_ftb_entry_dup_1_tailSlot_sharing = _RANDOM[7'h12][26];
+     990             :         s2_ftb_entry_dup_1_tailSlot_valid = _RANDOM[7'h12][27];
+     991             :         s2_ftb_entry_dup_1_pftAddr = _RANDOM[7'h12][31:28];
+     992             :         s2_ftb_entry_dup_1_carry = _RANDOM[7'h13][0];
+     993             :         s2_ftb_entry_dup_1_always_taken_0 = _RANDOM[7'h13][5];
+     994             :         s2_ftb_entry_dup_1_always_taken_1 = _RANDOM[7'h13][6];
+     995             :         s2_ftb_entry_dup_2_brSlots_0_offset = _RANDOM[7'h13][11:8];
+     996             :         s2_ftb_entry_dup_2_brSlots_0_lower = _RANDOM[7'h13][23:12];
+     997             :         s2_ftb_entry_dup_2_brSlots_0_tarStat = _RANDOM[7'h13][25:24];
+     998             :         s2_ftb_entry_dup_2_brSlots_0_valid = _RANDOM[7'h13][27];
+     999             :         s2_ftb_entry_dup_2_tailSlot_offset = _RANDOM[7'h13][31:28];
+    1000             :         s2_ftb_entry_dup_2_tailSlot_lower = _RANDOM[7'h14][19:0];
+    1001             :         s2_ftb_entry_dup_2_tailSlot_tarStat = _RANDOM[7'h14][21:20];
+    1002             :         s2_ftb_entry_dup_2_tailSlot_sharing = _RANDOM[7'h14][22];
+    1003             :         s2_ftb_entry_dup_2_tailSlot_valid = _RANDOM[7'h14][23];
+    1004             :         s2_ftb_entry_dup_2_pftAddr = _RANDOM[7'h14][27:24];
+    1005             :         s2_ftb_entry_dup_2_carry = _RANDOM[7'h14][28];
+    1006             :         s2_ftb_entry_dup_2_isCall = _RANDOM[7'h14][29];
+    1007             :         s2_ftb_entry_dup_2_isRet = _RANDOM[7'h14][30];
+    1008             :         s2_ftb_entry_dup_2_isJalr = _RANDOM[7'h14][31];
+    1009             :         s2_ftb_entry_dup_2_last_may_be_rvi_call = _RANDOM[7'h15][0];
+    1010             :         s2_ftb_entry_dup_2_always_taken_0 = _RANDOM[7'h15][1];
+    1011             :         s2_ftb_entry_dup_2_always_taken_1 = _RANDOM[7'h15][2];
+    1012             :         s2_ftb_entry_dup_3_brSlots_0_offset = _RANDOM[7'h15][7:4];
+    1013             :         s2_ftb_entry_dup_3_brSlots_0_lower = _RANDOM[7'h15][19:8];
+    1014             :         s2_ftb_entry_dup_3_brSlots_0_tarStat = _RANDOM[7'h15][21:20];
+    1015             :         s2_ftb_entry_dup_3_brSlots_0_valid = _RANDOM[7'h15][23];
+    1016             :         s2_ftb_entry_dup_3_tailSlot_offset = _RANDOM[7'h15][27:24];
+    1017             :         s2_ftb_entry_dup_3_tailSlot_lower = {_RANDOM[7'h15][31:28], _RANDOM[7'h16][15:0]};
+    1018             :         s2_ftb_entry_dup_3_tailSlot_tarStat = _RANDOM[7'h16][17:16];
+    1019             :         s2_ftb_entry_dup_3_tailSlot_sharing = _RANDOM[7'h16][18];
+    1020             :         s2_ftb_entry_dup_3_tailSlot_valid = _RANDOM[7'h16][19];
+    1021             :         s2_ftb_entry_dup_3_pftAddr = _RANDOM[7'h16][23:20];
+    1022             :         s2_ftb_entry_dup_3_carry = _RANDOM[7'h16][24];
+    1023             :         s2_ftb_entry_dup_3_always_taken_0 = _RANDOM[7'h16][29];
+    1024             :         s2_ftb_entry_dup_3_always_taken_1 = _RANDOM[7'h16][30];
+    1025             :         s3_ftb_entry_dup_0_valid = _RANDOM[7'h16][31];
+    1026             :         s3_ftb_entry_dup_0_brSlots_0_offset = _RANDOM[7'h17][3:0];
+    1027             :         s3_ftb_entry_dup_0_brSlots_0_lower = _RANDOM[7'h17][15:4];
+    1028             :         s3_ftb_entry_dup_0_brSlots_0_tarStat = _RANDOM[7'h17][17:16];
+    1029             :         s3_ftb_entry_dup_0_brSlots_0_sharing = _RANDOM[7'h17][18];
+    1030             :         s3_ftb_entry_dup_0_brSlots_0_valid = _RANDOM[7'h17][19];
+    1031             :         s3_ftb_entry_dup_0_tailSlot_offset = _RANDOM[7'h17][23:20];
+    1032             :         s3_ftb_entry_dup_0_tailSlot_lower = {_RANDOM[7'h17][31:24], _RANDOM[7'h18][11:0]};
+    1033             :         s3_ftb_entry_dup_0_tailSlot_tarStat = _RANDOM[7'h18][13:12];
+    1034             :         s3_ftb_entry_dup_0_tailSlot_sharing = _RANDOM[7'h18][14];
+    1035             :         s3_ftb_entry_dup_0_tailSlot_valid = _RANDOM[7'h18][15];
+    1036             :         s3_ftb_entry_dup_0_pftAddr = _RANDOM[7'h18][19:16];
+    1037             :         s3_ftb_entry_dup_0_carry = _RANDOM[7'h18][20];
+    1038             :         s3_ftb_entry_dup_0_isCall = _RANDOM[7'h18][21];
+    1039             :         s3_ftb_entry_dup_0_isRet = _RANDOM[7'h18][22];
+    1040             :         s3_ftb_entry_dup_0_isJalr = _RANDOM[7'h18][23];
+    1041             :         s3_ftb_entry_dup_0_last_may_be_rvi_call = _RANDOM[7'h18][24];
+    1042             :         s3_ftb_entry_dup_0_always_taken_0 = _RANDOM[7'h18][25];
+    1043             :         s3_ftb_entry_dup_0_always_taken_1 = _RANDOM[7'h18][26];
+    1044             :         s3_ftb_entry_dup_1_brSlots_0_lower = _RANDOM[7'h19][11:0];
+    1045             :         s3_ftb_entry_dup_1_brSlots_0_tarStat = _RANDOM[7'h19][13:12];
+    1046             :         s3_ftb_entry_dup_1_brSlots_0_valid = _RANDOM[7'h19][15];
+    1047             :         s3_ftb_entry_dup_1_tailSlot_lower = {_RANDOM[7'h19][31:20], _RANDOM[7'h1A][7:0]};
+    1048             :         s3_ftb_entry_dup_1_tailSlot_tarStat = _RANDOM[7'h1A][9:8];
+    1049             :         s3_ftb_entry_dup_1_tailSlot_sharing = _RANDOM[7'h1A][10];
+    1050             :         s3_ftb_entry_dup_1_tailSlot_valid = _RANDOM[7'h1A][11];
+    1051             :         s3_ftb_entry_dup_1_pftAddr = _RANDOM[7'h1A][15:12];
+    1052             :         s3_ftb_entry_dup_1_carry = _RANDOM[7'h1A][16];
+    1053             :         s3_ftb_entry_dup_1_always_taken_0 = _RANDOM[7'h1A][21];
+    1054             :         s3_ftb_entry_dup_1_always_taken_1 = _RANDOM[7'h1A][22];
+    1055             :         s3_ftb_entry_dup_2_brSlots_0_lower = {_RANDOM[7'h1A][31:28], _RANDOM[7'h1B][7:0]};
+    1056             :         s3_ftb_entry_dup_2_brSlots_0_tarStat = _RANDOM[7'h1B][9:8];
+    1057             :         s3_ftb_entry_dup_2_brSlots_0_valid = _RANDOM[7'h1B][11];
+    1058             :         s3_ftb_entry_dup_2_tailSlot_lower = {_RANDOM[7'h1B][31:16], _RANDOM[7'h1C][3:0]};
+    1059             :         s3_ftb_entry_dup_2_tailSlot_tarStat = _RANDOM[7'h1C][5:4];
+    1060             :         s3_ftb_entry_dup_2_tailSlot_sharing = _RANDOM[7'h1C][6];
+    1061             :         s3_ftb_entry_dup_2_tailSlot_valid = _RANDOM[7'h1C][7];
+    1062             :         s3_ftb_entry_dup_2_pftAddr = _RANDOM[7'h1C][11:8];
+    1063             :         s3_ftb_entry_dup_2_carry = _RANDOM[7'h1C][12];
+    1064             :         s3_ftb_entry_dup_2_isCall = _RANDOM[7'h1C][13];
+    1065             :         s3_ftb_entry_dup_2_isRet = _RANDOM[7'h1C][14];
+    1066             :         s3_ftb_entry_dup_2_isJalr = _RANDOM[7'h1C][15];
+    1067             :         s3_ftb_entry_dup_2_always_taken_0 = _RANDOM[7'h1C][17];
+    1068             :         s3_ftb_entry_dup_2_always_taken_1 = _RANDOM[7'h1C][18];
+    1069             :         s3_ftb_entry_dup_3_brSlots_0_offset = _RANDOM[7'h1C][23:20];
+    1070             :         s3_ftb_entry_dup_3_brSlots_0_lower = {_RANDOM[7'h1C][31:24], _RANDOM[7'h1D][3:0]};
+    1071             :         s3_ftb_entry_dup_3_brSlots_0_tarStat = _RANDOM[7'h1D][5:4];
+    1072             :         s3_ftb_entry_dup_3_brSlots_0_valid = _RANDOM[7'h1D][7];
+    1073             :         s3_ftb_entry_dup_3_tailSlot_offset = _RANDOM[7'h1D][11:8];
+    1074             :         s3_ftb_entry_dup_3_tailSlot_lower = _RANDOM[7'h1D][31:12];
+    1075             :         s3_ftb_entry_dup_3_tailSlot_tarStat = _RANDOM[7'h1E][1:0];
+    1076             :         s3_ftb_entry_dup_3_tailSlot_sharing = _RANDOM[7'h1E][2];
+    1077             :         s3_ftb_entry_dup_3_tailSlot_valid = _RANDOM[7'h1E][3];
+    1078             :         s3_ftb_entry_dup_3_pftAddr = _RANDOM[7'h1E][7:4];
+    1079             :         s3_ftb_entry_dup_3_carry = _RANDOM[7'h1E][8];
+    1080             :         s3_ftb_entry_dup_3_always_taken_0 = _RANDOM[7'h1E][13];
+    1081             :         s3_ftb_entry_dup_3_always_taken_1 = _RANDOM[7'h1E][14];
+    1082             :         s2_hit_dup_0 = _RANDOM[7'h1E][15];
+    1083             :         s2_hit_dup_1 = _RANDOM[7'h1E][16];
+    1084             :         s2_hit_dup_2 = _RANDOM[7'h1E][17];
+    1085             :         s2_hit_dup_3 = _RANDOM[7'h1E][18];
+    1086             :         s3_hit_dup_0 = _RANDOM[7'h1E][19];
+    1087             :         s3_hit_dup_1 = _RANDOM[7'h1E][20];
+    1088             :         s3_hit_dup_2 = _RANDOM[7'h1E][21];
+    1089             :         s3_hit_dup_3 = _RANDOM[7'h1E][22];
+    1090             :         higher_r = {_RANDOM[7'h1E][31:23], _RANDOM[7'h1F][18:0]};
+    1091             :         higher_plus_one_r = {_RANDOM[7'h1F][31:19], _RANDOM[7'h20][14:0]};
+    1092             :         higher_minus_one_r = {_RANDOM[7'h20][31:15], _RANDOM[7'h21][10:0]};
+    1093             :         higher_r_1 = {_RANDOM[7'h21][31:11], _RANDOM[7'h22][6:0]};
+    1094             :         higher_plus_one_r_1 = {_RANDOM[7'h22][31:7], _RANDOM[7'h23][2:0]};
+    1095             :         higher_minus_one_r_1 = _RANDOM[7'h23][30:3];
+    1096             :         higher_r_2 = {_RANDOM[7'h23][31], _RANDOM[7'h24][18:0]};
+    1097             :         higher_plus_one_r_2 = {_RANDOM[7'h24][31:19], _RANDOM[7'h25][6:0]};
+    1098             :         higher_minus_one_r_2 = _RANDOM[7'h25][26:7];
+    1099             :         io_out_s2_full_pred_0_fallThroughAddr_stashed_carry = _RANDOM[7'h25][27];
+    1100             :         higher_r_3 = {_RANDOM[7'h25][31:28], _RANDOM[7'h26][23:0]};
+    1101             :         higher_plus_one_r_3 = {_RANDOM[7'h26][31:24], _RANDOM[7'h27][19:0]};
+    1102             :         higher_minus_one_r_3 = {_RANDOM[7'h27][31:20], _RANDOM[7'h28][15:0]};
+    1103             :         higher_r_4 = {_RANDOM[7'h28][31:16], _RANDOM[7'h29][11:0]};
+    1104             :         higher_plus_one_r_4 = {_RANDOM[7'h29][31:12], _RANDOM[7'h2A][7:0]};
+    1105             :         higher_minus_one_r_4 = {_RANDOM[7'h2A][31:8], _RANDOM[7'h2B][3:0]};
+    1106             :         higher_r_5 = _RANDOM[7'h2B][23:4];
+    1107             :         higher_plus_one_r_5 = {_RANDOM[7'h2B][31:24], _RANDOM[7'h2C][11:0]};
+    1108             :         higher_minus_one_r_5 = _RANDOM[7'h2C][31:12];
+    1109             :         io_out_s2_full_pred_1_fallThroughAddr_stashed_carry = _RANDOM[7'h2D][0];
+    1110             :         higher_r_6 = _RANDOM[7'h2D][28:1];
+    1111             :         higher_plus_one_r_6 = {_RANDOM[7'h2D][31:29], _RANDOM[7'h2E][24:0]};
+    1112             :         higher_minus_one_r_6 = {_RANDOM[7'h2E][31:25], _RANDOM[7'h2F][20:0]};
+    1113             :         higher_r_7 = {_RANDOM[7'h2F][31:21], _RANDOM[7'h30][16:0]};
+    1114             :         higher_plus_one_r_7 = {_RANDOM[7'h30][31:17], _RANDOM[7'h31][12:0]};
+    1115             :         higher_minus_one_r_7 = {_RANDOM[7'h31][31:13], _RANDOM[7'h32][8:0]};
+    1116             :         higher_r_8 = _RANDOM[7'h32][28:9];
+    1117             :         higher_plus_one_r_8 = {_RANDOM[7'h32][31:29], _RANDOM[7'h33][16:0]};
+    1118             :         higher_minus_one_r_8 = {_RANDOM[7'h33][31:17], _RANDOM[7'h34][4:0]};
+    1119             :         io_out_s2_full_pred_2_fallThroughAddr_stashed_carry = _RANDOM[7'h34][5];
+    1120             :         higher_r_9 = {_RANDOM[7'h34][31:6], _RANDOM[7'h35][1:0]};
+    1121             :         higher_plus_one_r_9 = _RANDOM[7'h35][29:2];
+    1122             :         higher_minus_one_r_9 = {_RANDOM[7'h35][31:30], _RANDOM[7'h36][25:0]};
+    1123             :         higher_r_10 = {_RANDOM[7'h36][31:26], _RANDOM[7'h37][21:0]};
+    1124             :         higher_plus_one_r_10 = {_RANDOM[7'h37][31:22], _RANDOM[7'h38][17:0]};
+    1125             :         higher_minus_one_r_10 = {_RANDOM[7'h38][31:18], _RANDOM[7'h39][13:0]};
+    1126             :         higher_r_11 = {_RANDOM[7'h39][31:14], _RANDOM[7'h3A][1:0]};
+    1127             :         higher_plus_one_r_11 = _RANDOM[7'h3A][21:2];
+    1128             :         higher_minus_one_r_11 = {_RANDOM[7'h3A][31:22], _RANDOM[7'h3B][9:0]};
+    1129             :         io_out_s2_full_pred_3_fallThroughAddr_stashed_carry = _RANDOM[7'h3B][10];
+    1130             :         higher_r_12 = {_RANDOM[7'h3B][31:11], _RANDOM[7'h3C][6:0]};
+    1131             :         higher_plus_one_r_12 = {_RANDOM[7'h3C][31:7], _RANDOM[7'h3D][2:0]};
+    1132             :         higher_minus_one_r_12 = _RANDOM[7'h3D][30:3];
+    1133             :         higher_r_13 = {_RANDOM[7'h3D][31], _RANDOM[7'h3E][26:0]};
+    1134             :         higher_plus_one_r_13 = {_RANDOM[7'h3E][31:27], _RANDOM[7'h3F][22:0]};
+    1135             :         higher_minus_one_r_13 = {_RANDOM[7'h3F][31:23], _RANDOM[7'h40][18:0]};
+    1136             :         higher_r_14 = {_RANDOM[7'h40][31:19], _RANDOM[7'h41][6:0]};
+    1137             :         higher_plus_one_r_14 = _RANDOM[7'h41][26:7];
+    1138             :         higher_minus_one_r_14 = {_RANDOM[7'h41][31:27], _RANDOM[7'h42][14:0]};
+    1139             :         higher_r_15 = {_RANDOM[7'h42][31:15], _RANDOM[7'h43][10:0]};
+    1140             :         higher_plus_one_r_15 = {_RANDOM[7'h43][31:11], _RANDOM[7'h44][6:0]};
+    1141             :         higher_minus_one_r_15 = {_RANDOM[7'h44][31:7], _RANDOM[7'h45][2:0]};
+    1142             :         higher_r_16 = _RANDOM[7'h45][30:3];
+    1143             :         higher_plus_one_r_16 = {_RANDOM[7'h45][31], _RANDOM[7'h46][26:0]};
+    1144             :         higher_minus_one_r_16 = {_RANDOM[7'h46][31:27], _RANDOM[7'h47][22:0]};
+    1145             :         higher_r_17 = {_RANDOM[7'h47][31:23], _RANDOM[7'h48][10:0]};
+    1146             :         higher_plus_one_r_17 = _RANDOM[7'h48][30:11];
+    1147             :         higher_minus_one_r_17 = {_RANDOM[7'h48][31], _RANDOM[7'h49][18:0]};
+    1148             :         higher_r_18 = {_RANDOM[7'h49][31:19], _RANDOM[7'h4A][14:0]};
+    1149             :         higher_plus_one_r_18 = {_RANDOM[7'h4A][31:15], _RANDOM[7'h4B][10:0]};
+    1150             :         higher_minus_one_r_18 = {_RANDOM[7'h4B][31:11], _RANDOM[7'h4C][6:0]};
+    1151             :         higher_r_19 = {_RANDOM[7'h4C][31:7], _RANDOM[7'h4D][2:0]};
+    1152             :         higher_plus_one_r_19 = _RANDOM[7'h4D][30:3];
+    1153             :         higher_minus_one_r_19 = {_RANDOM[7'h4D][31], _RANDOM[7'h4E][26:0]};
+    1154             :         higher_r_20 = {_RANDOM[7'h4E][31:27], _RANDOM[7'h4F][14:0]};
+    1155             :         higher_plus_one_r_20 = {_RANDOM[7'h4F][31:15], _RANDOM[7'h50][2:0]};
+    1156             :         higher_minus_one_r_20 = _RANDOM[7'h50][22:3];
+    1157             :         higher_r_21 = {_RANDOM[7'h50][31:23], _RANDOM[7'h51][18:0]};
+    1158             :         higher_plus_one_r_21 = {_RANDOM[7'h51][31:19], _RANDOM[7'h52][14:0]};
+    1159             :         higher_minus_one_r_21 = {_RANDOM[7'h52][31:15], _RANDOM[7'h53][10:0]};
+    1160             :         higher_r_22 = {_RANDOM[7'h53][31:11], _RANDOM[7'h54][6:0]};
+    1161             :         higher_plus_one_r_22 = {_RANDOM[7'h54][31:7], _RANDOM[7'h55][2:0]};
+    1162             :         higher_minus_one_r_22 = _RANDOM[7'h55][30:3];
+    1163             :         higher_r_23 = {_RANDOM[7'h55][31], _RANDOM[7'h56][18:0]};
+    1164             :         higher_plus_one_r_23 = {_RANDOM[7'h56][31:19], _RANDOM[7'h57][6:0]};
+    1165             :         higher_minus_one_r_23 = _RANDOM[7'h57][26:7];
+    1166          17 :         io_out_last_stage_meta_r = _RANDOM[7'h59][29:27];
+    1167          12 :         io_out_last_stage_meta_r_1 = {_RANDOM[7'h59][31:30], _RANDOM[7'h5A][0]};
+    1168          12 :         io_s1_ready_REG = _RANDOM[7'h5A][1];
+    1169          12 :         ftbBank_io_update_write_way_REG = _RANDOM[7'h5A][3:2];
+    1170          12 :         ftbBank_io_update_write_alloc_REG = _RANDOM[7'h5A][4];
+    1171          12 :         io_perf_0_value_REG = _RANDOM[7'h5A][7];
+    1172          12 :         io_perf_0_value_REG_1 = _RANDOM[7'h5A][8];
+    1173          12 :         io_perf_1_value_REG = _RANDOM[7'h5A][9];
+    1174          12 :         io_perf_1_value_REG_1 = _RANDOM[7'h5A][10];
+    1175             :       `endif // RANDOMIZE_REG_INIT
+    1176             :       if (reset) begin
+    1177             :         s2_hit_dup_0 = 1'h0;
+    1178             :         s2_hit_dup_1 = 1'h0;
+    1179             :         s2_hit_dup_2 = 1'h0;
+    1180             :         s2_hit_dup_3 = 1'h0;
+    1181             :         s3_hit_dup_0 = 1'h0;
+    1182             :         s3_hit_dup_1 = 1'h0;
+    1183             :         s3_hit_dup_2 = 1'h0;
+    1184             :         s3_hit_dup_3 = 1'h0;
+    1185             :       end
+    1186             :     end // initial
+    1187             :     `ifdef FIRRTL_AFTER_INITIAL
+    1188             :       `FIRRTL_AFTER_INITIAL
+    1189             :     `endif // FIRRTL_AFTER_INITIAL
+    1190             :   `endif // ENABLE_INITIAL_REG_
+    1191             :   DelayN_2 reset_vector_delay (
+    1192             :     .clock  (clock),
+    1193             :     .io_in  (io_reset_vector),
+    1194             :     .io_out (_reset_vector_delay_io_out)
+    1195             :   );
+    1196             : 
+    1197             : 
+    1198             :   FTBBank ftbBank (
+    1199             :     .clock                                                (clock),
+    1200             :     .reset                                                (reset),
+    1201             :     .io_s1_fire                                           (io_s1_fire_0),
+    1202             :     .io_req_pc_ready                                      (_ftbBank_io_req_pc_ready),
+    1203             :     .io_req_pc_valid                                      (io_s0_fire_0),
+    1204             :     .io_req_pc_bits                                       (io_in_bits_s0_pc_0),
+    1205             :     .io_read_resp_valid                                   (_ftbBank_io_read_resp_valid),
+    1206             :     .io_read_resp_brSlots_0_offset
+    1207             :       (_ftbBank_io_read_resp_brSlots_0_offset),
+    1208             :     .io_read_resp_brSlots_0_lower
+    1209             :       (_ftbBank_io_read_resp_brSlots_0_lower),
+    1210             :     .io_read_resp_brSlots_0_tarStat
+    1211             :       (_ftbBank_io_read_resp_brSlots_0_tarStat),
+    1212             :     .io_read_resp_brSlots_0_sharing
+    1213             :       (_ftbBank_io_read_resp_brSlots_0_sharing),
+    1214             :     .io_read_resp_brSlots_0_valid
+    1215             :       (_ftbBank_io_read_resp_brSlots_0_valid),
+    1216             :     .io_read_resp_tailSlot_offset
+    1217             :       (_ftbBank_io_read_resp_tailSlot_offset),
+    1218             :     .io_read_resp_tailSlot_lower
+    1219             :       (_ftbBank_io_read_resp_tailSlot_lower),
+    1220             :     .io_read_resp_tailSlot_tarStat
+    1221             :       (_ftbBank_io_read_resp_tailSlot_tarStat),
+    1222             :     .io_read_resp_tailSlot_sharing
+    1223             :       (_ftbBank_io_read_resp_tailSlot_sharing),
+    1224             :     .io_read_resp_tailSlot_valid
+    1225             :       (_ftbBank_io_read_resp_tailSlot_valid),
+    1226             :     .io_read_resp_pftAddr                                 (_ftbBank_io_read_resp_pftAddr),
+    1227             :     .io_read_resp_carry                                   (_ftbBank_io_read_resp_carry),
+    1228             :     .io_read_resp_isCall                                  (_ftbBank_io_read_resp_isCall),
+    1229             :     .io_read_resp_isRet                                   (_ftbBank_io_read_resp_isRet),
+    1230             :     .io_read_resp_isJalr                                  (_ftbBank_io_read_resp_isJalr),
+    1231             :     .io_read_resp_last_may_be_rvi_call
+    1232             :       (_ftbBank_io_read_resp_last_may_be_rvi_call),
+    1233             :     .io_read_resp_always_taken_0
+    1234             :       (_ftbBank_io_read_resp_always_taken_0),
+    1235             :     .io_read_resp_always_taken_1
+    1236             :       (_ftbBank_io_read_resp_always_taken_1),
+    1237             :     .io_read_hits_valid                                   (_ftbBank_io_read_hits_valid),
+    1238             :     .io_read_hits_bits                                    (_ftbBank_io_read_hits_bits),
+    1239             :     .io_u_req_pc_valid                                    (update_need_read),
+    1240             :     .io_u_req_pc_bits                                     (io_update_bits_pc),
+    1241             :     .io_update_hits_valid                                 (_ftbBank_io_update_hits_valid),
+    1242             :     .io_update_hits_bits                                  (_ftbBank_io_update_hits_bits),
+    1243             :     .io_update_access
+    1244             :       (u_valid & ~(io_update_bits_meta[0])),
+    1245             :     .io_update_pc                                         (_ftbBank_io_update_pc_T),
+    1246             :     .io_update_write_data_valid
+    1247             :       (update_now | _write_valid_delay_io_out),
+    1248             :     .io_update_write_data_bits_entry_valid
+    1249             :       (update_now
+    1250             :          ? io_update_bits_ftb_entry_valid
+    1251             :          : _delay2_entry_pipMod_io_out_bits_valid),
+    1252             :     .io_update_write_data_bits_entry_brSlots_0_offset
+    1253             :       (update_now
+    1254             :          ? io_update_bits_ftb_entry_brSlots_0_offset
+    1255             :          : _delay2_entry_pipMod_io_out_bits_brSlots_0_offset),
+    1256             :     .io_update_write_data_bits_entry_brSlots_0_lower
+    1257             :       (update_now
+    1258             :          ? io_update_bits_ftb_entry_brSlots_0_lower
+    1259             :          : _delay2_entry_pipMod_io_out_bits_brSlots_0_lower),
+    1260             :     .io_update_write_data_bits_entry_brSlots_0_tarStat
+    1261             :       (update_now
+    1262             :          ? io_update_bits_ftb_entry_brSlots_0_tarStat
+    1263             :          : _delay2_entry_pipMod_io_out_bits_brSlots_0_tarStat),
+    1264             :     .io_update_write_data_bits_entry_brSlots_0_sharing
+    1265             :       (update_now
+    1266             :          ? io_update_bits_ftb_entry_brSlots_0_sharing
+    1267             :          : _delay2_entry_pipMod_io_out_bits_brSlots_0_sharing),
+    1268             :     .io_update_write_data_bits_entry_brSlots_0_valid
+    1269             :       (update_now
+    1270             :          ? io_update_bits_ftb_entry_brSlots_0_valid
+    1271             :          : _delay2_entry_pipMod_io_out_bits_brSlots_0_valid),
+    1272             :     .io_update_write_data_bits_entry_tailSlot_offset
+    1273             :       (update_now
+    1274             :          ? io_update_bits_ftb_entry_tailSlot_offset
+    1275             :          : _delay2_entry_pipMod_io_out_bits_tailSlot_offset),
+    1276             :     .io_update_write_data_bits_entry_tailSlot_lower
+    1277             :       (update_now
+    1278             :          ? io_update_bits_ftb_entry_tailSlot_lower
+    1279             :          : _delay2_entry_pipMod_io_out_bits_tailSlot_lower),
+    1280             :     .io_update_write_data_bits_entry_tailSlot_tarStat
+    1281             :       (update_now
+    1282             :          ? io_update_bits_ftb_entry_tailSlot_tarStat
+    1283             :          : _delay2_entry_pipMod_io_out_bits_tailSlot_tarStat),
+    1284             :     .io_update_write_data_bits_entry_tailSlot_sharing
+    1285             :       (update_now
+    1286             :          ? io_update_bits_ftb_entry_tailSlot_sharing
+    1287             :          : _delay2_entry_pipMod_io_out_bits_tailSlot_sharing),
+    1288             :     .io_update_write_data_bits_entry_tailSlot_valid
+    1289             :       (update_now
+    1290             :          ? io_update_bits_ftb_entry_tailSlot_valid
+    1291             :          : _delay2_entry_pipMod_io_out_bits_tailSlot_valid),
+    1292             :     .io_update_write_data_bits_entry_pftAddr
+    1293             :       (update_now
+    1294             :          ? io_update_bits_ftb_entry_pftAddr
+    1295             :          : _delay2_entry_pipMod_io_out_bits_pftAddr),
+    1296             :     .io_update_write_data_bits_entry_carry
+    1297             :       (update_now
+    1298             :          ? io_update_bits_ftb_entry_carry
+    1299             :          : _delay2_entry_pipMod_io_out_bits_carry),
+    1300             :     .io_update_write_data_bits_entry_isCall
+    1301             :       (update_now
+    1302             :          ? io_update_bits_ftb_entry_isCall
+    1303             :          : _delay2_entry_pipMod_io_out_bits_isCall),
+    1304             :     .io_update_write_data_bits_entry_isRet
+    1305             :       (update_now
+    1306             :          ? io_update_bits_ftb_entry_isRet
+    1307             :          : _delay2_entry_pipMod_io_out_bits_isRet),
+    1308             :     .io_update_write_data_bits_entry_isJalr
+    1309             :       (update_now
+    1310             :          ? io_update_bits_ftb_entry_isJalr
+    1311             :          : _delay2_entry_pipMod_io_out_bits_isJalr),
+    1312             :     .io_update_write_data_bits_entry_last_may_be_rvi_call
+    1313             :       (update_now
+    1314             :          ? io_update_bits_ftb_entry_last_may_be_rvi_call
+    1315             :          : _delay2_entry_pipMod_io_out_bits_last_may_be_rvi_call),
+    1316             :     .io_update_write_data_bits_entry_always_taken_0
+    1317             :       (update_now
+    1318             :          ? io_update_bits_ftb_entry_always_taken_0
+    1319             :          : _delay2_entry_pipMod_io_out_bits_always_taken_0),
+    1320             :     .io_update_write_data_bits_entry_always_taken_1
+    1321             :       (update_now
+    1322             :          ? io_update_bits_ftb_entry_always_taken_1
+    1323             :          : _delay2_entry_pipMod_io_out_bits_always_taken_1),
+    1324             :     .io_update_write_data_bits_tag
+    1325             :       (_ftbBank_io_update_pc_T[29:10]),
+    1326             :     .io_update_write_way
+    1327             :       (update_now ? io_update_bits_meta[2:1] : ftbBank_io_update_write_way_REG),
+    1328             :     .io_update_write_alloc
+    1329             :       (~update_now & ftbBank_io_update_write_alloc_REG)
+    1330             :   );
+    1331             :   DelayNWithValid delay2_pc_pipMod (
+    1332             :     .clock       (clock),
+    1333             :     .reset       (reset),
+    1334             :     .io_in_bits  (io_update_bits_pc),
+    1335             :     .io_in_valid (u_valid),
+    1336             :     .io_out_bits (_delay2_pc_pipMod_io_out_bits)
+    1337             :   );
+    1338             :   DelayNWithValid_1 delay2_entry_pipMod (
+    1339             :     .clock                            (clock),
+    1340             :     .reset                            (reset),
+    1341             :     .io_in_bits_valid                 (io_update_bits_ftb_entry_valid),
+    1342             :     .io_in_bits_brSlots_0_offset      (io_update_bits_ftb_entry_brSlots_0_offset),
+    1343             :     .io_in_bits_brSlots_0_lower       (io_update_bits_ftb_entry_brSlots_0_lower),
+    1344             :     .io_in_bits_brSlots_0_tarStat     (io_update_bits_ftb_entry_brSlots_0_tarStat),
+    1345             :     .io_in_bits_brSlots_0_sharing     (io_update_bits_ftb_entry_brSlots_0_sharing),
+    1346             :     .io_in_bits_brSlots_0_valid       (io_update_bits_ftb_entry_brSlots_0_valid),
+    1347             :     .io_in_bits_tailSlot_offset       (io_update_bits_ftb_entry_tailSlot_offset),
+    1348             :     .io_in_bits_tailSlot_lower        (io_update_bits_ftb_entry_tailSlot_lower),
+    1349             :     .io_in_bits_tailSlot_tarStat      (io_update_bits_ftb_entry_tailSlot_tarStat),
+    1350             :     .io_in_bits_tailSlot_sharing      (io_update_bits_ftb_entry_tailSlot_sharing),
+    1351             :     .io_in_bits_tailSlot_valid        (io_update_bits_ftb_entry_tailSlot_valid),
+    1352             :     .io_in_bits_pftAddr               (io_update_bits_ftb_entry_pftAddr),
+    1353             :     .io_in_bits_carry                 (io_update_bits_ftb_entry_carry),
+    1354             :     .io_in_bits_isCall                (io_update_bits_ftb_entry_isCall),
+    1355             :     .io_in_bits_isRet                 (io_update_bits_ftb_entry_isRet),
+    1356             :     .io_in_bits_isJalr                (io_update_bits_ftb_entry_isJalr),
+    1357             :     .io_in_bits_last_may_be_rvi_call  (io_update_bits_ftb_entry_last_may_be_rvi_call),
+    1358             :     .io_in_bits_always_taken_0        (io_update_bits_ftb_entry_always_taken_0),
+    1359             :     .io_in_bits_always_taken_1        (io_update_bits_ftb_entry_always_taken_1),
+    1360             :     .io_in_valid                      (u_valid),
+    1361             :     .io_out_bits_valid                (_delay2_entry_pipMod_io_out_bits_valid),
+    1362             :     .io_out_bits_brSlots_0_offset     (_delay2_entry_pipMod_io_out_bits_brSlots_0_offset),
+    1363             :     .io_out_bits_brSlots_0_lower      (_delay2_entry_pipMod_io_out_bits_brSlots_0_lower),
+    1364             :     .io_out_bits_brSlots_0_tarStat
+    1365             :       (_delay2_entry_pipMod_io_out_bits_brSlots_0_tarStat),
+    1366             :     .io_out_bits_brSlots_0_sharing
+    1367             :       (_delay2_entry_pipMod_io_out_bits_brSlots_0_sharing),
+    1368             :     .io_out_bits_brSlots_0_valid      (_delay2_entry_pipMod_io_out_bits_brSlots_0_valid),
+    1369             :     .io_out_bits_tailSlot_offset      (_delay2_entry_pipMod_io_out_bits_tailSlot_offset),
+    1370             :     .io_out_bits_tailSlot_lower       (_delay2_entry_pipMod_io_out_bits_tailSlot_lower),
+    1371             :     .io_out_bits_tailSlot_tarStat     (_delay2_entry_pipMod_io_out_bits_tailSlot_tarStat),
+    1372             :     .io_out_bits_tailSlot_sharing     (_delay2_entry_pipMod_io_out_bits_tailSlot_sharing),
+    1373             :     .io_out_bits_tailSlot_valid       (_delay2_entry_pipMod_io_out_bits_tailSlot_valid),
+    1374             :     .io_out_bits_pftAddr              (_delay2_entry_pipMod_io_out_bits_pftAddr),
+    1375             :     .io_out_bits_carry                (_delay2_entry_pipMod_io_out_bits_carry),
+    1376             :     .io_out_bits_isCall               (_delay2_entry_pipMod_io_out_bits_isCall),
+    1377             :     .io_out_bits_isRet                (_delay2_entry_pipMod_io_out_bits_isRet),
+    1378             :     .io_out_bits_isJalr               (_delay2_entry_pipMod_io_out_bits_isJalr),
+    1379             :     .io_out_bits_last_may_be_rvi_call
+    1380             :       (_delay2_entry_pipMod_io_out_bits_last_may_be_rvi_call),
+    1381             :     .io_out_bits_always_taken_0       (_delay2_entry_pipMod_io_out_bits_always_taken_0),
+    1382             :     .io_out_bits_always_taken_1       (_delay2_entry_pipMod_io_out_bits_always_taken_1)
+    1383             :   );
+    1384             :   DelayN_4 write_valid_delay (
+    1385             :     .clock  (clock),
+    1386             :     .io_in  (u_valid & ~(io_update_bits_meta[0])),
+    1387             :     .io_out (_write_valid_delay_io_out)
+    1388             :   );
+    1389             :   assign io_out_s2_full_pred_0_br_taken_mask_0 =
+    1390             :     io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0 | s2_hit_dup_0
+    1391             :     & s2_ftb_entry_dup_0_always_taken_0;
+    1392             :   assign io_out_s2_full_pred_0_br_taken_mask_1 =
+    1393             :     io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1 | s2_hit_dup_0
+    1394             :     & s2_ftb_entry_dup_0_always_taken_1;
+    1395             :   assign io_out_s2_full_pred_0_slot_valids_0 = s2_ftb_entry_dup_0_brSlots_0_valid;
+    1396             :   assign io_out_s2_full_pred_0_slot_valids_1 = s2_ftb_entry_dup_0_tailSlot_valid;
+    1397             :   assign io_out_s2_full_pred_0_targets_0 =
+    1398             :     {(s2_ftb_entry_dup_0_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r : 28'h0)
+    1399             :        | (s2_ftb_entry_dup_0_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r : 28'h0)
+    1400             :        | (s2_ftb_entry_dup_0_brSlots_0_tarStat == 2'h0 ? higher_r : 28'h0),
+    1401             :      s2_ftb_entry_dup_0_brSlots_0_lower,
+    1402             :      1'h0};
+    1403             :   assign io_out_s2_full_pred_0_targets_1 = io_out_s2_full_pred_0_targets_1_0;
+    1404             :   assign io_out_s2_full_pred_0_jalr_target = io_out_s2_full_pred_0_targets_1_0;
+    1405             :   assign io_out_s2_full_pred_0_offsets_0 = s2_ftb_entry_dup_0_brSlots_0_offset;
+    1406             :   assign io_out_s2_full_pred_0_offsets_1 = s2_ftb_entry_dup_0_tailSlot_offset;
+    1407             :   assign io_out_s2_full_pred_0_fallThroughAddr =
+    1408             :     {1'h0, s2_pc_dup_0[4:1]} >= {s2_ftb_entry_dup_0_carry, s2_ftb_entry_dup_0_pftAddr}
+    1409             :       ? 41'(s2_pc_dup_0 + 41'h20)
+    1410             :       : {io_out_s2_full_pred_0_fallThroughAddr_stashed_carry
+    1411             :            ? 36'(s2_pc_dup_0[40:5] + 36'h1)
+    1412             :            : s2_pc_dup_0[40:5],
+    1413             :          s2_ftb_entry_dup_0_pftAddr,
+    1414             :          1'h0};
+    1415             :   assign io_out_s2_full_pred_0_is_br_sharing =
+    1416             :     s2_ftb_entry_dup_0_tailSlot_valid & s2_ftb_entry_dup_0_tailSlot_sharing;
+    1417             :   assign io_out_s2_full_pred_0_hit = s2_hit_dup_0;
+    1418             :   assign io_out_s2_full_pred_1_br_taken_mask_0 =
+    1419             :     io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0 | s2_hit_dup_1
+    1420             :     & s2_ftb_entry_dup_1_always_taken_0;
+    1421             :   assign io_out_s2_full_pred_1_br_taken_mask_1 =
+    1422             :     io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1 | s2_hit_dup_1
+    1423             :     & s2_ftb_entry_dup_1_always_taken_1;
+    1424             :   assign io_out_s2_full_pred_1_slot_valids_0 = s2_ftb_entry_dup_1_brSlots_0_valid;
+    1425             :   assign io_out_s2_full_pred_1_slot_valids_1 = s2_ftb_entry_dup_1_tailSlot_valid;
+    1426             :   assign io_out_s2_full_pred_1_targets_0 =
+    1427             :     {(s2_ftb_entry_dup_1_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r_3 : 28'h0)
+    1428             :        | (s2_ftb_entry_dup_1_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r_3 : 28'h0)
+    1429             :        | (s2_ftb_entry_dup_1_brSlots_0_tarStat == 2'h0 ? higher_r_3 : 28'h0),
+    1430             :      s2_ftb_entry_dup_1_brSlots_0_lower,
+    1431             :      1'h0};
+    1432             :   assign io_out_s2_full_pred_1_targets_1 = io_out_s2_full_pred_1_targets_1_0;
+    1433             :   assign io_out_s2_full_pred_1_jalr_target = io_out_s2_full_pred_1_targets_1_0;
+    1434             :   assign io_out_s2_full_pred_1_offsets_0 = s2_ftb_entry_dup_1_brSlots_0_offset;
+    1435             :   assign io_out_s2_full_pred_1_offsets_1 = s2_ftb_entry_dup_1_tailSlot_offset;
+    1436             :   assign io_out_s2_full_pred_1_fallThroughAddr =
+    1437             :     {1'h0, s2_pc_dup_1[4:1]} >= {s2_ftb_entry_dup_1_carry, s2_ftb_entry_dup_1_pftAddr}
+    1438             :       ? 41'(s2_pc_dup_1 + 41'h20)
+    1439             :       : {io_out_s2_full_pred_1_fallThroughAddr_stashed_carry
+    1440             :            ? 36'(s2_pc_dup_1[40:5] + 36'h1)
+    1441             :            : s2_pc_dup_1[40:5],
+    1442             :          s2_ftb_entry_dup_1_pftAddr,
+    1443             :          1'h0};
+    1444             :   assign io_out_s2_full_pred_1_is_br_sharing =
+    1445             :     s2_ftb_entry_dup_1_tailSlot_valid & s2_ftb_entry_dup_1_tailSlot_sharing;
+    1446             :   assign io_out_s2_full_pred_1_hit = s2_hit_dup_1;
+    1447             :   assign io_out_s2_full_pred_2_br_taken_mask_0 =
+    1448             :     io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0 | s2_hit_dup_2
+    1449             :     & s2_ftb_entry_dup_2_always_taken_0;
+    1450             :   assign io_out_s2_full_pred_2_br_taken_mask_1 =
+    1451             :     io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1 | s2_hit_dup_2
+    1452             :     & s2_ftb_entry_dup_2_always_taken_1;
+    1453             :   assign io_out_s2_full_pred_2_slot_valids_0 = s2_ftb_entry_dup_2_brSlots_0_valid;
+    1454             :   assign io_out_s2_full_pred_2_slot_valids_1 = s2_ftb_entry_dup_2_tailSlot_valid;
+    1455             :   assign io_out_s2_full_pred_2_targets_0 =
+    1456             :     {(s2_ftb_entry_dup_2_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r_6 : 28'h0)
+    1457             :        | (s2_ftb_entry_dup_2_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r_6 : 28'h0)
+    1458             :        | (s2_ftb_entry_dup_2_brSlots_0_tarStat == 2'h0 ? higher_r_6 : 28'h0),
+    1459             :      s2_ftb_entry_dup_2_brSlots_0_lower,
+    1460             :      1'h0};
+    1461             :   assign io_out_s2_full_pred_2_targets_1 = io_out_s2_full_pred_2_targets_1_0;
+    1462             :   assign io_out_s2_full_pred_2_jalr_target = io_out_s2_full_pred_2_targets_1_0;
+    1463             :   assign io_out_s2_full_pred_2_offsets_0 = s2_ftb_entry_dup_2_brSlots_0_offset;
+    1464             :   assign io_out_s2_full_pred_2_offsets_1 = s2_ftb_entry_dup_2_tailSlot_offset;
+    1465             :   assign io_out_s2_full_pred_2_fallThroughAddr =
+    1466             :     {1'h0, s2_pc_dup_2[4:1]} >= {s2_ftb_entry_dup_2_carry, s2_ftb_entry_dup_2_pftAddr}
+    1467             :       ? 41'(s2_pc_dup_2 + 41'h20)
+    1468             :       : {io_out_s2_full_pred_2_fallThroughAddr_stashed_carry
+    1469             :            ? 36'(s2_pc_dup_2[40:5] + 36'h1)
+    1470             :            : s2_pc_dup_2[40:5],
+    1471             :          s2_ftb_entry_dup_2_pftAddr,
+    1472             :          1'h0};
+    1473             :   assign io_out_s2_full_pred_2_is_jalr =
+    1474             :     s2_ftb_entry_dup_2_tailSlot_valid & s2_ftb_entry_dup_2_isJalr;
+    1475             :   assign io_out_s2_full_pred_2_is_call =
+    1476             :     s2_ftb_entry_dup_2_tailSlot_valid & s2_ftb_entry_dup_2_isCall;
+    1477             :   assign io_out_s2_full_pred_2_is_ret =
+    1478             :     s2_ftb_entry_dup_2_tailSlot_valid & s2_ftb_entry_dup_2_isRet;
+    1479             :   assign io_out_s2_full_pred_2_last_may_be_rvi_call =
+    1480             :     s2_ftb_entry_dup_2_last_may_be_rvi_call;
+    1481             :   assign io_out_s2_full_pred_2_is_br_sharing =
+    1482             :     s2_ftb_entry_dup_2_tailSlot_valid & s2_ftb_entry_dup_2_tailSlot_sharing;
+    1483             :   assign io_out_s2_full_pred_2_hit = s2_hit_dup_2;
+    1484             :   assign io_out_s2_full_pred_3_br_taken_mask_0 =
+    1485             :     io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0 | s2_hit_dup_3
+    1486             :     & s2_ftb_entry_dup_3_always_taken_0;
+    1487             :   assign io_out_s2_full_pred_3_br_taken_mask_1 =
+    1488             :     io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1 | s2_hit_dup_3
+    1489             :     & s2_ftb_entry_dup_3_always_taken_1;
+    1490             :   assign io_out_s2_full_pred_3_slot_valids_0 = s2_ftb_entry_dup_3_brSlots_0_valid;
+    1491             :   assign io_out_s2_full_pred_3_slot_valids_1 = s2_ftb_entry_dup_3_tailSlot_valid;
+    1492             :   assign io_out_s2_full_pred_3_targets_0 =
+    1493             :     {(s2_ftb_entry_dup_3_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r_9 : 28'h0)
+    1494             :        | (s2_ftb_entry_dup_3_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r_9 : 28'h0)
+    1495             :        | (s2_ftb_entry_dup_3_brSlots_0_tarStat == 2'h0 ? higher_r_9 : 28'h0),
+    1496             :      s2_ftb_entry_dup_3_brSlots_0_lower,
+    1497             :      1'h0};
+    1498             :   assign io_out_s2_full_pred_3_targets_1 = io_out_s2_full_pred_3_targets_1_0;
+    1499             :   assign io_out_s2_full_pred_3_jalr_target = io_out_s2_full_pred_3_targets_1_0;
+    1500             :   assign io_out_s2_full_pred_3_offsets_0 = s2_ftb_entry_dup_3_brSlots_0_offset;
+    1501             :   assign io_out_s2_full_pred_3_offsets_1 = s2_ftb_entry_dup_3_tailSlot_offset;
+    1502             :   assign io_out_s2_full_pred_3_fallThroughAddr =
+    1503             :     io_out_s2_full_pred_3_fallThroughErr_0
+    1504             :       ? 41'(s2_pc_dup_3 + 41'h20)
+    1505             :       : {io_out_s2_full_pred_3_fallThroughAddr_stashed_carry
+    1506             :            ? 36'(s2_pc_dup_3[40:5] + 36'h1)
+    1507             :            : s2_pc_dup_3[40:5],
+    1508             :          s2_ftb_entry_dup_3_pftAddr,
+    1509             :          1'h0};
+    1510             :   assign io_out_s2_full_pred_3_fallThroughErr = io_out_s2_full_pred_3_fallThroughErr_0;
+    1511             :   assign io_out_s2_full_pred_3_is_br_sharing =
+    1512             :     s2_ftb_entry_dup_3_tailSlot_valid & s2_ftb_entry_dup_3_tailSlot_sharing;
+    1513             :   assign io_out_s2_full_pred_3_hit = s2_hit_dup_3;
+    1514             :   assign io_out_s3_full_pred_0_br_taken_mask_0 =
+    1515             :     io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0 | s3_hit_dup_0
+    1516             :     & s3_ftb_entry_dup_0_always_taken_0;
+    1517             :   assign io_out_s3_full_pred_0_br_taken_mask_1 =
+    1518             :     io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1 | s3_hit_dup_0
+    1519             :     & s3_ftb_entry_dup_0_always_taken_1;
+    1520             :   assign io_out_s3_full_pred_0_slot_valids_0 = s3_ftb_entry_dup_0_brSlots_0_valid;
+    1521             :   assign io_out_s3_full_pred_0_slot_valids_1 = s3_ftb_entry_dup_0_tailSlot_valid;
+    1522             :   assign io_out_s3_full_pred_0_targets_0 =
+    1523             :     {(s3_ftb_entry_dup_0_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r_12 : 28'h0)
+    1524             :        | (s3_ftb_entry_dup_0_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r_12 : 28'h0)
+    1525             :        | (s3_ftb_entry_dup_0_brSlots_0_tarStat == 2'h0 ? higher_r_12 : 28'h0),
+    1526             :      s3_ftb_entry_dup_0_brSlots_0_lower,
+    1527             :      1'h0};
+    1528             :   assign io_out_s3_full_pred_0_targets_1 = io_out_s3_full_pred_0_targets_1_0;
+    1529             :   assign io_out_s3_full_pred_0_jalr_target = io_out_s3_full_pred_0_targets_1_0;
+    1530             :   assign io_out_s3_full_pred_0_fallThroughAddr =
+    1531             :     io_out_s3_full_pred_0_fallThroughErr_0
+    1532             :       ? 41'(s3_pc_dup_0 + 41'h20)
+    1533             :       : {s3_ftb_entry_dup_0_carry ? 36'(s3_pc_dup_0[40:5] + 36'h1) : s3_pc_dup_0[40:5],
+    1534             :          s3_ftb_entry_dup_0_pftAddr,
+    1535             :          1'h0};
+    1536             :   assign io_out_s3_full_pred_0_fallThroughErr = io_out_s3_full_pred_0_fallThroughErr_0;
+    1537             :   assign io_out_s3_full_pred_0_is_br_sharing =
+    1538             :     s3_ftb_entry_dup_0_tailSlot_valid & s3_ftb_entry_dup_0_tailSlot_sharing;
+    1539             :   assign io_out_s3_full_pred_0_hit = s3_hit_dup_0;
+    1540             :   assign io_out_s3_full_pred_1_br_taken_mask_0 =
+    1541             :     io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0 | s3_hit_dup_1
+    1542             :     & s3_ftb_entry_dup_1_always_taken_0;
+    1543             :   assign io_out_s3_full_pred_1_br_taken_mask_1 =
+    1544             :     io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1 | s3_hit_dup_1
+    1545             :     & s3_ftb_entry_dup_1_always_taken_1;
+    1546             :   assign io_out_s3_full_pred_1_slot_valids_0 = s3_ftb_entry_dup_1_brSlots_0_valid;
+    1547             :   assign io_out_s3_full_pred_1_slot_valids_1 = s3_ftb_entry_dup_1_tailSlot_valid;
+    1548             :   assign io_out_s3_full_pred_1_targets_0 =
+    1549             :     {(s3_ftb_entry_dup_1_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r_15 : 28'h0)
+    1550             :        | (s3_ftb_entry_dup_1_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r_15 : 28'h0)
+    1551             :        | (s3_ftb_entry_dup_1_brSlots_0_tarStat == 2'h0 ? higher_r_15 : 28'h0),
+    1552             :      s3_ftb_entry_dup_1_brSlots_0_lower,
+    1553             :      1'h0};
+    1554             :   assign io_out_s3_full_pred_1_targets_1 = io_out_s3_full_pred_1_targets_1_0;
+    1555             :   assign io_out_s3_full_pred_1_jalr_target = io_out_s3_full_pred_1_targets_1_0;
+    1556             :   assign io_out_s3_full_pred_1_fallThroughAddr =
+    1557             :     io_out_s3_full_pred_1_fallThroughErr_0
+    1558             :       ? 41'(s3_pc_dup_1 + 41'h20)
+    1559             :       : {s3_ftb_entry_dup_1_carry ? 36'(s3_pc_dup_1[40:5] + 36'h1) : s3_pc_dup_1[40:5],
+    1560             :          s3_ftb_entry_dup_1_pftAddr,
+    1561             :          1'h0};
+    1562             :   assign io_out_s3_full_pred_1_fallThroughErr = io_out_s3_full_pred_1_fallThroughErr_0;
+    1563             :   assign io_out_s3_full_pred_1_is_br_sharing =
+    1564             :     s3_ftb_entry_dup_1_tailSlot_valid & s3_ftb_entry_dup_1_tailSlot_sharing;
+    1565             :   assign io_out_s3_full_pred_1_hit = s3_hit_dup_1;
+    1566             :   assign io_out_s3_full_pred_2_br_taken_mask_0 =
+    1567             :     io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0 | s3_hit_dup_2
+    1568             :     & s3_ftb_entry_dup_2_always_taken_0;
+    1569             :   assign io_out_s3_full_pred_2_br_taken_mask_1 =
+    1570             :     io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1 | s3_hit_dup_2
+    1571             :     & s3_ftb_entry_dup_2_always_taken_1;
+    1572             :   assign io_out_s3_full_pred_2_slot_valids_0 = s3_ftb_entry_dup_2_brSlots_0_valid;
+    1573             :   assign io_out_s3_full_pred_2_slot_valids_1 = s3_ftb_entry_dup_2_tailSlot_valid;
+    1574             :   assign io_out_s3_full_pred_2_targets_0 =
+    1575             :     {(s3_ftb_entry_dup_2_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r_18 : 28'h0)
+    1576             :        | (s3_ftb_entry_dup_2_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r_18 : 28'h0)
+    1577             :        | (s3_ftb_entry_dup_2_brSlots_0_tarStat == 2'h0 ? higher_r_18 : 28'h0),
+    1578             :      s3_ftb_entry_dup_2_brSlots_0_lower,
+    1579             :      1'h0};
+    1580             :   assign io_out_s3_full_pred_2_targets_1 = io_out_s3_full_pred_2_targets_1_0;
+    1581             :   assign io_out_s3_full_pred_2_jalr_target = io_out_s3_full_pred_2_targets_1_0;
+    1582             :   assign io_out_s3_full_pred_2_fallThroughAddr =
+    1583             :     io_out_s3_full_pred_2_fallThroughErr_0
+    1584             :       ? 41'(s3_pc_dup_2 + 41'h20)
+    1585             :       : {s3_ftb_entry_dup_2_carry ? 36'(s3_pc_dup_2[40:5] + 36'h1) : s3_pc_dup_2[40:5],
+    1586             :          s3_ftb_entry_dup_2_pftAddr,
+    1587             :          1'h0};
+    1588             :   assign io_out_s3_full_pred_2_fallThroughErr = io_out_s3_full_pred_2_fallThroughErr_0;
+    1589             :   assign io_out_s3_full_pred_2_is_jalr =
+    1590             :     s3_ftb_entry_dup_2_tailSlot_valid & s3_ftb_entry_dup_2_isJalr;
+    1591             :   assign io_out_s3_full_pred_2_is_call =
+    1592             :     s3_ftb_entry_dup_2_tailSlot_valid & s3_ftb_entry_dup_2_isCall;
+    1593             :   assign io_out_s3_full_pred_2_is_ret =
+    1594             :     s3_ftb_entry_dup_2_tailSlot_valid & s3_ftb_entry_dup_2_isRet;
+    1595             :   assign io_out_s3_full_pred_2_is_br_sharing =
+    1596             :     s3_ftb_entry_dup_2_tailSlot_valid & s3_ftb_entry_dup_2_tailSlot_sharing;
+    1597             :   assign io_out_s3_full_pred_2_hit = s3_hit_dup_2;
+    1598             :   assign io_out_s3_full_pred_3_br_taken_mask_0 =
+    1599             :     io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0 | s3_hit_dup_3
+    1600             :     & s3_ftb_entry_dup_3_always_taken_0;
+    1601             :   assign io_out_s3_full_pred_3_br_taken_mask_1 =
+    1602             :     io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1 | s3_hit_dup_3
+    1603             :     & s3_ftb_entry_dup_3_always_taken_1;
+    1604             :   assign io_out_s3_full_pred_3_slot_valids_0 = s3_ftb_entry_dup_3_brSlots_0_valid;
+    1605             :   assign io_out_s3_full_pred_3_slot_valids_1 = s3_ftb_entry_dup_3_tailSlot_valid;
+    1606             :   assign io_out_s3_full_pred_3_targets_0 =
+    1607             :     {(s3_ftb_entry_dup_3_brSlots_0_tarStat == 2'h1 ? higher_plus_one_r_21 : 28'h0)
+    1608             :        | (s3_ftb_entry_dup_3_brSlots_0_tarStat == 2'h2 ? higher_minus_one_r_21 : 28'h0)
+    1609             :        | (s3_ftb_entry_dup_3_brSlots_0_tarStat == 2'h0 ? higher_r_21 : 28'h0),
+    1610             :      s3_ftb_entry_dup_3_brSlots_0_lower,
+    1611             :      1'h0};
+    1612             :   assign io_out_s3_full_pred_3_targets_1 = io_out_s3_full_pred_3_targets_1_0;
+    1613             :   assign io_out_s3_full_pred_3_jalr_target = io_out_s3_full_pred_3_targets_1_0;
+    1614             :   assign io_out_s3_full_pred_3_offsets_0 = s3_ftb_entry_dup_3_brSlots_0_offset;
+    1615             :   assign io_out_s3_full_pred_3_offsets_1 = s3_ftb_entry_dup_3_tailSlot_offset;
+    1616             :   assign io_out_s3_full_pred_3_fallThroughAddr =
+    1617             :     io_out_s3_full_pred_3_fallThroughErr_0
+    1618             :       ? 41'(s3_pc_dup_3 + 41'h20)
+    1619             :       : {s3_ftb_entry_dup_3_carry ? 36'(s3_pc_dup_3[40:5] + 36'h1) : s3_pc_dup_3[40:5],
+    1620             :          s3_ftb_entry_dup_3_pftAddr,
+    1621             :          1'h0};
+    1622             :   assign io_out_s3_full_pred_3_fallThroughErr = io_out_s3_full_pred_3_fallThroughErr_0;
+    1623             :   assign io_out_s3_full_pred_3_is_br_sharing =
+    1624             :     s3_ftb_entry_dup_3_tailSlot_valid & s3_ftb_entry_dup_3_tailSlot_sharing;
+    1625             :   assign io_out_s3_full_pred_3_hit = s3_hit_dup_3;
+    1626             :   assign io_out_last_stage_meta = {220'h0, io_out_last_stage_meta_r_1};
+    1627             :   assign io_out_last_stage_ftb_entry_valid = s3_ftb_entry_dup_0_valid;
+    1628             :   assign io_out_last_stage_ftb_entry_brSlots_0_offset =
+    1629             :     s3_ftb_entry_dup_0_brSlots_0_offset;
+    1630             :   assign io_out_last_stage_ftb_entry_brSlots_0_lower = s3_ftb_entry_dup_0_brSlots_0_lower;
+    1631             :   assign io_out_last_stage_ftb_entry_brSlots_0_tarStat =
+    1632             :     s3_ftb_entry_dup_0_brSlots_0_tarStat;
+    1633             :   assign io_out_last_stage_ftb_entry_brSlots_0_sharing =
+    1634             :     s3_ftb_entry_dup_0_brSlots_0_sharing;
+    1635             :   assign io_out_last_stage_ftb_entry_brSlots_0_valid = s3_ftb_entry_dup_0_brSlots_0_valid;
+    1636             :   assign io_out_last_stage_ftb_entry_tailSlot_offset = s3_ftb_entry_dup_0_tailSlot_offset;
+    1637             :   assign io_out_last_stage_ftb_entry_tailSlot_lower = s3_ftb_entry_dup_0_tailSlot_lower;
+    1638             :   assign io_out_last_stage_ftb_entry_tailSlot_tarStat =
+    1639             :     s3_ftb_entry_dup_0_tailSlot_tarStat;
+    1640             :   assign io_out_last_stage_ftb_entry_tailSlot_sharing =
+    1641             :     s3_ftb_entry_dup_0_tailSlot_sharing;
+    1642             :   assign io_out_last_stage_ftb_entry_tailSlot_valid = s3_ftb_entry_dup_0_tailSlot_valid;
+    1643             :   assign io_out_last_stage_ftb_entry_pftAddr = s3_ftb_entry_dup_0_pftAddr;
+    1644             :   assign io_out_last_stage_ftb_entry_carry = s3_ftb_entry_dup_0_carry;
+    1645             :   assign io_out_last_stage_ftb_entry_isCall = s3_ftb_entry_dup_0_isCall;
+    1646             :   assign io_out_last_stage_ftb_entry_isRet = s3_ftb_entry_dup_0_isRet;
+    1647             :   assign io_out_last_stage_ftb_entry_isJalr = s3_ftb_entry_dup_0_isJalr;
+    1648             :   assign io_out_last_stage_ftb_entry_last_may_be_rvi_call =
+    1649             :     s3_ftb_entry_dup_0_last_may_be_rvi_call;
+    1650             :   assign io_out_last_stage_ftb_entry_always_taken_0 = s3_ftb_entry_dup_0_always_taken_0;
+    1651             :   assign io_out_last_stage_ftb_entry_always_taken_1 = s3_ftb_entry_dup_0_always_taken_1;
+    1652             :   assign io_s1_ready = _ftbBank_io_req_pc_ready & ~update_need_read & ~io_s1_ready_REG;
+    1653             :   assign io_perf_0_value = {5'h0, io_perf_0_value_REG_1};
+    1654             :   assign io_perf_1_value = {5'h0, io_perf_1_value_REG_1};
+    1655             : endmodule
+    1656             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.func-sort-c.html new file mode 100644 index 0000000..d0080e5 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FTBBank.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FTBBank.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1935442043.8 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.func.html new file mode 100644 index 0000000..e7841bb --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FTBBank.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FTBBank.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1935442043.8 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.gcov.html new file mode 100644 index 0000000..b06fde6 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FTBBank.sv.gcov.html @@ -0,0 +1,6446 @@ + + + + + + + LCOV - merged.info - BPUTop/FTBBank.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FTBBank.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1935442043.8 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FTBBank(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61         133 :   input         io_s1_fire,
+      62          90 : 
+      63          75 :   output        io_req_pc_ready,
+      64       10337 :   input         io_req_pc_valid,
+      65          16 :   input  [40:0] io_req_pc_bits,
+      66          55 :   output        io_read_resp_valid,
+      67         166 :   output [3:0]  io_read_resp_brSlots_0_offset,
+      68          31 :   output [11:0] io_read_resp_brSlots_0_lower,
+      69          14 :   output [1:0]  io_read_resp_brSlots_0_tarStat,
+      70          12 :   output        io_read_resp_brSlots_0_sharing,
+      71          57 :   output        io_read_resp_brSlots_0_valid,
+      72         311 :   output [3:0]  io_read_resp_tailSlot_offset,
+      73          30 :   output [19:0] io_read_resp_tailSlot_lower,
+      74          14 :   output [1:0]  io_read_resp_tailSlot_tarStat,
+      75          16 :   output        io_read_resp_tailSlot_sharing,
+      76          61 :   output        io_read_resp_tailSlot_valid,
+      77          12 :   output [3:0]  io_read_resp_pftAddr,
+      78          18 :   output        io_read_resp_carry,
+      79          10 :   output        io_read_resp_isCall,
+      80          10 :   output        io_read_resp_isRet,
+      81          15 :   output        io_read_resp_isJalr,
+      82          13 :   output        io_read_resp_last_may_be_rvi_call,
+      83          19 :   output        io_read_resp_always_taken_0,
+      84          19 :   output        io_read_resp_always_taken_1,
+      85          30 :   output        io_read_hits_valid,
+      86          49 :   output [1:0]  io_read_hits_bits,
+      87        1143 :   input         io_u_req_pc_valid,
+      88          24 :   input  [40:0] io_u_req_pc_bits,
+      89          29 :   output        io_update_hits_valid,
+      90          48 :   output [1:0]  io_update_hits_bits,
+      91        1167 :   input         io_update_access,
+      92          78 :   input  [40:0] io_update_pc,
+      93          27 :   input         io_update_write_data_valid,
+      94         110 :   input         io_update_write_data_bits_entry_valid,
+      95         363 :   input  [3:0]  io_update_write_data_bits_entry_brSlots_0_offset,
+      96          49 :   input  [11:0] io_update_write_data_bits_entry_brSlots_0_lower,
+      97          28 :   input  [1:0]  io_update_write_data_bits_entry_brSlots_0_tarStat,
+      98          30 :   input         io_update_write_data_bits_entry_brSlots_0_sharing,
+      99         107 :   input         io_update_write_data_bits_entry_brSlots_0_valid,
+     100         576 :   input  [3:0]  io_update_write_data_bits_entry_tailSlot_offset,
+     101          54 :   input  [19:0] io_update_write_data_bits_entry_tailSlot_lower,
+     102          32 :   input  [1:0]  io_update_write_data_bits_entry_tailSlot_tarStat,
+     103          31 :   input         io_update_write_data_bits_entry_tailSlot_sharing,
+     104         118 :   input         io_update_write_data_bits_entry_tailSlot_valid,
+     105          25 :   input  [3:0]  io_update_write_data_bits_entry_pftAddr,
+     106          31 :   input         io_update_write_data_bits_entry_carry,
+     107          26 :   input         io_update_write_data_bits_entry_isCall,
+     108          24 :   input         io_update_write_data_bits_entry_isRet,
+     109          28 :   input         io_update_write_data_bits_entry_isJalr,
+     110          28 :   input         io_update_write_data_bits_entry_last_may_be_rvi_call,
+     111          31 :   input         io_update_write_data_bits_entry_always_taken_0,
+     112         566 :   input         io_update_write_data_bits_entry_always_taken_1,
+     113          62 :   input  [19:0] io_update_write_data_bits_tag,
+     114          41 :   input  [1:0]  io_update_write_way,
+     115             :   input         io_update_write_alloc
+     116             : );
+     117             : 
+     118             :   wire              _ftb_io_r_resp_data_0_entry_valid;
+     119             :   wire [3:0]        _ftb_io_r_resp_data_0_entry_brSlots_0_offset;
+     120             :   wire [11:0]       _ftb_io_r_resp_data_0_entry_brSlots_0_lower;
+     121             :   wire [1:0]        _ftb_io_r_resp_data_0_entry_brSlots_0_tarStat;
+     122             :   wire              _ftb_io_r_resp_data_0_entry_brSlots_0_sharing;
+     123             :   wire              _ftb_io_r_resp_data_0_entry_brSlots_0_valid;
+     124             :   wire [3:0]        _ftb_io_r_resp_data_0_entry_tailSlot_offset;
+     125             :   wire [19:0]       _ftb_io_r_resp_data_0_entry_tailSlot_lower;
+     126             :   wire [1:0]        _ftb_io_r_resp_data_0_entry_tailSlot_tarStat;
+     127             :   wire              _ftb_io_r_resp_data_0_entry_tailSlot_sharing;
+     128             :   wire              _ftb_io_r_resp_data_0_entry_tailSlot_valid;
+     129             :   wire [3:0]        _ftb_io_r_resp_data_0_entry_pftAddr;
+     130             :   wire              _ftb_io_r_resp_data_0_entry_carry;
+     131             :   wire              _ftb_io_r_resp_data_0_entry_isCall;
+     132             :   wire              _ftb_io_r_resp_data_0_entry_isRet;
+     133             :   wire              _ftb_io_r_resp_data_0_entry_isJalr;
+     134             :   wire              _ftb_io_r_resp_data_0_entry_last_may_be_rvi_call;
+     135             :   wire              _ftb_io_r_resp_data_0_entry_always_taken_0;
+     136             :   wire              _ftb_io_r_resp_data_0_entry_always_taken_1;
+     137             :   wire [19:0]       _ftb_io_r_resp_data_0_tag;
+     138             :   wire              _ftb_io_r_resp_data_1_entry_valid;
+     139             :   wire [3:0]        _ftb_io_r_resp_data_1_entry_brSlots_0_offset;
+     140             :   wire [11:0]       _ftb_io_r_resp_data_1_entry_brSlots_0_lower;
+     141             :   wire [1:0]        _ftb_io_r_resp_data_1_entry_brSlots_0_tarStat;
+     142             :   wire              _ftb_io_r_resp_data_1_entry_brSlots_0_sharing;
+     143             :   wire              _ftb_io_r_resp_data_1_entry_brSlots_0_valid;
+     144             :   wire [3:0]        _ftb_io_r_resp_data_1_entry_tailSlot_offset;
+     145             :   wire [19:0]       _ftb_io_r_resp_data_1_entry_tailSlot_lower;
+     146             :   wire [1:0]        _ftb_io_r_resp_data_1_entry_tailSlot_tarStat;
+     147             :   wire              _ftb_io_r_resp_data_1_entry_tailSlot_sharing;
+     148             :   wire              _ftb_io_r_resp_data_1_entry_tailSlot_valid;
+     149             :   wire [3:0]        _ftb_io_r_resp_data_1_entry_pftAddr;
+     150             :   wire              _ftb_io_r_resp_data_1_entry_carry;
+     151             :   wire              _ftb_io_r_resp_data_1_entry_isCall;
+     152             :   wire              _ftb_io_r_resp_data_1_entry_isRet;
+     153             :   wire              _ftb_io_r_resp_data_1_entry_isJalr;
+     154             :   wire              _ftb_io_r_resp_data_1_entry_last_may_be_rvi_call;
+     155             :   wire              _ftb_io_r_resp_data_1_entry_always_taken_0;
+     156             :   wire              _ftb_io_r_resp_data_1_entry_always_taken_1;
+     157             :   wire [19:0]       _ftb_io_r_resp_data_1_tag;
+     158             :   wire              _ftb_io_r_resp_data_2_entry_valid;
+     159             :   wire [3:0]        _ftb_io_r_resp_data_2_entry_brSlots_0_offset;
+     160             :   wire [11:0]       _ftb_io_r_resp_data_2_entry_brSlots_0_lower;
+     161             :   wire [1:0]        _ftb_io_r_resp_data_2_entry_brSlots_0_tarStat;
+     162             :   wire              _ftb_io_r_resp_data_2_entry_brSlots_0_sharing;
+     163             :   wire              _ftb_io_r_resp_data_2_entry_brSlots_0_valid;
+     164             :   wire [3:0]        _ftb_io_r_resp_data_2_entry_tailSlot_offset;
+     165             :   wire [19:0]       _ftb_io_r_resp_data_2_entry_tailSlot_lower;
+     166             :   wire [1:0]        _ftb_io_r_resp_data_2_entry_tailSlot_tarStat;
+     167             :   wire              _ftb_io_r_resp_data_2_entry_tailSlot_sharing;
+     168             :   wire              _ftb_io_r_resp_data_2_entry_tailSlot_valid;
+     169             :   wire [3:0]        _ftb_io_r_resp_data_2_entry_pftAddr;
+     170             :   wire              _ftb_io_r_resp_data_2_entry_carry;
+     171             :   wire              _ftb_io_r_resp_data_2_entry_isCall;
+     172             :   wire              _ftb_io_r_resp_data_2_entry_isRet;
+     173             :   wire              _ftb_io_r_resp_data_2_entry_isJalr;
+     174             :   wire              _ftb_io_r_resp_data_2_entry_last_may_be_rvi_call;
+     175             :   wire              _ftb_io_r_resp_data_2_entry_always_taken_0;
+     176             :   wire              _ftb_io_r_resp_data_2_entry_always_taken_1;
+     177             :   wire [19:0]       _ftb_io_r_resp_data_2_tag;
+     178             :   wire              _ftb_io_r_resp_data_3_entry_valid;
+     179             :   wire [3:0]        _ftb_io_r_resp_data_3_entry_brSlots_0_offset;
+     180             :   wire [11:0]       _ftb_io_r_resp_data_3_entry_brSlots_0_lower;
+     181             :   wire [1:0]        _ftb_io_r_resp_data_3_entry_brSlots_0_tarStat;
+     182             :   wire              _ftb_io_r_resp_data_3_entry_brSlots_0_sharing;
+     183             :   wire              _ftb_io_r_resp_data_3_entry_brSlots_0_valid;
+     184             :   wire [3:0]        _ftb_io_r_resp_data_3_entry_tailSlot_offset;
+     185             :   wire [19:0]       _ftb_io_r_resp_data_3_entry_tailSlot_lower;
+     186             :   wire [1:0]        _ftb_io_r_resp_data_3_entry_tailSlot_tarStat;
+     187             :   wire              _ftb_io_r_resp_data_3_entry_tailSlot_sharing;
+     188             :   wire              _ftb_io_r_resp_data_3_entry_tailSlot_valid;
+     189             :   wire [3:0]        _ftb_io_r_resp_data_3_entry_pftAddr;
+     190             :   wire              _ftb_io_r_resp_data_3_entry_carry;
+     191             :   wire              _ftb_io_r_resp_data_3_entry_isCall;
+     192             :   wire              _ftb_io_r_resp_data_3_entry_isRet;
+     193             :   wire              _ftb_io_r_resp_data_3_entry_isJalr;
+     194             :   wire              _ftb_io_r_resp_data_3_entry_last_may_be_rvi_call;
+     195             :   wire              _ftb_io_r_resp_data_3_entry_always_taken_0;
+     196             :   wire              _ftb_io_r_resp_data_3_entry_always_taken_1;
+     197          90 :   wire [19:0]       _ftb_io_r_resp_data_3_tag;
+     198          51 :   reg               pred_rdata_REG;
+     199         157 :   reg               pred_rdata_hold_data_0_entry_valid;
+     200         433 :   reg  [3:0]        pred_rdata_hold_data_0_entry_brSlots_0_offset;
+     201          89 :   reg  [11:0]       pred_rdata_hold_data_0_entry_brSlots_0_lower;
+     202          51 :   reg  [1:0]        pred_rdata_hold_data_0_entry_brSlots_0_tarStat;
+     203          55 :   reg               pred_rdata_hold_data_0_entry_brSlots_0_sharing;
+     204         232 :   reg               pred_rdata_hold_data_0_entry_brSlots_0_valid;
+     205         766 :   reg  [3:0]        pred_rdata_hold_data_0_entry_tailSlot_offset;
+     206         116 :   reg  [19:0]       pred_rdata_hold_data_0_entry_tailSlot_lower;
+     207          51 :   reg  [1:0]        pred_rdata_hold_data_0_entry_tailSlot_tarStat;
+     208          63 :   reg               pred_rdata_hold_data_0_entry_tailSlot_sharing;
+     209         218 :   reg               pred_rdata_hold_data_0_entry_tailSlot_valid;
+     210          57 :   reg  [3:0]        pred_rdata_hold_data_0_entry_pftAddr;
+     211          57 :   reg               pred_rdata_hold_data_0_entry_carry;
+     212          58 :   reg               pred_rdata_hold_data_0_entry_isCall;
+     213          54 :   reg               pred_rdata_hold_data_0_entry_isRet;
+     214          54 :   reg               pred_rdata_hold_data_0_entry_isJalr;
+     215          55 :   reg               pred_rdata_hold_data_0_entry_last_may_be_rvi_call;
+     216          53 :   reg               pred_rdata_hold_data_0_entry_always_taken_0;
+     217         764 :   reg               pred_rdata_hold_data_0_entry_always_taken_1;
+     218          35 :   reg  [19:0]       pred_rdata_hold_data_0_tag;
+     219         139 :   reg               pred_rdata_hold_data_1_entry_valid;
+     220         456 :   reg  [3:0]        pred_rdata_hold_data_1_entry_brSlots_0_offset;
+     221          65 :   reg  [11:0]       pred_rdata_hold_data_1_entry_brSlots_0_lower;
+     222          38 :   reg  [1:0]        pred_rdata_hold_data_1_entry_brSlots_0_tarStat;
+     223          35 :   reg               pred_rdata_hold_data_1_entry_brSlots_0_sharing;
+     224         146 :   reg               pred_rdata_hold_data_1_entry_brSlots_0_valid;
+     225         729 :   reg  [3:0]        pred_rdata_hold_data_1_entry_tailSlot_offset;
+     226          70 :   reg  [19:0]       pred_rdata_hold_data_1_entry_tailSlot_lower;
+     227          29 :   reg  [1:0]        pred_rdata_hold_data_1_entry_tailSlot_tarStat;
+     228          35 :   reg               pred_rdata_hold_data_1_entry_tailSlot_sharing;
+     229         142 :   reg               pred_rdata_hold_data_1_entry_tailSlot_valid;
+     230          34 :   reg  [3:0]        pred_rdata_hold_data_1_entry_pftAddr;
+     231          30 :   reg               pred_rdata_hold_data_1_entry_carry;
+     232          40 :   reg               pred_rdata_hold_data_1_entry_isCall;
+     233          36 :   reg               pred_rdata_hold_data_1_entry_isRet;
+     234          44 :   reg               pred_rdata_hold_data_1_entry_isJalr;
+     235          34 :   reg               pred_rdata_hold_data_1_entry_last_may_be_rvi_call;
+     236          38 :   reg               pred_rdata_hold_data_1_entry_always_taken_0;
+     237         717 :   reg               pred_rdata_hold_data_1_entry_always_taken_1;
+     238          24 :   reg  [19:0]       pred_rdata_hold_data_1_tag;
+     239         152 :   reg               pred_rdata_hold_data_2_entry_valid;
+     240         411 :   reg  [3:0]        pred_rdata_hold_data_2_entry_brSlots_0_offset;
+     241          71 :   reg  [11:0]       pred_rdata_hold_data_2_entry_brSlots_0_lower;
+     242          38 :   reg  [1:0]        pred_rdata_hold_data_2_entry_brSlots_0_tarStat;
+     243          40 :   reg               pred_rdata_hold_data_2_entry_brSlots_0_sharing;
+     244         155 :   reg               pred_rdata_hold_data_2_entry_brSlots_0_valid;
+     245         703 :   reg  [3:0]        pred_rdata_hold_data_2_entry_tailSlot_offset;
+     246          69 :   reg  [19:0]       pred_rdata_hold_data_2_entry_tailSlot_lower;
+     247          38 :   reg  [1:0]        pred_rdata_hold_data_2_entry_tailSlot_tarStat;
+     248          33 :   reg               pred_rdata_hold_data_2_entry_tailSlot_sharing;
+     249         134 :   reg               pred_rdata_hold_data_2_entry_tailSlot_valid;
+     250          29 :   reg  [3:0]        pred_rdata_hold_data_2_entry_pftAddr;
+     251          36 :   reg               pred_rdata_hold_data_2_entry_carry;
+     252          39 :   reg               pred_rdata_hold_data_2_entry_isCall;
+     253          34 :   reg               pred_rdata_hold_data_2_entry_isRet;
+     254          30 :   reg               pred_rdata_hold_data_2_entry_isJalr;
+     255          37 :   reg               pred_rdata_hold_data_2_entry_last_may_be_rvi_call;
+     256          32 :   reg               pred_rdata_hold_data_2_entry_always_taken_0;
+     257         727 :   reg               pred_rdata_hold_data_2_entry_always_taken_1;
+     258          35 :   reg  [19:0]       pred_rdata_hold_data_2_tag;
+     259         150 :   reg               pred_rdata_hold_data_3_entry_valid;
+     260         415 :   reg  [3:0]        pred_rdata_hold_data_3_entry_brSlots_0_offset;
+     261          68 :   reg  [11:0]       pred_rdata_hold_data_3_entry_brSlots_0_lower;
+     262          36 :   reg  [1:0]        pred_rdata_hold_data_3_entry_brSlots_0_tarStat;
+     263          27 :   reg               pred_rdata_hold_data_3_entry_brSlots_0_sharing;
+     264         151 :   reg               pred_rdata_hold_data_3_entry_brSlots_0_valid;
+     265         745 :   reg  [3:0]        pred_rdata_hold_data_3_entry_tailSlot_offset;
+     266          74 :   reg  [19:0]       pred_rdata_hold_data_3_entry_tailSlot_lower;
+     267          32 :   reg  [1:0]        pred_rdata_hold_data_3_entry_tailSlot_tarStat;
+     268          36 :   reg               pred_rdata_hold_data_3_entry_tailSlot_sharing;
+     269         136 :   reg               pred_rdata_hold_data_3_entry_tailSlot_valid;
+     270          28 :   reg  [3:0]        pred_rdata_hold_data_3_entry_pftAddr;
+     271          36 :   reg               pred_rdata_hold_data_3_entry_carry;
+     272          40 :   reg               pred_rdata_hold_data_3_entry_isCall;
+     273          37 :   reg               pred_rdata_hold_data_3_entry_isRet;
+     274          28 :   reg               pred_rdata_hold_data_3_entry_isJalr;
+     275          43 :   reg               pred_rdata_hold_data_3_entry_last_may_be_rvi_call;
+     276          37 :   reg               pred_rdata_hold_data_3_entry_always_taken_0;
+     277         722 :   reg               pred_rdata_hold_data_3_entry_always_taken_1;
+     278          42 :   reg  [19:0]       pred_rdata_hold_data_3_tag;
+     279             :   wire              pred_rdata_0_entry_valid =
+     280             :     pred_rdata_REG
+     281             :       ? _ftb_io_r_resp_data_0_entry_valid
+     282          30 :       : pred_rdata_hold_data_0_entry_valid;
+     283             :   wire              pred_rdata_1_entry_valid =
+     284             :     pred_rdata_REG
+     285             :       ? _ftb_io_r_resp_data_1_entry_valid
+     286          23 :       : pred_rdata_hold_data_1_entry_valid;
+     287             :   wire              pred_rdata_2_entry_valid =
+     288             :     pred_rdata_REG
+     289             :       ? _ftb_io_r_resp_data_2_entry_valid
+     290          30 :       : pred_rdata_hold_data_2_entry_valid;
+     291             :   wire              pred_rdata_3_entry_valid =
+     292             :     pred_rdata_REG
+     293             :       ? _ftb_io_r_resp_data_3_entry_valid
+     294         763 :       : pred_rdata_hold_data_3_entry_valid;
+     295        8237 :   reg  [19:0]       req_tag;
+     296         431 :   reg  [8:0]        req_idx;
+     297          15 :   reg  [19:0]       u_req_tag;
+     298             :   wire              total_hits_0 =
+     299             :     (pred_rdata_REG ? _ftb_io_r_resp_data_0_tag : pred_rdata_hold_data_0_tag) == req_tag
+     300          11 :     & pred_rdata_0_entry_valid & io_s1_fire;
+     301             :   wire              total_hits_1 =
+     302             :     (pred_rdata_REG ? _ftb_io_r_resp_data_1_tag : pred_rdata_hold_data_1_tag) == req_tag
+     303          17 :     & pred_rdata_1_entry_valid & io_s1_fire;
+     304             :   wire              total_hits_2 =
+     305             :     (pred_rdata_REG ? _ftb_io_r_resp_data_2_tag : pred_rdata_hold_data_2_tag) == req_tag
+     306          10 :     & pred_rdata_2_entry_valid & io_s1_fire;
+     307             :   wire              total_hits_3 =
+     308             :     (pred_rdata_REG ? _ftb_io_r_resp_data_3_tag : pred_rdata_hold_data_3_tag) == req_tag
+     309          19 :     & pred_rdata_3_entry_valid & io_s1_fire;
+     310          30 :   wire              hit = total_hits_0 | total_hits_1 | total_hits_2 | total_hits_3;
+     311             :   wire [1:0]        hit_way =
+     312          61 :     {|{total_hits_3, total_hits_2}, total_hits_3 | total_hits_1};
+     313          66 :   reg               u_total_hits_REG;
+     314          15 :   reg               u_total_hits_REG_1;
+     315             :   wire              u_total_hits_1 =
+     316             :     _ftb_io_r_resp_data_1_tag == u_req_tag & _ftb_io_r_resp_data_1_entry_valid
+     317          61 :     & u_total_hits_REG_1;
+     318          18 :   reg               u_total_hits_REG_2;
+     319             :   wire              u_total_hits_2 =
+     320             :     _ftb_io_r_resp_data_2_tag == u_req_tag & _ftb_io_r_resp_data_2_entry_valid
+     321          63 :     & u_total_hits_REG_2;
+     322          17 :   reg               u_total_hits_REG_3;
+     323             :   wire              u_total_hits_3 =
+     324             :     _ftb_io_r_resp_data_3_tag == u_req_tag & _ftb_io_r_resp_data_3_entry_valid
+     325          75 :     & u_total_hits_REG_3;
+     326          67 :   reg  [2:0]        state_vec_0;
+     327          68 :   reg  [2:0]        state_vec_1;
+     328          70 :   reg  [2:0]        state_vec_2;
+     329          76 :   reg  [2:0]        state_vec_3;
+     330          72 :   reg  [2:0]        state_vec_4;
+     331          82 :   reg  [2:0]        state_vec_5;
+     332          67 :   reg  [2:0]        state_vec_6;
+     333          75 :   reg  [2:0]        state_vec_7;
+     334          75 :   reg  [2:0]        state_vec_8;
+     335          70 :   reg  [2:0]        state_vec_9;
+     336          75 :   reg  [2:0]        state_vec_10;
+     337          78 :   reg  [2:0]        state_vec_11;
+     338          62 :   reg  [2:0]        state_vec_12;
+     339          64 :   reg  [2:0]        state_vec_13;
+     340          57 :   reg  [2:0]        state_vec_14;
+     341          77 :   reg  [2:0]        state_vec_15;
+     342          69 :   reg  [2:0]        state_vec_16;
+     343          58 :   reg  [2:0]        state_vec_17;
+     344          63 :   reg  [2:0]        state_vec_18;
+     345          76 :   reg  [2:0]        state_vec_19;
+     346          78 :   reg  [2:0]        state_vec_20;
+     347          69 :   reg  [2:0]        state_vec_21;
+     348          66 :   reg  [2:0]        state_vec_22;
+     349          69 :   reg  [2:0]        state_vec_23;
+     350          67 :   reg  [2:0]        state_vec_24;
+     351          79 :   reg  [2:0]        state_vec_25;
+     352          61 :   reg  [2:0]        state_vec_26;
+     353          74 :   reg  [2:0]        state_vec_27;
+     354          66 :   reg  [2:0]        state_vec_28;
+     355          68 :   reg  [2:0]        state_vec_29;
+     356          71 :   reg  [2:0]        state_vec_30;
+     357          74 :   reg  [2:0]        state_vec_31;
+     358          73 :   reg  [2:0]        state_vec_32;
+     359          73 :   reg  [2:0]        state_vec_33;
+     360          70 :   reg  [2:0]        state_vec_34;
+     361          71 :   reg  [2:0]        state_vec_35;
+     362          70 :   reg  [2:0]        state_vec_36;
+     363          69 :   reg  [2:0]        state_vec_37;
+     364          66 :   reg  [2:0]        state_vec_38;
+     365          68 :   reg  [2:0]        state_vec_39;
+     366          66 :   reg  [2:0]        state_vec_40;
+     367          68 :   reg  [2:0]        state_vec_41;
+     368          70 :   reg  [2:0]        state_vec_42;
+     369          68 :   reg  [2:0]        state_vec_43;
+     370          78 :   reg  [2:0]        state_vec_44;
+     371          69 :   reg  [2:0]        state_vec_45;
+     372          75 :   reg  [2:0]        state_vec_46;
+     373          63 :   reg  [2:0]        state_vec_47;
+     374          71 :   reg  [2:0]        state_vec_48;
+     375          67 :   reg  [2:0]        state_vec_49;
+     376          65 :   reg  [2:0]        state_vec_50;
+     377          75 :   reg  [2:0]        state_vec_51;
+     378          75 :   reg  [2:0]        state_vec_52;
+     379          70 :   reg  [2:0]        state_vec_53;
+     380          60 :   reg  [2:0]        state_vec_54;
+     381          63 :   reg  [2:0]        state_vec_55;
+     382          69 :   reg  [2:0]        state_vec_56;
+     383          69 :   reg  [2:0]        state_vec_57;
+     384          81 :   reg  [2:0]        state_vec_58;
+     385          63 :   reg  [2:0]        state_vec_59;
+     386          60 :   reg  [2:0]        state_vec_60;
+     387          77 :   reg  [2:0]        state_vec_61;
+     388          71 :   reg  [2:0]        state_vec_62;
+     389          86 :   reg  [2:0]        state_vec_63;
+     390          67 :   reg  [2:0]        state_vec_64;
+     391          72 :   reg  [2:0]        state_vec_65;
+     392          68 :   reg  [2:0]        state_vec_66;
+     393          68 :   reg  [2:0]        state_vec_67;
+     394          71 :   reg  [2:0]        state_vec_68;
+     395          60 :   reg  [2:0]        state_vec_69;
+     396          72 :   reg  [2:0]        state_vec_70;
+     397          70 :   reg  [2:0]        state_vec_71;
+     398          71 :   reg  [2:0]        state_vec_72;
+     399          72 :   reg  [2:0]        state_vec_73;
+     400          78 :   reg  [2:0]        state_vec_74;
+     401          66 :   reg  [2:0]        state_vec_75;
+     402          66 :   reg  [2:0]        state_vec_76;
+     403          64 :   reg  [2:0]        state_vec_77;
+     404          73 :   reg  [2:0]        state_vec_78;
+     405          83 :   reg  [2:0]        state_vec_79;
+     406          76 :   reg  [2:0]        state_vec_80;
+     407          75 :   reg  [2:0]        state_vec_81;
+     408          71 :   reg  [2:0]        state_vec_82;
+     409          66 :   reg  [2:0]        state_vec_83;
+     410          74 :   reg  [2:0]        state_vec_84;
+     411          80 :   reg  [2:0]        state_vec_85;
+     412          74 :   reg  [2:0]        state_vec_86;
+     413          77 :   reg  [2:0]        state_vec_87;
+     414          66 :   reg  [2:0]        state_vec_88;
+     415          59 :   reg  [2:0]        state_vec_89;
+     416          64 :   reg  [2:0]        state_vec_90;
+     417          58 :   reg  [2:0]        state_vec_91;
+     418          65 :   reg  [2:0]        state_vec_92;
+     419          71 :   reg  [2:0]        state_vec_93;
+     420          73 :   reg  [2:0]        state_vec_94;
+     421          70 :   reg  [2:0]        state_vec_95;
+     422          73 :   reg  [2:0]        state_vec_96;
+     423          70 :   reg  [2:0]        state_vec_97;
+     424          70 :   reg  [2:0]        state_vec_98;
+     425          68 :   reg  [2:0]        state_vec_99;
+     426          66 :   reg  [2:0]        state_vec_100;
+     427          70 :   reg  [2:0]        state_vec_101;
+     428          64 :   reg  [2:0]        state_vec_102;
+     429          74 :   reg  [2:0]        state_vec_103;
+     430          79 :   reg  [2:0]        state_vec_104;
+     431          74 :   reg  [2:0]        state_vec_105;
+     432          77 :   reg  [2:0]        state_vec_106;
+     433          59 :   reg  [2:0]        state_vec_107;
+     434          62 :   reg  [2:0]        state_vec_108;
+     435          67 :   reg  [2:0]        state_vec_109;
+     436          57 :   reg  [2:0]        state_vec_110;
+     437          71 :   reg  [2:0]        state_vec_111;
+     438          56 :   reg  [2:0]        state_vec_112;
+     439          74 :   reg  [2:0]        state_vec_113;
+     440          66 :   reg  [2:0]        state_vec_114;
+     441          74 :   reg  [2:0]        state_vec_115;
+     442          81 :   reg  [2:0]        state_vec_116;
+     443          72 :   reg  [2:0]        state_vec_117;
+     444          71 :   reg  [2:0]        state_vec_118;
+     445          70 :   reg  [2:0]        state_vec_119;
+     446          59 :   reg  [2:0]        state_vec_120;
+     447          66 :   reg  [2:0]        state_vec_121;
+     448          71 :   reg  [2:0]        state_vec_122;
+     449          65 :   reg  [2:0]        state_vec_123;
+     450          68 :   reg  [2:0]        state_vec_124;
+     451          74 :   reg  [2:0]        state_vec_125;
+     452          67 :   reg  [2:0]        state_vec_126;
+     453          73 :   reg  [2:0]        state_vec_127;
+     454          74 :   reg  [2:0]        state_vec_128;
+     455          61 :   reg  [2:0]        state_vec_129;
+     456          63 :   reg  [2:0]        state_vec_130;
+     457          73 :   reg  [2:0]        state_vec_131;
+     458          62 :   reg  [2:0]        state_vec_132;
+     459          70 :   reg  [2:0]        state_vec_133;
+     460          81 :   reg  [2:0]        state_vec_134;
+     461          64 :   reg  [2:0]        state_vec_135;
+     462          71 :   reg  [2:0]        state_vec_136;
+     463          62 :   reg  [2:0]        state_vec_137;
+     464          64 :   reg  [2:0]        state_vec_138;
+     465          73 :   reg  [2:0]        state_vec_139;
+     466          68 :   reg  [2:0]        state_vec_140;
+     467          76 :   reg  [2:0]        state_vec_141;
+     468          69 :   reg  [2:0]        state_vec_142;
+     469          67 :   reg  [2:0]        state_vec_143;
+     470          69 :   reg  [2:0]        state_vec_144;
+     471          69 :   reg  [2:0]        state_vec_145;
+     472          70 :   reg  [2:0]        state_vec_146;
+     473          65 :   reg  [2:0]        state_vec_147;
+     474          69 :   reg  [2:0]        state_vec_148;
+     475          73 :   reg  [2:0]        state_vec_149;
+     476          60 :   reg  [2:0]        state_vec_150;
+     477          62 :   reg  [2:0]        state_vec_151;
+     478          56 :   reg  [2:0]        state_vec_152;
+     479          78 :   reg  [2:0]        state_vec_153;
+     480          78 :   reg  [2:0]        state_vec_154;
+     481          63 :   reg  [2:0]        state_vec_155;
+     482          65 :   reg  [2:0]        state_vec_156;
+     483          72 :   reg  [2:0]        state_vec_157;
+     484          68 :   reg  [2:0]        state_vec_158;
+     485          62 :   reg  [2:0]        state_vec_159;
+     486          69 :   reg  [2:0]        state_vec_160;
+     487          69 :   reg  [2:0]        state_vec_161;
+     488          68 :   reg  [2:0]        state_vec_162;
+     489          64 :   reg  [2:0]        state_vec_163;
+     490          72 :   reg  [2:0]        state_vec_164;
+     491          75 :   reg  [2:0]        state_vec_165;
+     492          76 :   reg  [2:0]        state_vec_166;
+     493          65 :   reg  [2:0]        state_vec_167;
+     494          60 :   reg  [2:0]        state_vec_168;
+     495          68 :   reg  [2:0]        state_vec_169;
+     496          70 :   reg  [2:0]        state_vec_170;
+     497          73 :   reg  [2:0]        state_vec_171;
+     498          70 :   reg  [2:0]        state_vec_172;
+     499          73 :   reg  [2:0]        state_vec_173;
+     500          70 :   reg  [2:0]        state_vec_174;
+     501          65 :   reg  [2:0]        state_vec_175;
+     502          75 :   reg  [2:0]        state_vec_176;
+     503          67 :   reg  [2:0]        state_vec_177;
+     504          72 :   reg  [2:0]        state_vec_178;
+     505          67 :   reg  [2:0]        state_vec_179;
+     506          66 :   reg  [2:0]        state_vec_180;
+     507          64 :   reg  [2:0]        state_vec_181;
+     508          73 :   reg  [2:0]        state_vec_182;
+     509          80 :   reg  [2:0]        state_vec_183;
+     510          73 :   reg  [2:0]        state_vec_184;
+     511          74 :   reg  [2:0]        state_vec_185;
+     512          64 :   reg  [2:0]        state_vec_186;
+     513          63 :   reg  [2:0]        state_vec_187;
+     514          78 :   reg  [2:0]        state_vec_188;
+     515          71 :   reg  [2:0]        state_vec_189;
+     516          62 :   reg  [2:0]        state_vec_190;
+     517          68 :   reg  [2:0]        state_vec_191;
+     518          71 :   reg  [2:0]        state_vec_192;
+     519          64 :   reg  [2:0]        state_vec_193;
+     520          74 :   reg  [2:0]        state_vec_194;
+     521          79 :   reg  [2:0]        state_vec_195;
+     522          71 :   reg  [2:0]        state_vec_196;
+     523          64 :   reg  [2:0]        state_vec_197;
+     524          68 :   reg  [2:0]        state_vec_198;
+     525          65 :   reg  [2:0]        state_vec_199;
+     526          68 :   reg  [2:0]        state_vec_200;
+     527          72 :   reg  [2:0]        state_vec_201;
+     528          68 :   reg  [2:0]        state_vec_202;
+     529          57 :   reg  [2:0]        state_vec_203;
+     530          73 :   reg  [2:0]        state_vec_204;
+     531          60 :   reg  [2:0]        state_vec_205;
+     532          65 :   reg  [2:0]        state_vec_206;
+     533          72 :   reg  [2:0]        state_vec_207;
+     534          69 :   reg  [2:0]        state_vec_208;
+     535          78 :   reg  [2:0]        state_vec_209;
+     536          75 :   reg  [2:0]        state_vec_210;
+     537          67 :   reg  [2:0]        state_vec_211;
+     538          69 :   reg  [2:0]        state_vec_212;
+     539          69 :   reg  [2:0]        state_vec_213;
+     540          70 :   reg  [2:0]        state_vec_214;
+     541          63 :   reg  [2:0]        state_vec_215;
+     542          74 :   reg  [2:0]        state_vec_216;
+     543          71 :   reg  [2:0]        state_vec_217;
+     544          68 :   reg  [2:0]        state_vec_218;
+     545          62 :   reg  [2:0]        state_vec_219;
+     546          70 :   reg  [2:0]        state_vec_220;
+     547          68 :   reg  [2:0]        state_vec_221;
+     548          66 :   reg  [2:0]        state_vec_222;
+     549          67 :   reg  [2:0]        state_vec_223;
+     550          66 :   reg  [2:0]        state_vec_224;
+     551          63 :   reg  [2:0]        state_vec_225;
+     552          65 :   reg  [2:0]        state_vec_226;
+     553          67 :   reg  [2:0]        state_vec_227;
+     554          66 :   reg  [2:0]        state_vec_228;
+     555          59 :   reg  [2:0]        state_vec_229;
+     556          63 :   reg  [2:0]        state_vec_230;
+     557          60 :   reg  [2:0]        state_vec_231;
+     558          71 :   reg  [2:0]        state_vec_232;
+     559          72 :   reg  [2:0]        state_vec_233;
+     560          75 :   reg  [2:0]        state_vec_234;
+     561          65 :   reg  [2:0]        state_vec_235;
+     562          71 :   reg  [2:0]        state_vec_236;
+     563          68 :   reg  [2:0]        state_vec_237;
+     564          78 :   reg  [2:0]        state_vec_238;
+     565          66 :   reg  [2:0]        state_vec_239;
+     566          74 :   reg  [2:0]        state_vec_240;
+     567          65 :   reg  [2:0]        state_vec_241;
+     568          67 :   reg  [2:0]        state_vec_242;
+     569          74 :   reg  [2:0]        state_vec_243;
+     570          68 :   reg  [2:0]        state_vec_244;
+     571          75 :   reg  [2:0]        state_vec_245;
+     572          64 :   reg  [2:0]        state_vec_246;
+     573          76 :   reg  [2:0]        state_vec_247;
+     574          69 :   reg  [2:0]        state_vec_248;
+     575          74 :   reg  [2:0]        state_vec_249;
+     576          78 :   reg  [2:0]        state_vec_250;
+     577          70 :   reg  [2:0]        state_vec_251;
+     578          64 :   reg  [2:0]        state_vec_252;
+     579          68 :   reg  [2:0]        state_vec_253;
+     580          69 :   reg  [2:0]        state_vec_254;
+     581          65 :   reg  [2:0]        state_vec_255;
+     582          74 :   reg  [2:0]        state_vec_256;
+     583          65 :   reg  [2:0]        state_vec_257;
+     584          72 :   reg  [2:0]        state_vec_258;
+     585          72 :   reg  [2:0]        state_vec_259;
+     586          70 :   reg  [2:0]        state_vec_260;
+     587          77 :   reg  [2:0]        state_vec_261;
+     588          71 :   reg  [2:0]        state_vec_262;
+     589          77 :   reg  [2:0]        state_vec_263;
+     590          76 :   reg  [2:0]        state_vec_264;
+     591          72 :   reg  [2:0]        state_vec_265;
+     592          69 :   reg  [2:0]        state_vec_266;
+     593          63 :   reg  [2:0]        state_vec_267;
+     594          71 :   reg  [2:0]        state_vec_268;
+     595          71 :   reg  [2:0]        state_vec_269;
+     596          78 :   reg  [2:0]        state_vec_270;
+     597          69 :   reg  [2:0]        state_vec_271;
+     598          63 :   reg  [2:0]        state_vec_272;
+     599          65 :   reg  [2:0]        state_vec_273;
+     600          77 :   reg  [2:0]        state_vec_274;
+     601          73 :   reg  [2:0]        state_vec_275;
+     602          75 :   reg  [2:0]        state_vec_276;
+     603          74 :   reg  [2:0]        state_vec_277;
+     604          64 :   reg  [2:0]        state_vec_278;
+     605          67 :   reg  [2:0]        state_vec_279;
+     606          66 :   reg  [2:0]        state_vec_280;
+     607          71 :   reg  [2:0]        state_vec_281;
+     608          62 :   reg  [2:0]        state_vec_282;
+     609          67 :   reg  [2:0]        state_vec_283;
+     610          62 :   reg  [2:0]        state_vec_284;
+     611          67 :   reg  [2:0]        state_vec_285;
+     612          78 :   reg  [2:0]        state_vec_286;
+     613          72 :   reg  [2:0]        state_vec_287;
+     614          75 :   reg  [2:0]        state_vec_288;
+     615          63 :   reg  [2:0]        state_vec_289;
+     616          72 :   reg  [2:0]        state_vec_290;
+     617          78 :   reg  [2:0]        state_vec_291;
+     618          65 :   reg  [2:0]        state_vec_292;
+     619          66 :   reg  [2:0]        state_vec_293;
+     620          68 :   reg  [2:0]        state_vec_294;
+     621          67 :   reg  [2:0]        state_vec_295;
+     622          75 :   reg  [2:0]        state_vec_296;
+     623          75 :   reg  [2:0]        state_vec_297;
+     624          73 :   reg  [2:0]        state_vec_298;
+     625          66 :   reg  [2:0]        state_vec_299;
+     626          72 :   reg  [2:0]        state_vec_300;
+     627          81 :   reg  [2:0]        state_vec_301;
+     628          70 :   reg  [2:0]        state_vec_302;
+     629          74 :   reg  [2:0]        state_vec_303;
+     630          71 :   reg  [2:0]        state_vec_304;
+     631          70 :   reg  [2:0]        state_vec_305;
+     632          74 :   reg  [2:0]        state_vec_306;
+     633          66 :   reg  [2:0]        state_vec_307;
+     634          71 :   reg  [2:0]        state_vec_308;
+     635          63 :   reg  [2:0]        state_vec_309;
+     636          56 :   reg  [2:0]        state_vec_310;
+     637          71 :   reg  [2:0]        state_vec_311;
+     638          63 :   reg  [2:0]        state_vec_312;
+     639          66 :   reg  [2:0]        state_vec_313;
+     640          71 :   reg  [2:0]        state_vec_314;
+     641          72 :   reg  [2:0]        state_vec_315;
+     642          84 :   reg  [2:0]        state_vec_316;
+     643          69 :   reg  [2:0]        state_vec_317;
+     644          65 :   reg  [2:0]        state_vec_318;
+     645          70 :   reg  [2:0]        state_vec_319;
+     646          78 :   reg  [2:0]        state_vec_320;
+     647          72 :   reg  [2:0]        state_vec_321;
+     648          63 :   reg  [2:0]        state_vec_322;
+     649          62 :   reg  [2:0]        state_vec_323;
+     650          81 :   reg  [2:0]        state_vec_324;
+     651          62 :   reg  [2:0]        state_vec_325;
+     652          70 :   reg  [2:0]        state_vec_326;
+     653          74 :   reg  [2:0]        state_vec_327;
+     654          72 :   reg  [2:0]        state_vec_328;
+     655          73 :   reg  [2:0]        state_vec_329;
+     656          66 :   reg  [2:0]        state_vec_330;
+     657          67 :   reg  [2:0]        state_vec_331;
+     658          74 :   reg  [2:0]        state_vec_332;
+     659          69 :   reg  [2:0]        state_vec_333;
+     660          65 :   reg  [2:0]        state_vec_334;
+     661          68 :   reg  [2:0]        state_vec_335;
+     662          74 :   reg  [2:0]        state_vec_336;
+     663          62 :   reg  [2:0]        state_vec_337;
+     664          74 :   reg  [2:0]        state_vec_338;
+     665          68 :   reg  [2:0]        state_vec_339;
+     666          68 :   reg  [2:0]        state_vec_340;
+     667          60 :   reg  [2:0]        state_vec_341;
+     668          76 :   reg  [2:0]        state_vec_342;
+     669          61 :   reg  [2:0]        state_vec_343;
+     670          70 :   reg  [2:0]        state_vec_344;
+     671          66 :   reg  [2:0]        state_vec_345;
+     672          74 :   reg  [2:0]        state_vec_346;
+     673          68 :   reg  [2:0]        state_vec_347;
+     674          68 :   reg  [2:0]        state_vec_348;
+     675          73 :   reg  [2:0]        state_vec_349;
+     676          62 :   reg  [2:0]        state_vec_350;
+     677          65 :   reg  [2:0]        state_vec_351;
+     678          74 :   reg  [2:0]        state_vec_352;
+     679          69 :   reg  [2:0]        state_vec_353;
+     680          67 :   reg  [2:0]        state_vec_354;
+     681          61 :   reg  [2:0]        state_vec_355;
+     682          60 :   reg  [2:0]        state_vec_356;
+     683          72 :   reg  [2:0]        state_vec_357;
+     684          75 :   reg  [2:0]        state_vec_358;
+     685          65 :   reg  [2:0]        state_vec_359;
+     686          61 :   reg  [2:0]        state_vec_360;
+     687          74 :   reg  [2:0]        state_vec_361;
+     688          54 :   reg  [2:0]        state_vec_362;
+     689          61 :   reg  [2:0]        state_vec_363;
+     690          59 :   reg  [2:0]        state_vec_364;
+     691          62 :   reg  [2:0]        state_vec_365;
+     692          69 :   reg  [2:0]        state_vec_366;
+     693          73 :   reg  [2:0]        state_vec_367;
+     694          68 :   reg  [2:0]        state_vec_368;
+     695          74 :   reg  [2:0]        state_vec_369;
+     696          72 :   reg  [2:0]        state_vec_370;
+     697          82 :   reg  [2:0]        state_vec_371;
+     698          73 :   reg  [2:0]        state_vec_372;
+     699          70 :   reg  [2:0]        state_vec_373;
+     700          70 :   reg  [2:0]        state_vec_374;
+     701          59 :   reg  [2:0]        state_vec_375;
+     702          58 :   reg  [2:0]        state_vec_376;
+     703          67 :   reg  [2:0]        state_vec_377;
+     704          72 :   reg  [2:0]        state_vec_378;
+     705          72 :   reg  [2:0]        state_vec_379;
+     706          68 :   reg  [2:0]        state_vec_380;
+     707          62 :   reg  [2:0]        state_vec_381;
+     708          61 :   reg  [2:0]        state_vec_382;
+     709          71 :   reg  [2:0]        state_vec_383;
+     710          70 :   reg  [2:0]        state_vec_384;
+     711          81 :   reg  [2:0]        state_vec_385;
+     712          82 :   reg  [2:0]        state_vec_386;
+     713          80 :   reg  [2:0]        state_vec_387;
+     714          76 :   reg  [2:0]        state_vec_388;
+     715          67 :   reg  [2:0]        state_vec_389;
+     716          72 :   reg  [2:0]        state_vec_390;
+     717          65 :   reg  [2:0]        state_vec_391;
+     718          67 :   reg  [2:0]        state_vec_392;
+     719          68 :   reg  [2:0]        state_vec_393;
+     720          66 :   reg  [2:0]        state_vec_394;
+     721          69 :   reg  [2:0]        state_vec_395;
+     722          65 :   reg  [2:0]        state_vec_396;
+     723          82 :   reg  [2:0]        state_vec_397;
+     724          55 :   reg  [2:0]        state_vec_398;
+     725          70 :   reg  [2:0]        state_vec_399;
+     726          70 :   reg  [2:0]        state_vec_400;
+     727          72 :   reg  [2:0]        state_vec_401;
+     728          72 :   reg  [2:0]        state_vec_402;
+     729          71 :   reg  [2:0]        state_vec_403;
+     730          75 :   reg  [2:0]        state_vec_404;
+     731          76 :   reg  [2:0]        state_vec_405;
+     732          60 :   reg  [2:0]        state_vec_406;
+     733          62 :   reg  [2:0]        state_vec_407;
+     734          81 :   reg  [2:0]        state_vec_408;
+     735          72 :   reg  [2:0]        state_vec_409;
+     736          73 :   reg  [2:0]        state_vec_410;
+     737          66 :   reg  [2:0]        state_vec_411;
+     738          74 :   reg  [2:0]        state_vec_412;
+     739          70 :   reg  [2:0]        state_vec_413;
+     740          81 :   reg  [2:0]        state_vec_414;
+     741          77 :   reg  [2:0]        state_vec_415;
+     742          81 :   reg  [2:0]        state_vec_416;
+     743          58 :   reg  [2:0]        state_vec_417;
+     744          68 :   reg  [2:0]        state_vec_418;
+     745          74 :   reg  [2:0]        state_vec_419;
+     746          69 :   reg  [2:0]        state_vec_420;
+     747          75 :   reg  [2:0]        state_vec_421;
+     748          64 :   reg  [2:0]        state_vec_422;
+     749          70 :   reg  [2:0]        state_vec_423;
+     750          66 :   reg  [2:0]        state_vec_424;
+     751          73 :   reg  [2:0]        state_vec_425;
+     752          73 :   reg  [2:0]        state_vec_426;
+     753          76 :   reg  [2:0]        state_vec_427;
+     754          67 :   reg  [2:0]        state_vec_428;
+     755          60 :   reg  [2:0]        state_vec_429;
+     756          77 :   reg  [2:0]        state_vec_430;
+     757          68 :   reg  [2:0]        state_vec_431;
+     758          73 :   reg  [2:0]        state_vec_432;
+     759          59 :   reg  [2:0]        state_vec_433;
+     760          72 :   reg  [2:0]        state_vec_434;
+     761          66 :   reg  [2:0]        state_vec_435;
+     762          75 :   reg  [2:0]        state_vec_436;
+     763          77 :   reg  [2:0]        state_vec_437;
+     764          69 :   reg  [2:0]        state_vec_438;
+     765          68 :   reg  [2:0]        state_vec_439;
+     766          73 :   reg  [2:0]        state_vec_440;
+     767          72 :   reg  [2:0]        state_vec_441;
+     768          67 :   reg  [2:0]        state_vec_442;
+     769          63 :   reg  [2:0]        state_vec_443;
+     770          65 :   reg  [2:0]        state_vec_444;
+     771          73 :   reg  [2:0]        state_vec_445;
+     772          73 :   reg  [2:0]        state_vec_446;
+     773          75 :   reg  [2:0]        state_vec_447;
+     774          72 :   reg  [2:0]        state_vec_448;
+     775          62 :   reg  [2:0]        state_vec_449;
+     776          65 :   reg  [2:0]        state_vec_450;
+     777          75 :   reg  [2:0]        state_vec_451;
+     778          64 :   reg  [2:0]        state_vec_452;
+     779          68 :   reg  [2:0]        state_vec_453;
+     780          73 :   reg  [2:0]        state_vec_454;
+     781          73 :   reg  [2:0]        state_vec_455;
+     782          69 :   reg  [2:0]        state_vec_456;
+     783          82 :   reg  [2:0]        state_vec_457;
+     784          71 :   reg  [2:0]        state_vec_458;
+     785          69 :   reg  [2:0]        state_vec_459;
+     786          71 :   reg  [2:0]        state_vec_460;
+     787          66 :   reg  [2:0]        state_vec_461;
+     788          72 :   reg  [2:0]        state_vec_462;
+     789          61 :   reg  [2:0]        state_vec_463;
+     790          71 :   reg  [2:0]        state_vec_464;
+     791          68 :   reg  [2:0]        state_vec_465;
+     792          68 :   reg  [2:0]        state_vec_466;
+     793          81 :   reg  [2:0]        state_vec_467;
+     794          65 :   reg  [2:0]        state_vec_468;
+     795          69 :   reg  [2:0]        state_vec_469;
+     796          75 :   reg  [2:0]        state_vec_470;
+     797          73 :   reg  [2:0]        state_vec_471;
+     798          68 :   reg  [2:0]        state_vec_472;
+     799          73 :   reg  [2:0]        state_vec_473;
+     800          75 :   reg  [2:0]        state_vec_474;
+     801          64 :   reg  [2:0]        state_vec_475;
+     802          66 :   reg  [2:0]        state_vec_476;
+     803          72 :   reg  [2:0]        state_vec_477;
+     804          62 :   reg  [2:0]        state_vec_478;
+     805          62 :   reg  [2:0]        state_vec_479;
+     806          84 :   reg  [2:0]        state_vec_480;
+     807          84 :   reg  [2:0]        state_vec_481;
+     808          77 :   reg  [2:0]        state_vec_482;
+     809          72 :   reg  [2:0]        state_vec_483;
+     810          71 :   reg  [2:0]        state_vec_484;
+     811          66 :   reg  [2:0]        state_vec_485;
+     812          72 :   reg  [2:0]        state_vec_486;
+     813          78 :   reg  [2:0]        state_vec_487;
+     814          70 :   reg  [2:0]        state_vec_488;
+     815          66 :   reg  [2:0]        state_vec_489;
+     816          60 :   reg  [2:0]        state_vec_490;
+     817          71 :   reg  [2:0]        state_vec_491;
+     818          68 :   reg  [2:0]        state_vec_492;
+     819          68 :   reg  [2:0]        state_vec_493;
+     820          67 :   reg  [2:0]        state_vec_494;
+     821          67 :   reg  [2:0]        state_vec_495;
+     822          65 :   reg  [2:0]        state_vec_496;
+     823          61 :   reg  [2:0]        state_vec_497;
+     824          67 :   reg  [2:0]        state_vec_498;
+     825          62 :   reg  [2:0]        state_vec_499;
+     826          69 :   reg  [2:0]        state_vec_500;
+     827          72 :   reg  [2:0]        state_vec_501;
+     828          72 :   reg  [2:0]        state_vec_502;
+     829          63 :   reg  [2:0]        state_vec_503;
+     830          75 :   reg  [2:0]        state_vec_504;
+     831          71 :   reg  [2:0]        state_vec_505;
+     832          68 :   reg  [2:0]        state_vec_506;
+     833          65 :   reg  [2:0]        state_vec_507;
+     834          66 :   reg  [2:0]        state_vec_508;
+     835          75 :   reg  [2:0]        state_vec_509;
+     836          61 :   reg  [2:0]        state_vec_510;
+     837        8297 :   reg  [2:0]        state_vec_511;
+     838          29 :   reg  [8:0]        touch_set_0_REG;
+     839          66 :   reg               touch_way_0_valid_REG;
+     840          88 :   reg  [1:0]        touch_way_0_bits_REG;
+     841          40 :   reg               allocWriteWay_REG_0;
+     842          41 :   reg               allocWriteWay_REG_1;
+     843          52 :   reg               allocWriteWay_REG_2;
+     844             :   reg               allocWriteWay_REG_3;
+     845             :   wire [511:0][2:0] _GEN =
+     846             :     {{state_vec_511},
+     847             :      {state_vec_510},
+     848             :      {state_vec_509},
+     849             :      {state_vec_508},
+     850             :      {state_vec_507},
+     851             :      {state_vec_506},
+     852             :      {state_vec_505},
+     853             :      {state_vec_504},
+     854             :      {state_vec_503},
+     855             :      {state_vec_502},
+     856             :      {state_vec_501},
+     857             :      {state_vec_500},
+     858             :      {state_vec_499},
+     859             :      {state_vec_498},
+     860             :      {state_vec_497},
+     861             :      {state_vec_496},
+     862             :      {state_vec_495},
+     863             :      {state_vec_494},
+     864             :      {state_vec_493},
+     865             :      {state_vec_492},
+     866             :      {state_vec_491},
+     867             :      {state_vec_490},
+     868             :      {state_vec_489},
+     869             :      {state_vec_488},
+     870             :      {state_vec_487},
+     871             :      {state_vec_486},
+     872             :      {state_vec_485},
+     873             :      {state_vec_484},
+     874             :      {state_vec_483},
+     875             :      {state_vec_482},
+     876             :      {state_vec_481},
+     877             :      {state_vec_480},
+     878             :      {state_vec_479},
+     879             :      {state_vec_478},
+     880             :      {state_vec_477},
+     881             :      {state_vec_476},
+     882             :      {state_vec_475},
+     883             :      {state_vec_474},
+     884             :      {state_vec_473},
+     885             :      {state_vec_472},
+     886             :      {state_vec_471},
+     887             :      {state_vec_470},
+     888             :      {state_vec_469},
+     889             :      {state_vec_468},
+     890             :      {state_vec_467},
+     891             :      {state_vec_466},
+     892             :      {state_vec_465},
+     893             :      {state_vec_464},
+     894             :      {state_vec_463},
+     895             :      {state_vec_462},
+     896             :      {state_vec_461},
+     897             :      {state_vec_460},
+     898             :      {state_vec_459},
+     899             :      {state_vec_458},
+     900             :      {state_vec_457},
+     901             :      {state_vec_456},
+     902             :      {state_vec_455},
+     903             :      {state_vec_454},
+     904             :      {state_vec_453},
+     905             :      {state_vec_452},
+     906             :      {state_vec_451},
+     907             :      {state_vec_450},
+     908             :      {state_vec_449},
+     909             :      {state_vec_448},
+     910             :      {state_vec_447},
+     911             :      {state_vec_446},
+     912             :      {state_vec_445},
+     913             :      {state_vec_444},
+     914             :      {state_vec_443},
+     915             :      {state_vec_442},
+     916             :      {state_vec_441},
+     917             :      {state_vec_440},
+     918             :      {state_vec_439},
+     919             :      {state_vec_438},
+     920             :      {state_vec_437},
+     921             :      {state_vec_436},
+     922             :      {state_vec_435},
+     923             :      {state_vec_434},
+     924             :      {state_vec_433},
+     925             :      {state_vec_432},
+     926             :      {state_vec_431},
+     927             :      {state_vec_430},
+     928             :      {state_vec_429},
+     929             :      {state_vec_428},
+     930             :      {state_vec_427},
+     931             :      {state_vec_426},
+     932             :      {state_vec_425},
+     933             :      {state_vec_424},
+     934             :      {state_vec_423},
+     935             :      {state_vec_422},
+     936             :      {state_vec_421},
+     937             :      {state_vec_420},
+     938             :      {state_vec_419},
+     939             :      {state_vec_418},
+     940             :      {state_vec_417},
+     941             :      {state_vec_416},
+     942             :      {state_vec_415},
+     943             :      {state_vec_414},
+     944             :      {state_vec_413},
+     945             :      {state_vec_412},
+     946             :      {state_vec_411},
+     947             :      {state_vec_410},
+     948             :      {state_vec_409},
+     949             :      {state_vec_408},
+     950             :      {state_vec_407},
+     951             :      {state_vec_406},
+     952             :      {state_vec_405},
+     953             :      {state_vec_404},
+     954             :      {state_vec_403},
+     955             :      {state_vec_402},
+     956             :      {state_vec_401},
+     957             :      {state_vec_400},
+     958             :      {state_vec_399},
+     959             :      {state_vec_398},
+     960             :      {state_vec_397},
+     961             :      {state_vec_396},
+     962             :      {state_vec_395},
+     963             :      {state_vec_394},
+     964             :      {state_vec_393},
+     965             :      {state_vec_392},
+     966             :      {state_vec_391},
+     967             :      {state_vec_390},
+     968             :      {state_vec_389},
+     969             :      {state_vec_388},
+     970             :      {state_vec_387},
+     971             :      {state_vec_386},
+     972             :      {state_vec_385},
+     973             :      {state_vec_384},
+     974             :      {state_vec_383},
+     975             :      {state_vec_382},
+     976             :      {state_vec_381},
+     977             :      {state_vec_380},
+     978             :      {state_vec_379},
+     979             :      {state_vec_378},
+     980             :      {state_vec_377},
+     981             :      {state_vec_376},
+     982             :      {state_vec_375},
+     983             :      {state_vec_374},
+     984             :      {state_vec_373},
+     985             :      {state_vec_372},
+     986             :      {state_vec_371},
+     987             :      {state_vec_370},
+     988             :      {state_vec_369},
+     989             :      {state_vec_368},
+     990             :      {state_vec_367},
+     991             :      {state_vec_366},
+     992             :      {state_vec_365},
+     993             :      {state_vec_364},
+     994             :      {state_vec_363},
+     995             :      {state_vec_362},
+     996             :      {state_vec_361},
+     997             :      {state_vec_360},
+     998             :      {state_vec_359},
+     999             :      {state_vec_358},
+    1000             :      {state_vec_357},
+    1001             :      {state_vec_356},
+    1002             :      {state_vec_355},
+    1003             :      {state_vec_354},
+    1004             :      {state_vec_353},
+    1005             :      {state_vec_352},
+    1006             :      {state_vec_351},
+    1007             :      {state_vec_350},
+    1008             :      {state_vec_349},
+    1009             :      {state_vec_348},
+    1010             :      {state_vec_347},
+    1011             :      {state_vec_346},
+    1012             :      {state_vec_345},
+    1013             :      {state_vec_344},
+    1014             :      {state_vec_343},
+    1015             :      {state_vec_342},
+    1016             :      {state_vec_341},
+    1017             :      {state_vec_340},
+    1018             :      {state_vec_339},
+    1019             :      {state_vec_338},
+    1020             :      {state_vec_337},
+    1021             :      {state_vec_336},
+    1022             :      {state_vec_335},
+    1023             :      {state_vec_334},
+    1024             :      {state_vec_333},
+    1025             :      {state_vec_332},
+    1026             :      {state_vec_331},
+    1027             :      {state_vec_330},
+    1028             :      {state_vec_329},
+    1029             :      {state_vec_328},
+    1030             :      {state_vec_327},
+    1031             :      {state_vec_326},
+    1032             :      {state_vec_325},
+    1033             :      {state_vec_324},
+    1034             :      {state_vec_323},
+    1035             :      {state_vec_322},
+    1036             :      {state_vec_321},
+    1037             :      {state_vec_320},
+    1038             :      {state_vec_319},
+    1039             :      {state_vec_318},
+    1040             :      {state_vec_317},
+    1041             :      {state_vec_316},
+    1042             :      {state_vec_315},
+    1043             :      {state_vec_314},
+    1044             :      {state_vec_313},
+    1045             :      {state_vec_312},
+    1046             :      {state_vec_311},
+    1047             :      {state_vec_310},
+    1048             :      {state_vec_309},
+    1049             :      {state_vec_308},
+    1050             :      {state_vec_307},
+    1051             :      {state_vec_306},
+    1052             :      {state_vec_305},
+    1053             :      {state_vec_304},
+    1054             :      {state_vec_303},
+    1055             :      {state_vec_302},
+    1056             :      {state_vec_301},
+    1057             :      {state_vec_300},
+    1058             :      {state_vec_299},
+    1059             :      {state_vec_298},
+    1060             :      {state_vec_297},
+    1061             :      {state_vec_296},
+    1062             :      {state_vec_295},
+    1063             :      {state_vec_294},
+    1064             :      {state_vec_293},
+    1065             :      {state_vec_292},
+    1066             :      {state_vec_291},
+    1067             :      {state_vec_290},
+    1068             :      {state_vec_289},
+    1069             :      {state_vec_288},
+    1070             :      {state_vec_287},
+    1071             :      {state_vec_286},
+    1072             :      {state_vec_285},
+    1073             :      {state_vec_284},
+    1074             :      {state_vec_283},
+    1075             :      {state_vec_282},
+    1076             :      {state_vec_281},
+    1077             :      {state_vec_280},
+    1078             :      {state_vec_279},
+    1079             :      {state_vec_278},
+    1080             :      {state_vec_277},
+    1081             :      {state_vec_276},
+    1082             :      {state_vec_275},
+    1083             :      {state_vec_274},
+    1084             :      {state_vec_273},
+    1085             :      {state_vec_272},
+    1086             :      {state_vec_271},
+    1087             :      {state_vec_270},
+    1088             :      {state_vec_269},
+    1089             :      {state_vec_268},
+    1090             :      {state_vec_267},
+    1091             :      {state_vec_266},
+    1092             :      {state_vec_265},
+    1093             :      {state_vec_264},
+    1094             :      {state_vec_263},
+    1095             :      {state_vec_262},
+    1096             :      {state_vec_261},
+    1097             :      {state_vec_260},
+    1098             :      {state_vec_259},
+    1099             :      {state_vec_258},
+    1100             :      {state_vec_257},
+    1101             :      {state_vec_256},
+    1102             :      {state_vec_255},
+    1103             :      {state_vec_254},
+    1104             :      {state_vec_253},
+    1105             :      {state_vec_252},
+    1106             :      {state_vec_251},
+    1107             :      {state_vec_250},
+    1108             :      {state_vec_249},
+    1109             :      {state_vec_248},
+    1110             :      {state_vec_247},
+    1111             :      {state_vec_246},
+    1112             :      {state_vec_245},
+    1113             :      {state_vec_244},
+    1114             :      {state_vec_243},
+    1115             :      {state_vec_242},
+    1116             :      {state_vec_241},
+    1117             :      {state_vec_240},
+    1118             :      {state_vec_239},
+    1119             :      {state_vec_238},
+    1120             :      {state_vec_237},
+    1121             :      {state_vec_236},
+    1122             :      {state_vec_235},
+    1123             :      {state_vec_234},
+    1124             :      {state_vec_233},
+    1125             :      {state_vec_232},
+    1126             :      {state_vec_231},
+    1127             :      {state_vec_230},
+    1128             :      {state_vec_229},
+    1129             :      {state_vec_228},
+    1130             :      {state_vec_227},
+    1131             :      {state_vec_226},
+    1132             :      {state_vec_225},
+    1133             :      {state_vec_224},
+    1134             :      {state_vec_223},
+    1135             :      {state_vec_222},
+    1136             :      {state_vec_221},
+    1137             :      {state_vec_220},
+    1138             :      {state_vec_219},
+    1139             :      {state_vec_218},
+    1140             :      {state_vec_217},
+    1141             :      {state_vec_216},
+    1142             :      {state_vec_215},
+    1143             :      {state_vec_214},
+    1144             :      {state_vec_213},
+    1145             :      {state_vec_212},
+    1146             :      {state_vec_211},
+    1147             :      {state_vec_210},
+    1148             :      {state_vec_209},
+    1149             :      {state_vec_208},
+    1150             :      {state_vec_207},
+    1151             :      {state_vec_206},
+    1152             :      {state_vec_205},
+    1153             :      {state_vec_204},
+    1154             :      {state_vec_203},
+    1155             :      {state_vec_202},
+    1156             :      {state_vec_201},
+    1157             :      {state_vec_200},
+    1158             :      {state_vec_199},
+    1159             :      {state_vec_198},
+    1160             :      {state_vec_197},
+    1161             :      {state_vec_196},
+    1162             :      {state_vec_195},
+    1163             :      {state_vec_194},
+    1164             :      {state_vec_193},
+    1165             :      {state_vec_192},
+    1166             :      {state_vec_191},
+    1167             :      {state_vec_190},
+    1168             :      {state_vec_189},
+    1169             :      {state_vec_188},
+    1170             :      {state_vec_187},
+    1171             :      {state_vec_186},
+    1172             :      {state_vec_185},
+    1173             :      {state_vec_184},
+    1174             :      {state_vec_183},
+    1175             :      {state_vec_182},
+    1176             :      {state_vec_181},
+    1177             :      {state_vec_180},
+    1178             :      {state_vec_179},
+    1179             :      {state_vec_178},
+    1180             :      {state_vec_177},
+    1181             :      {state_vec_176},
+    1182             :      {state_vec_175},
+    1183             :      {state_vec_174},
+    1184             :      {state_vec_173},
+    1185             :      {state_vec_172},
+    1186             :      {state_vec_171},
+    1187             :      {state_vec_170},
+    1188             :      {state_vec_169},
+    1189             :      {state_vec_168},
+    1190             :      {state_vec_167},
+    1191             :      {state_vec_166},
+    1192             :      {state_vec_165},
+    1193             :      {state_vec_164},
+    1194             :      {state_vec_163},
+    1195             :      {state_vec_162},
+    1196             :      {state_vec_161},
+    1197             :      {state_vec_160},
+    1198             :      {state_vec_159},
+    1199             :      {state_vec_158},
+    1200             :      {state_vec_157},
+    1201             :      {state_vec_156},
+    1202             :      {state_vec_155},
+    1203             :      {state_vec_154},
+    1204             :      {state_vec_153},
+    1205             :      {state_vec_152},
+    1206             :      {state_vec_151},
+    1207             :      {state_vec_150},
+    1208             :      {state_vec_149},
+    1209             :      {state_vec_148},
+    1210             :      {state_vec_147},
+    1211             :      {state_vec_146},
+    1212             :      {state_vec_145},
+    1213             :      {state_vec_144},
+    1214             :      {state_vec_143},
+    1215             :      {state_vec_142},
+    1216             :      {state_vec_141},
+    1217             :      {state_vec_140},
+    1218             :      {state_vec_139},
+    1219             :      {state_vec_138},
+    1220             :      {state_vec_137},
+    1221             :      {state_vec_136},
+    1222             :      {state_vec_135},
+    1223             :      {state_vec_134},
+    1224             :      {state_vec_133},
+    1225             :      {state_vec_132},
+    1226             :      {state_vec_131},
+    1227             :      {state_vec_130},
+    1228             :      {state_vec_129},
+    1229             :      {state_vec_128},
+    1230             :      {state_vec_127},
+    1231             :      {state_vec_126},
+    1232             :      {state_vec_125},
+    1233             :      {state_vec_124},
+    1234             :      {state_vec_123},
+    1235             :      {state_vec_122},
+    1236             :      {state_vec_121},
+    1237             :      {state_vec_120},
+    1238             :      {state_vec_119},
+    1239             :      {state_vec_118},
+    1240             :      {state_vec_117},
+    1241             :      {state_vec_116},
+    1242             :      {state_vec_115},
+    1243             :      {state_vec_114},
+    1244             :      {state_vec_113},
+    1245             :      {state_vec_112},
+    1246             :      {state_vec_111},
+    1247             :      {state_vec_110},
+    1248             :      {state_vec_109},
+    1249             :      {state_vec_108},
+    1250             :      {state_vec_107},
+    1251             :      {state_vec_106},
+    1252             :      {state_vec_105},
+    1253             :      {state_vec_104},
+    1254             :      {state_vec_103},
+    1255             :      {state_vec_102},
+    1256             :      {state_vec_101},
+    1257             :      {state_vec_100},
+    1258             :      {state_vec_99},
+    1259             :      {state_vec_98},
+    1260             :      {state_vec_97},
+    1261             :      {state_vec_96},
+    1262             :      {state_vec_95},
+    1263             :      {state_vec_94},
+    1264             :      {state_vec_93},
+    1265             :      {state_vec_92},
+    1266             :      {state_vec_91},
+    1267             :      {state_vec_90},
+    1268             :      {state_vec_89},
+    1269             :      {state_vec_88},
+    1270             :      {state_vec_87},
+    1271             :      {state_vec_86},
+    1272             :      {state_vec_85},
+    1273             :      {state_vec_84},
+    1274             :      {state_vec_83},
+    1275             :      {state_vec_82},
+    1276             :      {state_vec_81},
+    1277             :      {state_vec_80},
+    1278             :      {state_vec_79},
+    1279             :      {state_vec_78},
+    1280             :      {state_vec_77},
+    1281             :      {state_vec_76},
+    1282             :      {state_vec_75},
+    1283             :      {state_vec_74},
+    1284             :      {state_vec_73},
+    1285             :      {state_vec_72},
+    1286             :      {state_vec_71},
+    1287             :      {state_vec_70},
+    1288             :      {state_vec_69},
+    1289             :      {state_vec_68},
+    1290             :      {state_vec_67},
+    1291             :      {state_vec_66},
+    1292             :      {state_vec_65},
+    1293             :      {state_vec_64},
+    1294             :      {state_vec_63},
+    1295             :      {state_vec_62},
+    1296             :      {state_vec_61},
+    1297             :      {state_vec_60},
+    1298             :      {state_vec_59},
+    1299             :      {state_vec_58},
+    1300             :      {state_vec_57},
+    1301             :      {state_vec_56},
+    1302             :      {state_vec_55},
+    1303             :      {state_vec_54},
+    1304             :      {state_vec_53},
+    1305             :      {state_vec_52},
+    1306             :      {state_vec_51},
+    1307             :      {state_vec_50},
+    1308             :      {state_vec_49},
+    1309             :      {state_vec_48},
+    1310             :      {state_vec_47},
+    1311             :      {state_vec_46},
+    1312             :      {state_vec_45},
+    1313             :      {state_vec_44},
+    1314             :      {state_vec_43},
+    1315             :      {state_vec_42},
+    1316             :      {state_vec_41},
+    1317             :      {state_vec_40},
+    1318             :      {state_vec_39},
+    1319             :      {state_vec_38},
+    1320             :      {state_vec_37},
+    1321             :      {state_vec_36},
+    1322             :      {state_vec_35},
+    1323             :      {state_vec_34},
+    1324             :      {state_vec_33},
+    1325             :      {state_vec_32},
+    1326             :      {state_vec_31},
+    1327             :      {state_vec_30},
+    1328             :      {state_vec_29},
+    1329             :      {state_vec_28},
+    1330             :      {state_vec_27},
+    1331             :      {state_vec_26},
+    1332             :      {state_vec_25},
+    1333             :      {state_vec_24},
+    1334             :      {state_vec_23},
+    1335             :      {state_vec_22},
+    1336             :      {state_vec_21},
+    1337             :      {state_vec_20},
+    1338             :      {state_vec_19},
+    1339             :      {state_vec_18},
+    1340             :      {state_vec_17},
+    1341             :      {state_vec_16},
+    1342             :      {state_vec_15},
+    1343             :      {state_vec_14},
+    1344             :      {state_vec_13},
+    1345             :      {state_vec_12},
+    1346             :      {state_vec_11},
+    1347             :      {state_vec_10},
+    1348             :      {state_vec_9},
+    1349             :      {state_vec_8},
+    1350             :      {state_vec_7},
+    1351             :      {state_vec_6},
+    1352             :      {state_vec_5},
+    1353             :      {state_vec_4},
+    1354             :      {state_vec_3},
+    1355             :      {state_vec_2},
+    1356             :      {state_vec_1},
+    1357             :      {state_vec_0}};
+    1358             :   wire [2:0]        _GEN_0 = _GEN[io_update_pc[9:1]];
+    1359             :   wire [2:0]        _allocWriteWay_w_T_4 =
+    1360         127 :     ~{allocWriteWay_REG_2, allocWriteWay_REG_1, allocWriteWay_REG_0};
+    1361             :   wire [1:0]        u_way =
+    1362             :     io_update_write_alloc
+    1363             :       ? ((&{allocWriteWay_REG_3,
+    1364             :             allocWriteWay_REG_2,
+    1365             :             allocWriteWay_REG_1,
+    1366             :             allocWriteWay_REG_0})
+    1367             :            ? {_GEN_0[2], _GEN_0[2] ? _GEN_0[1] : _GEN_0[0]}
+    1368             :            : _allocWriteWay_w_T_4[0]
+    1369             :                ? 2'h0
+    1370             :                : _allocWriteWay_w_T_4[1] ? 2'h1 : {1'h1, ~(_allocWriteWay_w_T_4[2])})
+    1371      127694 :       : io_update_write_way;
+    1372       63847 :   always @(posedge clock) begin
+    1373        8320 :     pred_rdata_REG <= io_req_pc_valid & ~io_update_access;
+    1374        4160 :     if (pred_rdata_REG) begin
+    1375        4160 :       pred_rdata_hold_data_0_entry_valid <= _ftb_io_r_resp_data_0_entry_valid;
+    1376        4160 :       pred_rdata_hold_data_0_entry_brSlots_0_offset <=
+    1377        4160 :         _ftb_io_r_resp_data_0_entry_brSlots_0_offset;
+    1378        4160 :       pred_rdata_hold_data_0_entry_brSlots_0_lower <=
+    1379        4160 :         _ftb_io_r_resp_data_0_entry_brSlots_0_lower;
+    1380        4160 :       pred_rdata_hold_data_0_entry_brSlots_0_tarStat <=
+    1381        4160 :         _ftb_io_r_resp_data_0_entry_brSlots_0_tarStat;
+    1382        4160 :       pred_rdata_hold_data_0_entry_brSlots_0_sharing <=
+    1383        4160 :         _ftb_io_r_resp_data_0_entry_brSlots_0_sharing;
+    1384        4160 :       pred_rdata_hold_data_0_entry_brSlots_0_valid <=
+    1385        4160 :         _ftb_io_r_resp_data_0_entry_brSlots_0_valid;
+    1386        4160 :       pred_rdata_hold_data_0_entry_tailSlot_offset <=
+    1387        4160 :         _ftb_io_r_resp_data_0_entry_tailSlot_offset;
+    1388        4160 :       pred_rdata_hold_data_0_entry_tailSlot_lower <=
+    1389        4160 :         _ftb_io_r_resp_data_0_entry_tailSlot_lower;
+    1390        4160 :       pred_rdata_hold_data_0_entry_tailSlot_tarStat <=
+    1391        4160 :         _ftb_io_r_resp_data_0_entry_tailSlot_tarStat;
+    1392        4160 :       pred_rdata_hold_data_0_entry_tailSlot_sharing <=
+    1393        4160 :         _ftb_io_r_resp_data_0_entry_tailSlot_sharing;
+    1394        4160 :       pred_rdata_hold_data_0_entry_tailSlot_valid <=
+    1395        4160 :         _ftb_io_r_resp_data_0_entry_tailSlot_valid;
+    1396        4160 :       pred_rdata_hold_data_0_entry_pftAddr <= _ftb_io_r_resp_data_0_entry_pftAddr;
+    1397        4160 :       pred_rdata_hold_data_0_entry_carry <= _ftb_io_r_resp_data_0_entry_carry;
+    1398        4160 :       pred_rdata_hold_data_0_entry_isCall <= _ftb_io_r_resp_data_0_entry_isCall;
+    1399        4160 :       pred_rdata_hold_data_0_entry_isRet <= _ftb_io_r_resp_data_0_entry_isRet;
+    1400        4160 :       pred_rdata_hold_data_0_entry_isJalr <= _ftb_io_r_resp_data_0_entry_isJalr;
+    1401        4160 :       pred_rdata_hold_data_0_entry_last_may_be_rvi_call <=
+    1402        4160 :         _ftb_io_r_resp_data_0_entry_last_may_be_rvi_call;
+    1403        4160 :       pred_rdata_hold_data_0_entry_always_taken_0 <=
+    1404        4160 :         _ftb_io_r_resp_data_0_entry_always_taken_0;
+    1405        4160 :       pred_rdata_hold_data_0_entry_always_taken_1 <=
+    1406        4160 :         _ftb_io_r_resp_data_0_entry_always_taken_1;
+    1407        4160 :       pred_rdata_hold_data_0_tag <= _ftb_io_r_resp_data_0_tag;
+    1408        4160 :       pred_rdata_hold_data_1_entry_valid <= _ftb_io_r_resp_data_1_entry_valid;
+    1409        4160 :       pred_rdata_hold_data_1_entry_brSlots_0_offset <=
+    1410        4160 :         _ftb_io_r_resp_data_1_entry_brSlots_0_offset;
+    1411        4160 :       pred_rdata_hold_data_1_entry_brSlots_0_lower <=
+    1412        4160 :         _ftb_io_r_resp_data_1_entry_brSlots_0_lower;
+    1413        4160 :       pred_rdata_hold_data_1_entry_brSlots_0_tarStat <=
+    1414        4160 :         _ftb_io_r_resp_data_1_entry_brSlots_0_tarStat;
+    1415        4160 :       pred_rdata_hold_data_1_entry_brSlots_0_sharing <=
+    1416        4160 :         _ftb_io_r_resp_data_1_entry_brSlots_0_sharing;
+    1417        4160 :       pred_rdata_hold_data_1_entry_brSlots_0_valid <=
+    1418        4160 :         _ftb_io_r_resp_data_1_entry_brSlots_0_valid;
+    1419        4160 :       pred_rdata_hold_data_1_entry_tailSlot_offset <=
+    1420        4160 :         _ftb_io_r_resp_data_1_entry_tailSlot_offset;
+    1421        4160 :       pred_rdata_hold_data_1_entry_tailSlot_lower <=
+    1422        4160 :         _ftb_io_r_resp_data_1_entry_tailSlot_lower;
+    1423        4160 :       pred_rdata_hold_data_1_entry_tailSlot_tarStat <=
+    1424        4160 :         _ftb_io_r_resp_data_1_entry_tailSlot_tarStat;
+    1425        4160 :       pred_rdata_hold_data_1_entry_tailSlot_sharing <=
+    1426        4160 :         _ftb_io_r_resp_data_1_entry_tailSlot_sharing;
+    1427        4160 :       pred_rdata_hold_data_1_entry_tailSlot_valid <=
+    1428        4160 :         _ftb_io_r_resp_data_1_entry_tailSlot_valid;
+    1429        4160 :       pred_rdata_hold_data_1_entry_pftAddr <= _ftb_io_r_resp_data_1_entry_pftAddr;
+    1430        4160 :       pred_rdata_hold_data_1_entry_carry <= _ftb_io_r_resp_data_1_entry_carry;
+    1431        4160 :       pred_rdata_hold_data_1_entry_isCall <= _ftb_io_r_resp_data_1_entry_isCall;
+    1432        4160 :       pred_rdata_hold_data_1_entry_isRet <= _ftb_io_r_resp_data_1_entry_isRet;
+    1433        4160 :       pred_rdata_hold_data_1_entry_isJalr <= _ftb_io_r_resp_data_1_entry_isJalr;
+    1434        4160 :       pred_rdata_hold_data_1_entry_last_may_be_rvi_call <=
+    1435        4160 :         _ftb_io_r_resp_data_1_entry_last_may_be_rvi_call;
+    1436        4160 :       pred_rdata_hold_data_1_entry_always_taken_0 <=
+    1437        4160 :         _ftb_io_r_resp_data_1_entry_always_taken_0;
+    1438        4160 :       pred_rdata_hold_data_1_entry_always_taken_1 <=
+    1439        4160 :         _ftb_io_r_resp_data_1_entry_always_taken_1;
+    1440        4160 :       pred_rdata_hold_data_1_tag <= _ftb_io_r_resp_data_1_tag;
+    1441        4160 :       pred_rdata_hold_data_2_entry_valid <= _ftb_io_r_resp_data_2_entry_valid;
+    1442        4160 :       pred_rdata_hold_data_2_entry_brSlots_0_offset <=
+    1443        4160 :         _ftb_io_r_resp_data_2_entry_brSlots_0_offset;
+    1444        4160 :       pred_rdata_hold_data_2_entry_brSlots_0_lower <=
+    1445        4160 :         _ftb_io_r_resp_data_2_entry_brSlots_0_lower;
+    1446        4160 :       pred_rdata_hold_data_2_entry_brSlots_0_tarStat <=
+    1447        4160 :         _ftb_io_r_resp_data_2_entry_brSlots_0_tarStat;
+    1448        4160 :       pred_rdata_hold_data_2_entry_brSlots_0_sharing <=
+    1449        4160 :         _ftb_io_r_resp_data_2_entry_brSlots_0_sharing;
+    1450        4160 :       pred_rdata_hold_data_2_entry_brSlots_0_valid <=
+    1451        4160 :         _ftb_io_r_resp_data_2_entry_brSlots_0_valid;
+    1452        4160 :       pred_rdata_hold_data_2_entry_tailSlot_offset <=
+    1453        4160 :         _ftb_io_r_resp_data_2_entry_tailSlot_offset;
+    1454        4160 :       pred_rdata_hold_data_2_entry_tailSlot_lower <=
+    1455        4160 :         _ftb_io_r_resp_data_2_entry_tailSlot_lower;
+    1456        4160 :       pred_rdata_hold_data_2_entry_tailSlot_tarStat <=
+    1457        4160 :         _ftb_io_r_resp_data_2_entry_tailSlot_tarStat;
+    1458        4160 :       pred_rdata_hold_data_2_entry_tailSlot_sharing <=
+    1459        4160 :         _ftb_io_r_resp_data_2_entry_tailSlot_sharing;
+    1460        4160 :       pred_rdata_hold_data_2_entry_tailSlot_valid <=
+    1461        4160 :         _ftb_io_r_resp_data_2_entry_tailSlot_valid;
+    1462        4160 :       pred_rdata_hold_data_2_entry_pftAddr <= _ftb_io_r_resp_data_2_entry_pftAddr;
+    1463        4160 :       pred_rdata_hold_data_2_entry_carry <= _ftb_io_r_resp_data_2_entry_carry;
+    1464        4160 :       pred_rdata_hold_data_2_entry_isCall <= _ftb_io_r_resp_data_2_entry_isCall;
+    1465        4160 :       pred_rdata_hold_data_2_entry_isRet <= _ftb_io_r_resp_data_2_entry_isRet;
+    1466        4160 :       pred_rdata_hold_data_2_entry_isJalr <= _ftb_io_r_resp_data_2_entry_isJalr;
+    1467        4160 :       pred_rdata_hold_data_2_entry_last_may_be_rvi_call <=
+    1468        4160 :         _ftb_io_r_resp_data_2_entry_last_may_be_rvi_call;
+    1469        4160 :       pred_rdata_hold_data_2_entry_always_taken_0 <=
+    1470        4160 :         _ftb_io_r_resp_data_2_entry_always_taken_0;
+    1471        4160 :       pred_rdata_hold_data_2_entry_always_taken_1 <=
+    1472        4160 :         _ftb_io_r_resp_data_2_entry_always_taken_1;
+    1473        4160 :       pred_rdata_hold_data_2_tag <= _ftb_io_r_resp_data_2_tag;
+    1474        4160 :       pred_rdata_hold_data_3_entry_valid <= _ftb_io_r_resp_data_3_entry_valid;
+    1475        4160 :       pred_rdata_hold_data_3_entry_brSlots_0_offset <=
+    1476        4160 :         _ftb_io_r_resp_data_3_entry_brSlots_0_offset;
+    1477        4160 :       pred_rdata_hold_data_3_entry_brSlots_0_lower <=
+    1478        4160 :         _ftb_io_r_resp_data_3_entry_brSlots_0_lower;
+    1479        4160 :       pred_rdata_hold_data_3_entry_brSlots_0_tarStat <=
+    1480        4160 :         _ftb_io_r_resp_data_3_entry_brSlots_0_tarStat;
+    1481        4160 :       pred_rdata_hold_data_3_entry_brSlots_0_sharing <=
+    1482        4160 :         _ftb_io_r_resp_data_3_entry_brSlots_0_sharing;
+    1483        4160 :       pred_rdata_hold_data_3_entry_brSlots_0_valid <=
+    1484        4160 :         _ftb_io_r_resp_data_3_entry_brSlots_0_valid;
+    1485        4160 :       pred_rdata_hold_data_3_entry_tailSlot_offset <=
+    1486        4160 :         _ftb_io_r_resp_data_3_entry_tailSlot_offset;
+    1487        4160 :       pred_rdata_hold_data_3_entry_tailSlot_lower <=
+    1488        4160 :         _ftb_io_r_resp_data_3_entry_tailSlot_lower;
+    1489        4160 :       pred_rdata_hold_data_3_entry_tailSlot_tarStat <=
+    1490        4160 :         _ftb_io_r_resp_data_3_entry_tailSlot_tarStat;
+    1491        4160 :       pred_rdata_hold_data_3_entry_tailSlot_sharing <=
+    1492        4160 :         _ftb_io_r_resp_data_3_entry_tailSlot_sharing;
+    1493        4160 :       pred_rdata_hold_data_3_entry_tailSlot_valid <=
+    1494        4160 :         _ftb_io_r_resp_data_3_entry_tailSlot_valid;
+    1495        4160 :       pred_rdata_hold_data_3_entry_pftAddr <= _ftb_io_r_resp_data_3_entry_pftAddr;
+    1496        4160 :       pred_rdata_hold_data_3_entry_carry <= _ftb_io_r_resp_data_3_entry_carry;
+    1497        4160 :       pred_rdata_hold_data_3_entry_isCall <= _ftb_io_r_resp_data_3_entry_isCall;
+    1498        4160 :       pred_rdata_hold_data_3_entry_isRet <= _ftb_io_r_resp_data_3_entry_isRet;
+    1499        4160 :       pred_rdata_hold_data_3_entry_isJalr <= _ftb_io_r_resp_data_3_entry_isJalr;
+    1500        4160 :       pred_rdata_hold_data_3_entry_last_may_be_rvi_call <=
+    1501        4160 :         _ftb_io_r_resp_data_3_entry_last_may_be_rvi_call;
+    1502        4160 :       pred_rdata_hold_data_3_entry_always_taken_0 <=
+    1503        4160 :         _ftb_io_r_resp_data_3_entry_always_taken_0;
+    1504        4160 :       pred_rdata_hold_data_3_entry_always_taken_1 <=
+    1505        4160 :         _ftb_io_r_resp_data_3_entry_always_taken_1;
+    1506             :       pred_rdata_hold_data_3_tag <= _ftb_io_r_resp_data_3_tag;
+    1507        8350 :     end
+    1508        4175 :     if (io_req_pc_valid) begin
+    1509        4175 :       req_tag <= io_req_pc_bits[29:10];
+    1510             :       req_idx <= io_req_pc_bits[9:1];
+    1511          38 :     end
+    1512          19 :     if (io_u_req_pc_valid)
+    1513       63847 :       u_req_tag <= io_u_req_pc_bits[29:10];
+    1514       63847 :     u_total_hits_REG <= io_update_access;
+    1515       63847 :     u_total_hits_REG_1 <= io_update_access;
+    1516       63847 :     u_total_hits_REG_2 <= io_update_access;
+    1517       63847 :     u_total_hits_REG_3 <= io_update_access;
+    1518       63847 :     touch_set_0_REG <= req_idx;
+    1519       63847 :     touch_way_0_valid_REG <= hit;
+    1520       63847 :     touch_way_0_bits_REG <= hit_way;
+    1521       63847 :     allocWriteWay_REG_0 <= _ftb_io_r_resp_data_0_entry_valid;
+    1522       63847 :     allocWriteWay_REG_1 <= _ftb_io_r_resp_data_1_entry_valid;
+    1523       63847 :     allocWriteWay_REG_2 <= _ftb_io_r_resp_data_2_entry_valid;
+    1524             :     allocWriteWay_REG_3 <= _ftb_io_r_resp_data_3_entry_valid;
+    1525        8514 :   end // always @(posedge)
+    1526             :   wire [8:0]        touch_set_0 =
+    1527          77 :     io_update_write_data_valid ? io_update_pc[9:1] : touch_set_0_REG;
+    1528             :   wire              touch_way_0_valid =
+    1529          71 :     io_update_write_data_valid | touch_way_0_valid_REG;
+    1530             :   wire [1:0]        touch_way_0_bits =
+    1531      127730 :     io_update_write_data_valid ? u_way : touch_way_0_bits_REG;
+    1532         272 :   always @(posedge clock or posedge reset) begin
+    1533         136 :     if (reset) begin
+    1534         136 :       state_vec_0 <= 3'h0;
+    1535         136 :       state_vec_1 <= 3'h0;
+    1536         136 :       state_vec_2 <= 3'h0;
+    1537         136 :       state_vec_3 <= 3'h0;
+    1538         136 :       state_vec_4 <= 3'h0;
+    1539         136 :       state_vec_5 <= 3'h0;
+    1540         136 :       state_vec_6 <= 3'h0;
+    1541         136 :       state_vec_7 <= 3'h0;
+    1542         136 :       state_vec_8 <= 3'h0;
+    1543         136 :       state_vec_9 <= 3'h0;
+    1544         136 :       state_vec_10 <= 3'h0;
+    1545         136 :       state_vec_11 <= 3'h0;
+    1546         136 :       state_vec_12 <= 3'h0;
+    1547         136 :       state_vec_13 <= 3'h0;
+    1548         136 :       state_vec_14 <= 3'h0;
+    1549         136 :       state_vec_15 <= 3'h0;
+    1550         136 :       state_vec_16 <= 3'h0;
+    1551         136 :       state_vec_17 <= 3'h0;
+    1552         136 :       state_vec_18 <= 3'h0;
+    1553         136 :       state_vec_19 <= 3'h0;
+    1554         136 :       state_vec_20 <= 3'h0;
+    1555         136 :       state_vec_21 <= 3'h0;
+    1556         136 :       state_vec_22 <= 3'h0;
+    1557         136 :       state_vec_23 <= 3'h0;
+    1558         136 :       state_vec_24 <= 3'h0;
+    1559         136 :       state_vec_25 <= 3'h0;
+    1560         136 :       state_vec_26 <= 3'h0;
+    1561         136 :       state_vec_27 <= 3'h0;
+    1562         136 :       state_vec_28 <= 3'h0;
+    1563         136 :       state_vec_29 <= 3'h0;
+    1564         136 :       state_vec_30 <= 3'h0;
+    1565         136 :       state_vec_31 <= 3'h0;
+    1566         136 :       state_vec_32 <= 3'h0;
+    1567         136 :       state_vec_33 <= 3'h0;
+    1568         136 :       state_vec_34 <= 3'h0;
+    1569         136 :       state_vec_35 <= 3'h0;
+    1570         136 :       state_vec_36 <= 3'h0;
+    1571         136 :       state_vec_37 <= 3'h0;
+    1572         136 :       state_vec_38 <= 3'h0;
+    1573         136 :       state_vec_39 <= 3'h0;
+    1574         136 :       state_vec_40 <= 3'h0;
+    1575         136 :       state_vec_41 <= 3'h0;
+    1576         136 :       state_vec_42 <= 3'h0;
+    1577         136 :       state_vec_43 <= 3'h0;
+    1578         136 :       state_vec_44 <= 3'h0;
+    1579         136 :       state_vec_45 <= 3'h0;
+    1580         136 :       state_vec_46 <= 3'h0;
+    1581         136 :       state_vec_47 <= 3'h0;
+    1582         136 :       state_vec_48 <= 3'h0;
+    1583         136 :       state_vec_49 <= 3'h0;
+    1584         136 :       state_vec_50 <= 3'h0;
+    1585         136 :       state_vec_51 <= 3'h0;
+    1586         136 :       state_vec_52 <= 3'h0;
+    1587         136 :       state_vec_53 <= 3'h0;
+    1588         136 :       state_vec_54 <= 3'h0;
+    1589         136 :       state_vec_55 <= 3'h0;
+    1590         136 :       state_vec_56 <= 3'h0;
+    1591         136 :       state_vec_57 <= 3'h0;
+    1592         136 :       state_vec_58 <= 3'h0;
+    1593         136 :       state_vec_59 <= 3'h0;
+    1594         136 :       state_vec_60 <= 3'h0;
+    1595         136 :       state_vec_61 <= 3'h0;
+    1596         136 :       state_vec_62 <= 3'h0;
+    1597         136 :       state_vec_63 <= 3'h0;
+    1598         136 :       state_vec_64 <= 3'h0;
+    1599         136 :       state_vec_65 <= 3'h0;
+    1600         136 :       state_vec_66 <= 3'h0;
+    1601         136 :       state_vec_67 <= 3'h0;
+    1602         136 :       state_vec_68 <= 3'h0;
+    1603         136 :       state_vec_69 <= 3'h0;
+    1604         136 :       state_vec_70 <= 3'h0;
+    1605         136 :       state_vec_71 <= 3'h0;
+    1606         136 :       state_vec_72 <= 3'h0;
+    1607         136 :       state_vec_73 <= 3'h0;
+    1608         136 :       state_vec_74 <= 3'h0;
+    1609         136 :       state_vec_75 <= 3'h0;
+    1610         136 :       state_vec_76 <= 3'h0;
+    1611         136 :       state_vec_77 <= 3'h0;
+    1612         136 :       state_vec_78 <= 3'h0;
+    1613         136 :       state_vec_79 <= 3'h0;
+    1614         136 :       state_vec_80 <= 3'h0;
+    1615         136 :       state_vec_81 <= 3'h0;
+    1616         136 :       state_vec_82 <= 3'h0;
+    1617         136 :       state_vec_83 <= 3'h0;
+    1618         136 :       state_vec_84 <= 3'h0;
+    1619         136 :       state_vec_85 <= 3'h0;
+    1620         136 :       state_vec_86 <= 3'h0;
+    1621         136 :       state_vec_87 <= 3'h0;
+    1622         136 :       state_vec_88 <= 3'h0;
+    1623         136 :       state_vec_89 <= 3'h0;
+    1624         136 :       state_vec_90 <= 3'h0;
+    1625         136 :       state_vec_91 <= 3'h0;
+    1626         136 :       state_vec_92 <= 3'h0;
+    1627         136 :       state_vec_93 <= 3'h0;
+    1628         136 :       state_vec_94 <= 3'h0;
+    1629         136 :       state_vec_95 <= 3'h0;
+    1630         136 :       state_vec_96 <= 3'h0;
+    1631         136 :       state_vec_97 <= 3'h0;
+    1632         136 :       state_vec_98 <= 3'h0;
+    1633         136 :       state_vec_99 <= 3'h0;
+    1634         136 :       state_vec_100 <= 3'h0;
+    1635         136 :       state_vec_101 <= 3'h0;
+    1636         136 :       state_vec_102 <= 3'h0;
+    1637         136 :       state_vec_103 <= 3'h0;
+    1638         136 :       state_vec_104 <= 3'h0;
+    1639         136 :       state_vec_105 <= 3'h0;
+    1640         136 :       state_vec_106 <= 3'h0;
+    1641         136 :       state_vec_107 <= 3'h0;
+    1642         136 :       state_vec_108 <= 3'h0;
+    1643         136 :       state_vec_109 <= 3'h0;
+    1644         136 :       state_vec_110 <= 3'h0;
+    1645         136 :       state_vec_111 <= 3'h0;
+    1646         136 :       state_vec_112 <= 3'h0;
+    1647         136 :       state_vec_113 <= 3'h0;
+    1648         136 :       state_vec_114 <= 3'h0;
+    1649         136 :       state_vec_115 <= 3'h0;
+    1650         136 :       state_vec_116 <= 3'h0;
+    1651         136 :       state_vec_117 <= 3'h0;
+    1652         136 :       state_vec_118 <= 3'h0;
+    1653         136 :       state_vec_119 <= 3'h0;
+    1654         136 :       state_vec_120 <= 3'h0;
+    1655         136 :       state_vec_121 <= 3'h0;
+    1656         136 :       state_vec_122 <= 3'h0;
+    1657         136 :       state_vec_123 <= 3'h0;
+    1658         136 :       state_vec_124 <= 3'h0;
+    1659         136 :       state_vec_125 <= 3'h0;
+    1660         136 :       state_vec_126 <= 3'h0;
+    1661         136 :       state_vec_127 <= 3'h0;
+    1662         136 :       state_vec_128 <= 3'h0;
+    1663         136 :       state_vec_129 <= 3'h0;
+    1664         136 :       state_vec_130 <= 3'h0;
+    1665         136 :       state_vec_131 <= 3'h0;
+    1666         136 :       state_vec_132 <= 3'h0;
+    1667         136 :       state_vec_133 <= 3'h0;
+    1668         136 :       state_vec_134 <= 3'h0;
+    1669         136 :       state_vec_135 <= 3'h0;
+    1670         136 :       state_vec_136 <= 3'h0;
+    1671         136 :       state_vec_137 <= 3'h0;
+    1672         136 :       state_vec_138 <= 3'h0;
+    1673         136 :       state_vec_139 <= 3'h0;
+    1674         136 :       state_vec_140 <= 3'h0;
+    1675         136 :       state_vec_141 <= 3'h0;
+    1676         136 :       state_vec_142 <= 3'h0;
+    1677         136 :       state_vec_143 <= 3'h0;
+    1678         136 :       state_vec_144 <= 3'h0;
+    1679         136 :       state_vec_145 <= 3'h0;
+    1680         136 :       state_vec_146 <= 3'h0;
+    1681         136 :       state_vec_147 <= 3'h0;
+    1682         136 :       state_vec_148 <= 3'h0;
+    1683         136 :       state_vec_149 <= 3'h0;
+    1684         136 :       state_vec_150 <= 3'h0;
+    1685         136 :       state_vec_151 <= 3'h0;
+    1686         136 :       state_vec_152 <= 3'h0;
+    1687         136 :       state_vec_153 <= 3'h0;
+    1688         136 :       state_vec_154 <= 3'h0;
+    1689         136 :       state_vec_155 <= 3'h0;
+    1690         136 :       state_vec_156 <= 3'h0;
+    1691         136 :       state_vec_157 <= 3'h0;
+    1692         136 :       state_vec_158 <= 3'h0;
+    1693         136 :       state_vec_159 <= 3'h0;
+    1694         136 :       state_vec_160 <= 3'h0;
+    1695         136 :       state_vec_161 <= 3'h0;
+    1696         136 :       state_vec_162 <= 3'h0;
+    1697         136 :       state_vec_163 <= 3'h0;
+    1698         136 :       state_vec_164 <= 3'h0;
+    1699         136 :       state_vec_165 <= 3'h0;
+    1700         136 :       state_vec_166 <= 3'h0;
+    1701         136 :       state_vec_167 <= 3'h0;
+    1702         136 :       state_vec_168 <= 3'h0;
+    1703         136 :       state_vec_169 <= 3'h0;
+    1704         136 :       state_vec_170 <= 3'h0;
+    1705         136 :       state_vec_171 <= 3'h0;
+    1706         136 :       state_vec_172 <= 3'h0;
+    1707         136 :       state_vec_173 <= 3'h0;
+    1708         136 :       state_vec_174 <= 3'h0;
+    1709         136 :       state_vec_175 <= 3'h0;
+    1710         136 :       state_vec_176 <= 3'h0;
+    1711         136 :       state_vec_177 <= 3'h0;
+    1712         136 :       state_vec_178 <= 3'h0;
+    1713         136 :       state_vec_179 <= 3'h0;
+    1714         136 :       state_vec_180 <= 3'h0;
+    1715         136 :       state_vec_181 <= 3'h0;
+    1716         136 :       state_vec_182 <= 3'h0;
+    1717         136 :       state_vec_183 <= 3'h0;
+    1718         136 :       state_vec_184 <= 3'h0;
+    1719         136 :       state_vec_185 <= 3'h0;
+    1720         136 :       state_vec_186 <= 3'h0;
+    1721         136 :       state_vec_187 <= 3'h0;
+    1722         136 :       state_vec_188 <= 3'h0;
+    1723         136 :       state_vec_189 <= 3'h0;
+    1724         136 :       state_vec_190 <= 3'h0;
+    1725         136 :       state_vec_191 <= 3'h0;
+    1726         136 :       state_vec_192 <= 3'h0;
+    1727         136 :       state_vec_193 <= 3'h0;
+    1728         136 :       state_vec_194 <= 3'h0;
+    1729         136 :       state_vec_195 <= 3'h0;
+    1730         136 :       state_vec_196 <= 3'h0;
+    1731         136 :       state_vec_197 <= 3'h0;
+    1732         136 :       state_vec_198 <= 3'h0;
+    1733         136 :       state_vec_199 <= 3'h0;
+    1734         136 :       state_vec_200 <= 3'h0;
+    1735         136 :       state_vec_201 <= 3'h0;
+    1736         136 :       state_vec_202 <= 3'h0;
+    1737         136 :       state_vec_203 <= 3'h0;
+    1738         136 :       state_vec_204 <= 3'h0;
+    1739         136 :       state_vec_205 <= 3'h0;
+    1740         136 :       state_vec_206 <= 3'h0;
+    1741         136 :       state_vec_207 <= 3'h0;
+    1742         136 :       state_vec_208 <= 3'h0;
+    1743         136 :       state_vec_209 <= 3'h0;
+    1744         136 :       state_vec_210 <= 3'h0;
+    1745         136 :       state_vec_211 <= 3'h0;
+    1746         136 :       state_vec_212 <= 3'h0;
+    1747         136 :       state_vec_213 <= 3'h0;
+    1748         136 :       state_vec_214 <= 3'h0;
+    1749         136 :       state_vec_215 <= 3'h0;
+    1750         136 :       state_vec_216 <= 3'h0;
+    1751         136 :       state_vec_217 <= 3'h0;
+    1752         136 :       state_vec_218 <= 3'h0;
+    1753         136 :       state_vec_219 <= 3'h0;
+    1754         136 :       state_vec_220 <= 3'h0;
+    1755         136 :       state_vec_221 <= 3'h0;
+    1756         136 :       state_vec_222 <= 3'h0;
+    1757         136 :       state_vec_223 <= 3'h0;
+    1758         136 :       state_vec_224 <= 3'h0;
+    1759         136 :       state_vec_225 <= 3'h0;
+    1760         136 :       state_vec_226 <= 3'h0;
+    1761         136 :       state_vec_227 <= 3'h0;
+    1762         136 :       state_vec_228 <= 3'h0;
+    1763         136 :       state_vec_229 <= 3'h0;
+    1764         136 :       state_vec_230 <= 3'h0;
+    1765         136 :       state_vec_231 <= 3'h0;
+    1766         136 :       state_vec_232 <= 3'h0;
+    1767         136 :       state_vec_233 <= 3'h0;
+    1768         136 :       state_vec_234 <= 3'h0;
+    1769         136 :       state_vec_235 <= 3'h0;
+    1770         136 :       state_vec_236 <= 3'h0;
+    1771         136 :       state_vec_237 <= 3'h0;
+    1772         136 :       state_vec_238 <= 3'h0;
+    1773         136 :       state_vec_239 <= 3'h0;
+    1774         136 :       state_vec_240 <= 3'h0;
+    1775         136 :       state_vec_241 <= 3'h0;
+    1776         136 :       state_vec_242 <= 3'h0;
+    1777         136 :       state_vec_243 <= 3'h0;
+    1778         136 :       state_vec_244 <= 3'h0;
+    1779         136 :       state_vec_245 <= 3'h0;
+    1780         136 :       state_vec_246 <= 3'h0;
+    1781         136 :       state_vec_247 <= 3'h0;
+    1782         136 :       state_vec_248 <= 3'h0;
+    1783         136 :       state_vec_249 <= 3'h0;
+    1784         136 :       state_vec_250 <= 3'h0;
+    1785         136 :       state_vec_251 <= 3'h0;
+    1786         136 :       state_vec_252 <= 3'h0;
+    1787         136 :       state_vec_253 <= 3'h0;
+    1788         136 :       state_vec_254 <= 3'h0;
+    1789         136 :       state_vec_255 <= 3'h0;
+    1790         136 :       state_vec_256 <= 3'h0;
+    1791         136 :       state_vec_257 <= 3'h0;
+    1792         136 :       state_vec_258 <= 3'h0;
+    1793         136 :       state_vec_259 <= 3'h0;
+    1794         136 :       state_vec_260 <= 3'h0;
+    1795         136 :       state_vec_261 <= 3'h0;
+    1796         136 :       state_vec_262 <= 3'h0;
+    1797         136 :       state_vec_263 <= 3'h0;
+    1798         136 :       state_vec_264 <= 3'h0;
+    1799         136 :       state_vec_265 <= 3'h0;
+    1800         136 :       state_vec_266 <= 3'h0;
+    1801         136 :       state_vec_267 <= 3'h0;
+    1802         136 :       state_vec_268 <= 3'h0;
+    1803         136 :       state_vec_269 <= 3'h0;
+    1804         136 :       state_vec_270 <= 3'h0;
+    1805         136 :       state_vec_271 <= 3'h0;
+    1806         136 :       state_vec_272 <= 3'h0;
+    1807         136 :       state_vec_273 <= 3'h0;
+    1808         136 :       state_vec_274 <= 3'h0;
+    1809         136 :       state_vec_275 <= 3'h0;
+    1810         136 :       state_vec_276 <= 3'h0;
+    1811         136 :       state_vec_277 <= 3'h0;
+    1812         136 :       state_vec_278 <= 3'h0;
+    1813         136 :       state_vec_279 <= 3'h0;
+    1814         136 :       state_vec_280 <= 3'h0;
+    1815         136 :       state_vec_281 <= 3'h0;
+    1816         136 :       state_vec_282 <= 3'h0;
+    1817         136 :       state_vec_283 <= 3'h0;
+    1818         136 :       state_vec_284 <= 3'h0;
+    1819         136 :       state_vec_285 <= 3'h0;
+    1820         136 :       state_vec_286 <= 3'h0;
+    1821         136 :       state_vec_287 <= 3'h0;
+    1822         136 :       state_vec_288 <= 3'h0;
+    1823         136 :       state_vec_289 <= 3'h0;
+    1824         136 :       state_vec_290 <= 3'h0;
+    1825         136 :       state_vec_291 <= 3'h0;
+    1826         136 :       state_vec_292 <= 3'h0;
+    1827         136 :       state_vec_293 <= 3'h0;
+    1828         136 :       state_vec_294 <= 3'h0;
+    1829         136 :       state_vec_295 <= 3'h0;
+    1830         136 :       state_vec_296 <= 3'h0;
+    1831         136 :       state_vec_297 <= 3'h0;
+    1832         136 :       state_vec_298 <= 3'h0;
+    1833         136 :       state_vec_299 <= 3'h0;
+    1834         136 :       state_vec_300 <= 3'h0;
+    1835         136 :       state_vec_301 <= 3'h0;
+    1836         136 :       state_vec_302 <= 3'h0;
+    1837         136 :       state_vec_303 <= 3'h0;
+    1838         136 :       state_vec_304 <= 3'h0;
+    1839         136 :       state_vec_305 <= 3'h0;
+    1840         136 :       state_vec_306 <= 3'h0;
+    1841         136 :       state_vec_307 <= 3'h0;
+    1842         136 :       state_vec_308 <= 3'h0;
+    1843         136 :       state_vec_309 <= 3'h0;
+    1844         136 :       state_vec_310 <= 3'h0;
+    1845         136 :       state_vec_311 <= 3'h0;
+    1846         136 :       state_vec_312 <= 3'h0;
+    1847         136 :       state_vec_313 <= 3'h0;
+    1848         136 :       state_vec_314 <= 3'h0;
+    1849         136 :       state_vec_315 <= 3'h0;
+    1850         136 :       state_vec_316 <= 3'h0;
+    1851         136 :       state_vec_317 <= 3'h0;
+    1852         136 :       state_vec_318 <= 3'h0;
+    1853         136 :       state_vec_319 <= 3'h0;
+    1854         136 :       state_vec_320 <= 3'h0;
+    1855         136 :       state_vec_321 <= 3'h0;
+    1856         136 :       state_vec_322 <= 3'h0;
+    1857         136 :       state_vec_323 <= 3'h0;
+    1858         136 :       state_vec_324 <= 3'h0;
+    1859         136 :       state_vec_325 <= 3'h0;
+    1860         136 :       state_vec_326 <= 3'h0;
+    1861         136 :       state_vec_327 <= 3'h0;
+    1862         136 :       state_vec_328 <= 3'h0;
+    1863         136 :       state_vec_329 <= 3'h0;
+    1864         136 :       state_vec_330 <= 3'h0;
+    1865         136 :       state_vec_331 <= 3'h0;
+    1866         136 :       state_vec_332 <= 3'h0;
+    1867         136 :       state_vec_333 <= 3'h0;
+    1868         136 :       state_vec_334 <= 3'h0;
+    1869         136 :       state_vec_335 <= 3'h0;
+    1870         136 :       state_vec_336 <= 3'h0;
+    1871         136 :       state_vec_337 <= 3'h0;
+    1872         136 :       state_vec_338 <= 3'h0;
+    1873         136 :       state_vec_339 <= 3'h0;
+    1874         136 :       state_vec_340 <= 3'h0;
+    1875         136 :       state_vec_341 <= 3'h0;
+    1876         136 :       state_vec_342 <= 3'h0;
+    1877         136 :       state_vec_343 <= 3'h0;
+    1878         136 :       state_vec_344 <= 3'h0;
+    1879         136 :       state_vec_345 <= 3'h0;
+    1880         136 :       state_vec_346 <= 3'h0;
+    1881         136 :       state_vec_347 <= 3'h0;
+    1882         136 :       state_vec_348 <= 3'h0;
+    1883         136 :       state_vec_349 <= 3'h0;
+    1884         136 :       state_vec_350 <= 3'h0;
+    1885         136 :       state_vec_351 <= 3'h0;
+    1886         136 :       state_vec_352 <= 3'h0;
+    1887         136 :       state_vec_353 <= 3'h0;
+    1888         136 :       state_vec_354 <= 3'h0;
+    1889         136 :       state_vec_355 <= 3'h0;
+    1890         136 :       state_vec_356 <= 3'h0;
+    1891         136 :       state_vec_357 <= 3'h0;
+    1892         136 :       state_vec_358 <= 3'h0;
+    1893         136 :       state_vec_359 <= 3'h0;
+    1894         136 :       state_vec_360 <= 3'h0;
+    1895         136 :       state_vec_361 <= 3'h0;
+    1896         136 :       state_vec_362 <= 3'h0;
+    1897         136 :       state_vec_363 <= 3'h0;
+    1898         136 :       state_vec_364 <= 3'h0;
+    1899         136 :       state_vec_365 <= 3'h0;
+    1900         136 :       state_vec_366 <= 3'h0;
+    1901         136 :       state_vec_367 <= 3'h0;
+    1902         136 :       state_vec_368 <= 3'h0;
+    1903         136 :       state_vec_369 <= 3'h0;
+    1904         136 :       state_vec_370 <= 3'h0;
+    1905         136 :       state_vec_371 <= 3'h0;
+    1906         136 :       state_vec_372 <= 3'h0;
+    1907         136 :       state_vec_373 <= 3'h0;
+    1908         136 :       state_vec_374 <= 3'h0;
+    1909         136 :       state_vec_375 <= 3'h0;
+    1910         136 :       state_vec_376 <= 3'h0;
+    1911         136 :       state_vec_377 <= 3'h0;
+    1912         136 :       state_vec_378 <= 3'h0;
+    1913         136 :       state_vec_379 <= 3'h0;
+    1914         136 :       state_vec_380 <= 3'h0;
+    1915         136 :       state_vec_381 <= 3'h0;
+    1916         136 :       state_vec_382 <= 3'h0;
+    1917         136 :       state_vec_383 <= 3'h0;
+    1918         136 :       state_vec_384 <= 3'h0;
+    1919         136 :       state_vec_385 <= 3'h0;
+    1920         136 :       state_vec_386 <= 3'h0;
+    1921         136 :       state_vec_387 <= 3'h0;
+    1922         136 :       state_vec_388 <= 3'h0;
+    1923         136 :       state_vec_389 <= 3'h0;
+    1924         136 :       state_vec_390 <= 3'h0;
+    1925         136 :       state_vec_391 <= 3'h0;
+    1926         136 :       state_vec_392 <= 3'h0;
+    1927         136 :       state_vec_393 <= 3'h0;
+    1928         136 :       state_vec_394 <= 3'h0;
+    1929         136 :       state_vec_395 <= 3'h0;
+    1930         136 :       state_vec_396 <= 3'h0;
+    1931         136 :       state_vec_397 <= 3'h0;
+    1932         136 :       state_vec_398 <= 3'h0;
+    1933         136 :       state_vec_399 <= 3'h0;
+    1934         136 :       state_vec_400 <= 3'h0;
+    1935         136 :       state_vec_401 <= 3'h0;
+    1936         136 :       state_vec_402 <= 3'h0;
+    1937         136 :       state_vec_403 <= 3'h0;
+    1938         136 :       state_vec_404 <= 3'h0;
+    1939         136 :       state_vec_405 <= 3'h0;
+    1940         136 :       state_vec_406 <= 3'h0;
+    1941         136 :       state_vec_407 <= 3'h0;
+    1942         136 :       state_vec_408 <= 3'h0;
+    1943         136 :       state_vec_409 <= 3'h0;
+    1944         136 :       state_vec_410 <= 3'h0;
+    1945         136 :       state_vec_411 <= 3'h0;
+    1946         136 :       state_vec_412 <= 3'h0;
+    1947         136 :       state_vec_413 <= 3'h0;
+    1948         136 :       state_vec_414 <= 3'h0;
+    1949         136 :       state_vec_415 <= 3'h0;
+    1950         136 :       state_vec_416 <= 3'h0;
+    1951         136 :       state_vec_417 <= 3'h0;
+    1952         136 :       state_vec_418 <= 3'h0;
+    1953         136 :       state_vec_419 <= 3'h0;
+    1954         136 :       state_vec_420 <= 3'h0;
+    1955         136 :       state_vec_421 <= 3'h0;
+    1956         136 :       state_vec_422 <= 3'h0;
+    1957         136 :       state_vec_423 <= 3'h0;
+    1958         136 :       state_vec_424 <= 3'h0;
+    1959         136 :       state_vec_425 <= 3'h0;
+    1960         136 :       state_vec_426 <= 3'h0;
+    1961         136 :       state_vec_427 <= 3'h0;
+    1962         136 :       state_vec_428 <= 3'h0;
+    1963         136 :       state_vec_429 <= 3'h0;
+    1964         136 :       state_vec_430 <= 3'h0;
+    1965         136 :       state_vec_431 <= 3'h0;
+    1966         136 :       state_vec_432 <= 3'h0;
+    1967         136 :       state_vec_433 <= 3'h0;
+    1968         136 :       state_vec_434 <= 3'h0;
+    1969         136 :       state_vec_435 <= 3'h0;
+    1970         136 :       state_vec_436 <= 3'h0;
+    1971         136 :       state_vec_437 <= 3'h0;
+    1972         136 :       state_vec_438 <= 3'h0;
+    1973         136 :       state_vec_439 <= 3'h0;
+    1974         136 :       state_vec_440 <= 3'h0;
+    1975         136 :       state_vec_441 <= 3'h0;
+    1976         136 :       state_vec_442 <= 3'h0;
+    1977         136 :       state_vec_443 <= 3'h0;
+    1978         136 :       state_vec_444 <= 3'h0;
+    1979         136 :       state_vec_445 <= 3'h0;
+    1980         136 :       state_vec_446 <= 3'h0;
+    1981         136 :       state_vec_447 <= 3'h0;
+    1982         136 :       state_vec_448 <= 3'h0;
+    1983         136 :       state_vec_449 <= 3'h0;
+    1984         136 :       state_vec_450 <= 3'h0;
+    1985         136 :       state_vec_451 <= 3'h0;
+    1986         136 :       state_vec_452 <= 3'h0;
+    1987         136 :       state_vec_453 <= 3'h0;
+    1988         136 :       state_vec_454 <= 3'h0;
+    1989         136 :       state_vec_455 <= 3'h0;
+    1990         136 :       state_vec_456 <= 3'h0;
+    1991         136 :       state_vec_457 <= 3'h0;
+    1992         136 :       state_vec_458 <= 3'h0;
+    1993         136 :       state_vec_459 <= 3'h0;
+    1994         136 :       state_vec_460 <= 3'h0;
+    1995         136 :       state_vec_461 <= 3'h0;
+    1996         136 :       state_vec_462 <= 3'h0;
+    1997         136 :       state_vec_463 <= 3'h0;
+    1998         136 :       state_vec_464 <= 3'h0;
+    1999         136 :       state_vec_465 <= 3'h0;
+    2000         136 :       state_vec_466 <= 3'h0;
+    2001         136 :       state_vec_467 <= 3'h0;
+    2002         136 :       state_vec_468 <= 3'h0;
+    2003         136 :       state_vec_469 <= 3'h0;
+    2004         136 :       state_vec_470 <= 3'h0;
+    2005         136 :       state_vec_471 <= 3'h0;
+    2006         136 :       state_vec_472 <= 3'h0;
+    2007         136 :       state_vec_473 <= 3'h0;
+    2008         136 :       state_vec_474 <= 3'h0;
+    2009         136 :       state_vec_475 <= 3'h0;
+    2010         136 :       state_vec_476 <= 3'h0;
+    2011         136 :       state_vec_477 <= 3'h0;
+    2012         136 :       state_vec_478 <= 3'h0;
+    2013         136 :       state_vec_479 <= 3'h0;
+    2014         136 :       state_vec_480 <= 3'h0;
+    2015         136 :       state_vec_481 <= 3'h0;
+    2016         136 :       state_vec_482 <= 3'h0;
+    2017         136 :       state_vec_483 <= 3'h0;
+    2018         136 :       state_vec_484 <= 3'h0;
+    2019         136 :       state_vec_485 <= 3'h0;
+    2020         136 :       state_vec_486 <= 3'h0;
+    2021         136 :       state_vec_487 <= 3'h0;
+    2022         136 :       state_vec_488 <= 3'h0;
+    2023         136 :       state_vec_489 <= 3'h0;
+    2024         136 :       state_vec_490 <= 3'h0;
+    2025         136 :       state_vec_491 <= 3'h0;
+    2026         136 :       state_vec_492 <= 3'h0;
+    2027         136 :       state_vec_493 <= 3'h0;
+    2028         136 :       state_vec_494 <= 3'h0;
+    2029         136 :       state_vec_495 <= 3'h0;
+    2030         136 :       state_vec_496 <= 3'h0;
+    2031         136 :       state_vec_497 <= 3'h0;
+    2032         136 :       state_vec_498 <= 3'h0;
+    2033         136 :       state_vec_499 <= 3'h0;
+    2034         136 :       state_vec_500 <= 3'h0;
+    2035         136 :       state_vec_501 <= 3'h0;
+    2036         136 :       state_vec_502 <= 3'h0;
+    2037         136 :       state_vec_503 <= 3'h0;
+    2038         136 :       state_vec_504 <= 3'h0;
+    2039         136 :       state_vec_505 <= 3'h0;
+    2040         136 :       state_vec_506 <= 3'h0;
+    2041         136 :       state_vec_507 <= 3'h0;
+    2042         136 :       state_vec_508 <= 3'h0;
+    2043         136 :       state_vec_509 <= 3'h0;
+    2044         136 :       state_vec_510 <= 3'h0;
+    2045             :       state_vec_511 <= 3'h0;
+    2046       63729 :     end
+    2047           0 :     else begin
+    2048           0 :       if (touch_way_0_valid & touch_set_0 == 9'h0)
+    2049           0 :         state_vec_0 <=
+    2050           0 :           {~(touch_way_0_bits[1]),
+    2051           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_0[1],
+    2052           0 :            touch_way_0_bits[1] ? state_vec_0[0] : ~(touch_way_0_bits[0])};
+    2053           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1)
+    2054           0 :         state_vec_1 <=
+    2055           0 :           {~(touch_way_0_bits[1]),
+    2056           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_1[1],
+    2057           0 :            touch_way_0_bits[1] ? state_vec_1[0] : ~(touch_way_0_bits[0])};
+    2058           0 :       if (touch_way_0_valid & touch_set_0 == 9'h2)
+    2059           0 :         state_vec_2 <=
+    2060           0 :           {~(touch_way_0_bits[1]),
+    2061           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_2[1],
+    2062           0 :            touch_way_0_bits[1] ? state_vec_2[0] : ~(touch_way_0_bits[0])};
+    2063           0 :       if (touch_way_0_valid & touch_set_0 == 9'h3)
+    2064           0 :         state_vec_3 <=
+    2065           0 :           {~(touch_way_0_bits[1]),
+    2066           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_3[1],
+    2067           0 :            touch_way_0_bits[1] ? state_vec_3[0] : ~(touch_way_0_bits[0])};
+    2068           0 :       if (touch_way_0_valid & touch_set_0 == 9'h4)
+    2069           0 :         state_vec_4 <=
+    2070           0 :           {~(touch_way_0_bits[1]),
+    2071           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_4[1],
+    2072           0 :            touch_way_0_bits[1] ? state_vec_4[0] : ~(touch_way_0_bits[0])};
+    2073           0 :       if (touch_way_0_valid & touch_set_0 == 9'h5)
+    2074           0 :         state_vec_5 <=
+    2075           0 :           {~(touch_way_0_bits[1]),
+    2076           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_5[1],
+    2077           0 :            touch_way_0_bits[1] ? state_vec_5[0] : ~(touch_way_0_bits[0])};
+    2078           0 :       if (touch_way_0_valid & touch_set_0 == 9'h6)
+    2079           0 :         state_vec_6 <=
+    2080           0 :           {~(touch_way_0_bits[1]),
+    2081           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_6[1],
+    2082           0 :            touch_way_0_bits[1] ? state_vec_6[0] : ~(touch_way_0_bits[0])};
+    2083           0 :       if (touch_way_0_valid & touch_set_0 == 9'h7)
+    2084           0 :         state_vec_7 <=
+    2085           0 :           {~(touch_way_0_bits[1]),
+    2086           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_7[1],
+    2087           0 :            touch_way_0_bits[1] ? state_vec_7[0] : ~(touch_way_0_bits[0])};
+    2088           0 :       if (touch_way_0_valid & touch_set_0 == 9'h8)
+    2089           0 :         state_vec_8 <=
+    2090           0 :           {~(touch_way_0_bits[1]),
+    2091           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_8[1],
+    2092           0 :            touch_way_0_bits[1] ? state_vec_8[0] : ~(touch_way_0_bits[0])};
+    2093           0 :       if (touch_way_0_valid & touch_set_0 == 9'h9)
+    2094           0 :         state_vec_9 <=
+    2095           0 :           {~(touch_way_0_bits[1]),
+    2096           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_9[1],
+    2097           0 :            touch_way_0_bits[1] ? state_vec_9[0] : ~(touch_way_0_bits[0])};
+    2098           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA)
+    2099           0 :         state_vec_10 <=
+    2100           0 :           {~(touch_way_0_bits[1]),
+    2101           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_10[1],
+    2102           0 :            touch_way_0_bits[1] ? state_vec_10[0] : ~(touch_way_0_bits[0])};
+    2103           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB)
+    2104           0 :         state_vec_11 <=
+    2105           0 :           {~(touch_way_0_bits[1]),
+    2106           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_11[1],
+    2107           0 :            touch_way_0_bits[1] ? state_vec_11[0] : ~(touch_way_0_bits[0])};
+    2108           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC)
+    2109           0 :         state_vec_12 <=
+    2110           0 :           {~(touch_way_0_bits[1]),
+    2111           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_12[1],
+    2112           0 :            touch_way_0_bits[1] ? state_vec_12[0] : ~(touch_way_0_bits[0])};
+    2113           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD)
+    2114           0 :         state_vec_13 <=
+    2115           0 :           {~(touch_way_0_bits[1]),
+    2116           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_13[1],
+    2117           0 :            touch_way_0_bits[1] ? state_vec_13[0] : ~(touch_way_0_bits[0])};
+    2118           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE)
+    2119           0 :         state_vec_14 <=
+    2120           0 :           {~(touch_way_0_bits[1]),
+    2121           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_14[1],
+    2122           0 :            touch_way_0_bits[1] ? state_vec_14[0] : ~(touch_way_0_bits[0])};
+    2123           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF)
+    2124           0 :         state_vec_15 <=
+    2125           0 :           {~(touch_way_0_bits[1]),
+    2126           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_15[1],
+    2127           0 :            touch_way_0_bits[1] ? state_vec_15[0] : ~(touch_way_0_bits[0])};
+    2128           0 :       if (touch_way_0_valid & touch_set_0 == 9'h10)
+    2129           0 :         state_vec_16 <=
+    2130           0 :           {~(touch_way_0_bits[1]),
+    2131           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_16[1],
+    2132           0 :            touch_way_0_bits[1] ? state_vec_16[0] : ~(touch_way_0_bits[0])};
+    2133           0 :       if (touch_way_0_valid & touch_set_0 == 9'h11)
+    2134           0 :         state_vec_17 <=
+    2135           0 :           {~(touch_way_0_bits[1]),
+    2136           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_17[1],
+    2137           0 :            touch_way_0_bits[1] ? state_vec_17[0] : ~(touch_way_0_bits[0])};
+    2138           0 :       if (touch_way_0_valid & touch_set_0 == 9'h12)
+    2139           0 :         state_vec_18 <=
+    2140           0 :           {~(touch_way_0_bits[1]),
+    2141           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_18[1],
+    2142           0 :            touch_way_0_bits[1] ? state_vec_18[0] : ~(touch_way_0_bits[0])};
+    2143           0 :       if (touch_way_0_valid & touch_set_0 == 9'h13)
+    2144           0 :         state_vec_19 <=
+    2145           0 :           {~(touch_way_0_bits[1]),
+    2146           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_19[1],
+    2147           4 :            touch_way_0_bits[1] ? state_vec_19[0] : ~(touch_way_0_bits[0])};
+    2148           2 :       if (touch_way_0_valid & touch_set_0 == 9'h14)
+    2149           2 :         state_vec_20 <=
+    2150           2 :           {~(touch_way_0_bits[1]),
+    2151           2 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_20[1],
+    2152           0 :            touch_way_0_bits[1] ? state_vec_20[0] : ~(touch_way_0_bits[0])};
+    2153           0 :       if (touch_way_0_valid & touch_set_0 == 9'h15)
+    2154           0 :         state_vec_21 <=
+    2155           0 :           {~(touch_way_0_bits[1]),
+    2156           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_21[1],
+    2157           0 :            touch_way_0_bits[1] ? state_vec_21[0] : ~(touch_way_0_bits[0])};
+    2158           0 :       if (touch_way_0_valid & touch_set_0 == 9'h16)
+    2159           0 :         state_vec_22 <=
+    2160           0 :           {~(touch_way_0_bits[1]),
+    2161           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_22[1],
+    2162           0 :            touch_way_0_bits[1] ? state_vec_22[0] : ~(touch_way_0_bits[0])};
+    2163           0 :       if (touch_way_0_valid & touch_set_0 == 9'h17)
+    2164           0 :         state_vec_23 <=
+    2165           0 :           {~(touch_way_0_bits[1]),
+    2166           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_23[1],
+    2167           0 :            touch_way_0_bits[1] ? state_vec_23[0] : ~(touch_way_0_bits[0])};
+    2168           0 :       if (touch_way_0_valid & touch_set_0 == 9'h18)
+    2169           0 :         state_vec_24 <=
+    2170           0 :           {~(touch_way_0_bits[1]),
+    2171           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_24[1],
+    2172           2 :            touch_way_0_bits[1] ? state_vec_24[0] : ~(touch_way_0_bits[0])};
+    2173           1 :       if (touch_way_0_valid & touch_set_0 == 9'h19)
+    2174           1 :         state_vec_25 <=
+    2175           1 :           {~(touch_way_0_bits[1]),
+    2176           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_25[1],
+    2177           0 :            touch_way_0_bits[1] ? state_vec_25[0] : ~(touch_way_0_bits[0])};
+    2178           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A)
+    2179           0 :         state_vec_26 <=
+    2180           0 :           {~(touch_way_0_bits[1]),
+    2181           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_26[1],
+    2182           0 :            touch_way_0_bits[1] ? state_vec_26[0] : ~(touch_way_0_bits[0])};
+    2183           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B)
+    2184           0 :         state_vec_27 <=
+    2185           0 :           {~(touch_way_0_bits[1]),
+    2186           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_27[1],
+    2187           0 :            touch_way_0_bits[1] ? state_vec_27[0] : ~(touch_way_0_bits[0])};
+    2188           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C)
+    2189           0 :         state_vec_28 <=
+    2190           0 :           {~(touch_way_0_bits[1]),
+    2191           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_28[1],
+    2192           0 :            touch_way_0_bits[1] ? state_vec_28[0] : ~(touch_way_0_bits[0])};
+    2193           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D)
+    2194           0 :         state_vec_29 <=
+    2195           0 :           {~(touch_way_0_bits[1]),
+    2196           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_29[1],
+    2197           0 :            touch_way_0_bits[1] ? state_vec_29[0] : ~(touch_way_0_bits[0])};
+    2198           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E)
+    2199           0 :         state_vec_30 <=
+    2200           0 :           {~(touch_way_0_bits[1]),
+    2201           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_30[1],
+    2202           0 :            touch_way_0_bits[1] ? state_vec_30[0] : ~(touch_way_0_bits[0])};
+    2203           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F)
+    2204           0 :         state_vec_31 <=
+    2205           0 :           {~(touch_way_0_bits[1]),
+    2206           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_31[1],
+    2207           0 :            touch_way_0_bits[1] ? state_vec_31[0] : ~(touch_way_0_bits[0])};
+    2208           0 :       if (touch_way_0_valid & touch_set_0 == 9'h20)
+    2209           0 :         state_vec_32 <=
+    2210           0 :           {~(touch_way_0_bits[1]),
+    2211           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_32[1],
+    2212           0 :            touch_way_0_bits[1] ? state_vec_32[0] : ~(touch_way_0_bits[0])};
+    2213           0 :       if (touch_way_0_valid & touch_set_0 == 9'h21)
+    2214           0 :         state_vec_33 <=
+    2215           0 :           {~(touch_way_0_bits[1]),
+    2216           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_33[1],
+    2217           0 :            touch_way_0_bits[1] ? state_vec_33[0] : ~(touch_way_0_bits[0])};
+    2218           0 :       if (touch_way_0_valid & touch_set_0 == 9'h22)
+    2219           0 :         state_vec_34 <=
+    2220           0 :           {~(touch_way_0_bits[1]),
+    2221           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_34[1],
+    2222           0 :            touch_way_0_bits[1] ? state_vec_34[0] : ~(touch_way_0_bits[0])};
+    2223           0 :       if (touch_way_0_valid & touch_set_0 == 9'h23)
+    2224           0 :         state_vec_35 <=
+    2225           0 :           {~(touch_way_0_bits[1]),
+    2226           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_35[1],
+    2227           0 :            touch_way_0_bits[1] ? state_vec_35[0] : ~(touch_way_0_bits[0])};
+    2228           0 :       if (touch_way_0_valid & touch_set_0 == 9'h24)
+    2229           0 :         state_vec_36 <=
+    2230           0 :           {~(touch_way_0_bits[1]),
+    2231           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_36[1],
+    2232           0 :            touch_way_0_bits[1] ? state_vec_36[0] : ~(touch_way_0_bits[0])};
+    2233           0 :       if (touch_way_0_valid & touch_set_0 == 9'h25)
+    2234           0 :         state_vec_37 <=
+    2235           0 :           {~(touch_way_0_bits[1]),
+    2236           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_37[1],
+    2237           0 :            touch_way_0_bits[1] ? state_vec_37[0] : ~(touch_way_0_bits[0])};
+    2238           0 :       if (touch_way_0_valid & touch_set_0 == 9'h26)
+    2239           0 :         state_vec_38 <=
+    2240           0 :           {~(touch_way_0_bits[1]),
+    2241           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_38[1],
+    2242           0 :            touch_way_0_bits[1] ? state_vec_38[0] : ~(touch_way_0_bits[0])};
+    2243           0 :       if (touch_way_0_valid & touch_set_0 == 9'h27)
+    2244           0 :         state_vec_39 <=
+    2245           0 :           {~(touch_way_0_bits[1]),
+    2246           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_39[1],
+    2247           0 :            touch_way_0_bits[1] ? state_vec_39[0] : ~(touch_way_0_bits[0])};
+    2248           0 :       if (touch_way_0_valid & touch_set_0 == 9'h28)
+    2249           0 :         state_vec_40 <=
+    2250           0 :           {~(touch_way_0_bits[1]),
+    2251           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_40[1],
+    2252           0 :            touch_way_0_bits[1] ? state_vec_40[0] : ~(touch_way_0_bits[0])};
+    2253           0 :       if (touch_way_0_valid & touch_set_0 == 9'h29)
+    2254           0 :         state_vec_41 <=
+    2255           0 :           {~(touch_way_0_bits[1]),
+    2256           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_41[1],
+    2257           0 :            touch_way_0_bits[1] ? state_vec_41[0] : ~(touch_way_0_bits[0])};
+    2258           0 :       if (touch_way_0_valid & touch_set_0 == 9'h2A)
+    2259           0 :         state_vec_42 <=
+    2260           0 :           {~(touch_way_0_bits[1]),
+    2261           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_42[1],
+    2262           0 :            touch_way_0_bits[1] ? state_vec_42[0] : ~(touch_way_0_bits[0])};
+    2263           0 :       if (touch_way_0_valid & touch_set_0 == 9'h2B)
+    2264           0 :         state_vec_43 <=
+    2265           0 :           {~(touch_way_0_bits[1]),
+    2266           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_43[1],
+    2267           0 :            touch_way_0_bits[1] ? state_vec_43[0] : ~(touch_way_0_bits[0])};
+    2268           0 :       if (touch_way_0_valid & touch_set_0 == 9'h2C)
+    2269           0 :         state_vec_44 <=
+    2270           0 :           {~(touch_way_0_bits[1]),
+    2271           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_44[1],
+    2272           0 :            touch_way_0_bits[1] ? state_vec_44[0] : ~(touch_way_0_bits[0])};
+    2273           0 :       if (touch_way_0_valid & touch_set_0 == 9'h2D)
+    2274           0 :         state_vec_45 <=
+    2275           0 :           {~(touch_way_0_bits[1]),
+    2276           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_45[1],
+    2277           0 :            touch_way_0_bits[1] ? state_vec_45[0] : ~(touch_way_0_bits[0])};
+    2278           0 :       if (touch_way_0_valid & touch_set_0 == 9'h2E)
+    2279           0 :         state_vec_46 <=
+    2280           0 :           {~(touch_way_0_bits[1]),
+    2281           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_46[1],
+    2282           0 :            touch_way_0_bits[1] ? state_vec_46[0] : ~(touch_way_0_bits[0])};
+    2283           0 :       if (touch_way_0_valid & touch_set_0 == 9'h2F)
+    2284           0 :         state_vec_47 <=
+    2285           0 :           {~(touch_way_0_bits[1]),
+    2286           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_47[1],
+    2287           0 :            touch_way_0_bits[1] ? state_vec_47[0] : ~(touch_way_0_bits[0])};
+    2288           0 :       if (touch_way_0_valid & touch_set_0 == 9'h30)
+    2289           0 :         state_vec_48 <=
+    2290           0 :           {~(touch_way_0_bits[1]),
+    2291           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_48[1],
+    2292           0 :            touch_way_0_bits[1] ? state_vec_48[0] : ~(touch_way_0_bits[0])};
+    2293           0 :       if (touch_way_0_valid & touch_set_0 == 9'h31)
+    2294           0 :         state_vec_49 <=
+    2295           0 :           {~(touch_way_0_bits[1]),
+    2296           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_49[1],
+    2297           0 :            touch_way_0_bits[1] ? state_vec_49[0] : ~(touch_way_0_bits[0])};
+    2298           0 :       if (touch_way_0_valid & touch_set_0 == 9'h32)
+    2299           0 :         state_vec_50 <=
+    2300           0 :           {~(touch_way_0_bits[1]),
+    2301           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_50[1],
+    2302           0 :            touch_way_0_bits[1] ? state_vec_50[0] : ~(touch_way_0_bits[0])};
+    2303           0 :       if (touch_way_0_valid & touch_set_0 == 9'h33)
+    2304           0 :         state_vec_51 <=
+    2305           0 :           {~(touch_way_0_bits[1]),
+    2306           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_51[1],
+    2307           0 :            touch_way_0_bits[1] ? state_vec_51[0] : ~(touch_way_0_bits[0])};
+    2308           0 :       if (touch_way_0_valid & touch_set_0 == 9'h34)
+    2309           0 :         state_vec_52 <=
+    2310           0 :           {~(touch_way_0_bits[1]),
+    2311           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_52[1],
+    2312           0 :            touch_way_0_bits[1] ? state_vec_52[0] : ~(touch_way_0_bits[0])};
+    2313           0 :       if (touch_way_0_valid & touch_set_0 == 9'h35)
+    2314           0 :         state_vec_53 <=
+    2315           0 :           {~(touch_way_0_bits[1]),
+    2316           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_53[1],
+    2317           0 :            touch_way_0_bits[1] ? state_vec_53[0] : ~(touch_way_0_bits[0])};
+    2318           0 :       if (touch_way_0_valid & touch_set_0 == 9'h36)
+    2319           0 :         state_vec_54 <=
+    2320           0 :           {~(touch_way_0_bits[1]),
+    2321           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_54[1],
+    2322           0 :            touch_way_0_bits[1] ? state_vec_54[0] : ~(touch_way_0_bits[0])};
+    2323           0 :       if (touch_way_0_valid & touch_set_0 == 9'h37)
+    2324           0 :         state_vec_55 <=
+    2325           0 :           {~(touch_way_0_bits[1]),
+    2326           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_55[1],
+    2327           0 :            touch_way_0_bits[1] ? state_vec_55[0] : ~(touch_way_0_bits[0])};
+    2328           0 :       if (touch_way_0_valid & touch_set_0 == 9'h38)
+    2329           0 :         state_vec_56 <=
+    2330           0 :           {~(touch_way_0_bits[1]),
+    2331           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_56[1],
+    2332           0 :            touch_way_0_bits[1] ? state_vec_56[0] : ~(touch_way_0_bits[0])};
+    2333           0 :       if (touch_way_0_valid & touch_set_0 == 9'h39)
+    2334           0 :         state_vec_57 <=
+    2335           0 :           {~(touch_way_0_bits[1]),
+    2336           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_57[1],
+    2337           0 :            touch_way_0_bits[1] ? state_vec_57[0] : ~(touch_way_0_bits[0])};
+    2338           0 :       if (touch_way_0_valid & touch_set_0 == 9'h3A)
+    2339           0 :         state_vec_58 <=
+    2340           0 :           {~(touch_way_0_bits[1]),
+    2341           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_58[1],
+    2342           0 :            touch_way_0_bits[1] ? state_vec_58[0] : ~(touch_way_0_bits[0])};
+    2343           0 :       if (touch_way_0_valid & touch_set_0 == 9'h3B)
+    2344           0 :         state_vec_59 <=
+    2345           0 :           {~(touch_way_0_bits[1]),
+    2346           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_59[1],
+    2347           0 :            touch_way_0_bits[1] ? state_vec_59[0] : ~(touch_way_0_bits[0])};
+    2348           0 :       if (touch_way_0_valid & touch_set_0 == 9'h3C)
+    2349           0 :         state_vec_60 <=
+    2350           0 :           {~(touch_way_0_bits[1]),
+    2351           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_60[1],
+    2352           0 :            touch_way_0_bits[1] ? state_vec_60[0] : ~(touch_way_0_bits[0])};
+    2353           0 :       if (touch_way_0_valid & touch_set_0 == 9'h3D)
+    2354           0 :         state_vec_61 <=
+    2355           0 :           {~(touch_way_0_bits[1]),
+    2356           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_61[1],
+    2357           2 :            touch_way_0_bits[1] ? state_vec_61[0] : ~(touch_way_0_bits[0])};
+    2358           1 :       if (touch_way_0_valid & touch_set_0 == 9'h3E)
+    2359           1 :         state_vec_62 <=
+    2360           1 :           {~(touch_way_0_bits[1]),
+    2361           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_62[1],
+    2362           0 :            touch_way_0_bits[1] ? state_vec_62[0] : ~(touch_way_0_bits[0])};
+    2363           0 :       if (touch_way_0_valid & touch_set_0 == 9'h3F)
+    2364           0 :         state_vec_63 <=
+    2365           0 :           {~(touch_way_0_bits[1]),
+    2366           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_63[1],
+    2367          16 :            touch_way_0_bits[1] ? state_vec_63[0] : ~(touch_way_0_bits[0])};
+    2368           8 :       if (touch_way_0_valid & touch_set_0 == 9'h40)
+    2369           8 :         state_vec_64 <=
+    2370           8 :           {~(touch_way_0_bits[1]),
+    2371           8 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_64[1],
+    2372           0 :            touch_way_0_bits[1] ? state_vec_64[0] : ~(touch_way_0_bits[0])};
+    2373           0 :       if (touch_way_0_valid & touch_set_0 == 9'h41)
+    2374           0 :         state_vec_65 <=
+    2375           0 :           {~(touch_way_0_bits[1]),
+    2376           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_65[1],
+    2377           0 :            touch_way_0_bits[1] ? state_vec_65[0] : ~(touch_way_0_bits[0])};
+    2378           0 :       if (touch_way_0_valid & touch_set_0 == 9'h42)
+    2379           0 :         state_vec_66 <=
+    2380           0 :           {~(touch_way_0_bits[1]),
+    2381           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_66[1],
+    2382           0 :            touch_way_0_bits[1] ? state_vec_66[0] : ~(touch_way_0_bits[0])};
+    2383           0 :       if (touch_way_0_valid & touch_set_0 == 9'h43)
+    2384           0 :         state_vec_67 <=
+    2385           0 :           {~(touch_way_0_bits[1]),
+    2386           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_67[1],
+    2387           0 :            touch_way_0_bits[1] ? state_vec_67[0] : ~(touch_way_0_bits[0])};
+    2388           0 :       if (touch_way_0_valid & touch_set_0 == 9'h44)
+    2389           0 :         state_vec_68 <=
+    2390           0 :           {~(touch_way_0_bits[1]),
+    2391           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_68[1],
+    2392           0 :            touch_way_0_bits[1] ? state_vec_68[0] : ~(touch_way_0_bits[0])};
+    2393           0 :       if (touch_way_0_valid & touch_set_0 == 9'h45)
+    2394           0 :         state_vec_69 <=
+    2395           0 :           {~(touch_way_0_bits[1]),
+    2396           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_69[1],
+    2397           0 :            touch_way_0_bits[1] ? state_vec_69[0] : ~(touch_way_0_bits[0])};
+    2398           0 :       if (touch_way_0_valid & touch_set_0 == 9'h46)
+    2399           0 :         state_vec_70 <=
+    2400           0 :           {~(touch_way_0_bits[1]),
+    2401           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_70[1],
+    2402           0 :            touch_way_0_bits[1] ? state_vec_70[0] : ~(touch_way_0_bits[0])};
+    2403           0 :       if (touch_way_0_valid & touch_set_0 == 9'h47)
+    2404           0 :         state_vec_71 <=
+    2405           0 :           {~(touch_way_0_bits[1]),
+    2406           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_71[1],
+    2407           0 :            touch_way_0_bits[1] ? state_vec_71[0] : ~(touch_way_0_bits[0])};
+    2408           0 :       if (touch_way_0_valid & touch_set_0 == 9'h48)
+    2409           0 :         state_vec_72 <=
+    2410           0 :           {~(touch_way_0_bits[1]),
+    2411           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_72[1],
+    2412           0 :            touch_way_0_bits[1] ? state_vec_72[0] : ~(touch_way_0_bits[0])};
+    2413           0 :       if (touch_way_0_valid & touch_set_0 == 9'h49)
+    2414           0 :         state_vec_73 <=
+    2415           0 :           {~(touch_way_0_bits[1]),
+    2416           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_73[1],
+    2417           0 :            touch_way_0_bits[1] ? state_vec_73[0] : ~(touch_way_0_bits[0])};
+    2418           0 :       if (touch_way_0_valid & touch_set_0 == 9'h4A)
+    2419           0 :         state_vec_74 <=
+    2420           0 :           {~(touch_way_0_bits[1]),
+    2421           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_74[1],
+    2422           0 :            touch_way_0_bits[1] ? state_vec_74[0] : ~(touch_way_0_bits[0])};
+    2423           0 :       if (touch_way_0_valid & touch_set_0 == 9'h4B)
+    2424           0 :         state_vec_75 <=
+    2425           0 :           {~(touch_way_0_bits[1]),
+    2426           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_75[1],
+    2427           0 :            touch_way_0_bits[1] ? state_vec_75[0] : ~(touch_way_0_bits[0])};
+    2428           0 :       if (touch_way_0_valid & touch_set_0 == 9'h4C)
+    2429           0 :         state_vec_76 <=
+    2430           0 :           {~(touch_way_0_bits[1]),
+    2431           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_76[1],
+    2432           0 :            touch_way_0_bits[1] ? state_vec_76[0] : ~(touch_way_0_bits[0])};
+    2433           0 :       if (touch_way_0_valid & touch_set_0 == 9'h4D)
+    2434           0 :         state_vec_77 <=
+    2435           0 :           {~(touch_way_0_bits[1]),
+    2436           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_77[1],
+    2437           0 :            touch_way_0_bits[1] ? state_vec_77[0] : ~(touch_way_0_bits[0])};
+    2438           0 :       if (touch_way_0_valid & touch_set_0 == 9'h4E)
+    2439           0 :         state_vec_78 <=
+    2440           0 :           {~(touch_way_0_bits[1]),
+    2441           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_78[1],
+    2442           0 :            touch_way_0_bits[1] ? state_vec_78[0] : ~(touch_way_0_bits[0])};
+    2443           0 :       if (touch_way_0_valid & touch_set_0 == 9'h4F)
+    2444           0 :         state_vec_79 <=
+    2445           0 :           {~(touch_way_0_bits[1]),
+    2446           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_79[1],
+    2447           0 :            touch_way_0_bits[1] ? state_vec_79[0] : ~(touch_way_0_bits[0])};
+    2448           0 :       if (touch_way_0_valid & touch_set_0 == 9'h50)
+    2449           0 :         state_vec_80 <=
+    2450           0 :           {~(touch_way_0_bits[1]),
+    2451           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_80[1],
+    2452           0 :            touch_way_0_bits[1] ? state_vec_80[0] : ~(touch_way_0_bits[0])};
+    2453           0 :       if (touch_way_0_valid & touch_set_0 == 9'h51)
+    2454           0 :         state_vec_81 <=
+    2455           0 :           {~(touch_way_0_bits[1]),
+    2456           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_81[1],
+    2457           0 :            touch_way_0_bits[1] ? state_vec_81[0] : ~(touch_way_0_bits[0])};
+    2458           0 :       if (touch_way_0_valid & touch_set_0 == 9'h52)
+    2459           0 :         state_vec_82 <=
+    2460           0 :           {~(touch_way_0_bits[1]),
+    2461           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_82[1],
+    2462           0 :            touch_way_0_bits[1] ? state_vec_82[0] : ~(touch_way_0_bits[0])};
+    2463           0 :       if (touch_way_0_valid & touch_set_0 == 9'h53)
+    2464           0 :         state_vec_83 <=
+    2465           0 :           {~(touch_way_0_bits[1]),
+    2466           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_83[1],
+    2467           0 :            touch_way_0_bits[1] ? state_vec_83[0] : ~(touch_way_0_bits[0])};
+    2468           0 :       if (touch_way_0_valid & touch_set_0 == 9'h54)
+    2469           0 :         state_vec_84 <=
+    2470           0 :           {~(touch_way_0_bits[1]),
+    2471           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_84[1],
+    2472           0 :            touch_way_0_bits[1] ? state_vec_84[0] : ~(touch_way_0_bits[0])};
+    2473           0 :       if (touch_way_0_valid & touch_set_0 == 9'h55)
+    2474           0 :         state_vec_85 <=
+    2475           0 :           {~(touch_way_0_bits[1]),
+    2476           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_85[1],
+    2477           0 :            touch_way_0_bits[1] ? state_vec_85[0] : ~(touch_way_0_bits[0])};
+    2478           0 :       if (touch_way_0_valid & touch_set_0 == 9'h56)
+    2479           0 :         state_vec_86 <=
+    2480           0 :           {~(touch_way_0_bits[1]),
+    2481           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_86[1],
+    2482           0 :            touch_way_0_bits[1] ? state_vec_86[0] : ~(touch_way_0_bits[0])};
+    2483           0 :       if (touch_way_0_valid & touch_set_0 == 9'h57)
+    2484           0 :         state_vec_87 <=
+    2485           0 :           {~(touch_way_0_bits[1]),
+    2486           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_87[1],
+    2487           0 :            touch_way_0_bits[1] ? state_vec_87[0] : ~(touch_way_0_bits[0])};
+    2488           0 :       if (touch_way_0_valid & touch_set_0 == 9'h58)
+    2489           0 :         state_vec_88 <=
+    2490           0 :           {~(touch_way_0_bits[1]),
+    2491           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_88[1],
+    2492           0 :            touch_way_0_bits[1] ? state_vec_88[0] : ~(touch_way_0_bits[0])};
+    2493           0 :       if (touch_way_0_valid & touch_set_0 == 9'h59)
+    2494           0 :         state_vec_89 <=
+    2495           0 :           {~(touch_way_0_bits[1]),
+    2496           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_89[1],
+    2497           0 :            touch_way_0_bits[1] ? state_vec_89[0] : ~(touch_way_0_bits[0])};
+    2498           0 :       if (touch_way_0_valid & touch_set_0 == 9'h5A)
+    2499           0 :         state_vec_90 <=
+    2500           0 :           {~(touch_way_0_bits[1]),
+    2501           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_90[1],
+    2502           0 :            touch_way_0_bits[1] ? state_vec_90[0] : ~(touch_way_0_bits[0])};
+    2503           0 :       if (touch_way_0_valid & touch_set_0 == 9'h5B)
+    2504           0 :         state_vec_91 <=
+    2505           0 :           {~(touch_way_0_bits[1]),
+    2506           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_91[1],
+    2507           0 :            touch_way_0_bits[1] ? state_vec_91[0] : ~(touch_way_0_bits[0])};
+    2508           0 :       if (touch_way_0_valid & touch_set_0 == 9'h5C)
+    2509           0 :         state_vec_92 <=
+    2510           0 :           {~(touch_way_0_bits[1]),
+    2511           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_92[1],
+    2512           0 :            touch_way_0_bits[1] ? state_vec_92[0] : ~(touch_way_0_bits[0])};
+    2513           0 :       if (touch_way_0_valid & touch_set_0 == 9'h5D)
+    2514           0 :         state_vec_93 <=
+    2515           0 :           {~(touch_way_0_bits[1]),
+    2516           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_93[1],
+    2517           0 :            touch_way_0_bits[1] ? state_vec_93[0] : ~(touch_way_0_bits[0])};
+    2518           0 :       if (touch_way_0_valid & touch_set_0 == 9'h5E)
+    2519           0 :         state_vec_94 <=
+    2520           0 :           {~(touch_way_0_bits[1]),
+    2521           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_94[1],
+    2522           0 :            touch_way_0_bits[1] ? state_vec_94[0] : ~(touch_way_0_bits[0])};
+    2523           0 :       if (touch_way_0_valid & touch_set_0 == 9'h5F)
+    2524           0 :         state_vec_95 <=
+    2525           0 :           {~(touch_way_0_bits[1]),
+    2526           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_95[1],
+    2527           0 :            touch_way_0_bits[1] ? state_vec_95[0] : ~(touch_way_0_bits[0])};
+    2528           0 :       if (touch_way_0_valid & touch_set_0 == 9'h60)
+    2529           0 :         state_vec_96 <=
+    2530           0 :           {~(touch_way_0_bits[1]),
+    2531           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_96[1],
+    2532           0 :            touch_way_0_bits[1] ? state_vec_96[0] : ~(touch_way_0_bits[0])};
+    2533           0 :       if (touch_way_0_valid & touch_set_0 == 9'h61)
+    2534           0 :         state_vec_97 <=
+    2535           0 :           {~(touch_way_0_bits[1]),
+    2536           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_97[1],
+    2537           0 :            touch_way_0_bits[1] ? state_vec_97[0] : ~(touch_way_0_bits[0])};
+    2538           0 :       if (touch_way_0_valid & touch_set_0 == 9'h62)
+    2539           0 :         state_vec_98 <=
+    2540           0 :           {~(touch_way_0_bits[1]),
+    2541           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_98[1],
+    2542           0 :            touch_way_0_bits[1] ? state_vec_98[0] : ~(touch_way_0_bits[0])};
+    2543           0 :       if (touch_way_0_valid & touch_set_0 == 9'h63)
+    2544           0 :         state_vec_99 <=
+    2545           0 :           {~(touch_way_0_bits[1]),
+    2546           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_99[1],
+    2547           0 :            touch_way_0_bits[1] ? state_vec_99[0] : ~(touch_way_0_bits[0])};
+    2548           0 :       if (touch_way_0_valid & touch_set_0 == 9'h64)
+    2549           0 :         state_vec_100 <=
+    2550           0 :           {~(touch_way_0_bits[1]),
+    2551           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_100[1],
+    2552           0 :            touch_way_0_bits[1] ? state_vec_100[0] : ~(touch_way_0_bits[0])};
+    2553           0 :       if (touch_way_0_valid & touch_set_0 == 9'h65)
+    2554           0 :         state_vec_101 <=
+    2555           0 :           {~(touch_way_0_bits[1]),
+    2556           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_101[1],
+    2557           0 :            touch_way_0_bits[1] ? state_vec_101[0] : ~(touch_way_0_bits[0])};
+    2558           0 :       if (touch_way_0_valid & touch_set_0 == 9'h66)
+    2559           0 :         state_vec_102 <=
+    2560           0 :           {~(touch_way_0_bits[1]),
+    2561           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_102[1],
+    2562           0 :            touch_way_0_bits[1] ? state_vec_102[0] : ~(touch_way_0_bits[0])};
+    2563           0 :       if (touch_way_0_valid & touch_set_0 == 9'h67)
+    2564           0 :         state_vec_103 <=
+    2565           0 :           {~(touch_way_0_bits[1]),
+    2566           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_103[1],
+    2567           0 :            touch_way_0_bits[1] ? state_vec_103[0] : ~(touch_way_0_bits[0])};
+    2568           0 :       if (touch_way_0_valid & touch_set_0 == 9'h68)
+    2569           0 :         state_vec_104 <=
+    2570           0 :           {~(touch_way_0_bits[1]),
+    2571           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_104[1],
+    2572           0 :            touch_way_0_bits[1] ? state_vec_104[0] : ~(touch_way_0_bits[0])};
+    2573           0 :       if (touch_way_0_valid & touch_set_0 == 9'h69)
+    2574           0 :         state_vec_105 <=
+    2575           0 :           {~(touch_way_0_bits[1]),
+    2576           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_105[1],
+    2577           0 :            touch_way_0_bits[1] ? state_vec_105[0] : ~(touch_way_0_bits[0])};
+    2578           0 :       if (touch_way_0_valid & touch_set_0 == 9'h6A)
+    2579           0 :         state_vec_106 <=
+    2580           0 :           {~(touch_way_0_bits[1]),
+    2581           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_106[1],
+    2582           0 :            touch_way_0_bits[1] ? state_vec_106[0] : ~(touch_way_0_bits[0])};
+    2583           0 :       if (touch_way_0_valid & touch_set_0 == 9'h6B)
+    2584           0 :         state_vec_107 <=
+    2585           0 :           {~(touch_way_0_bits[1]),
+    2586           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_107[1],
+    2587           0 :            touch_way_0_bits[1] ? state_vec_107[0] : ~(touch_way_0_bits[0])};
+    2588           0 :       if (touch_way_0_valid & touch_set_0 == 9'h6C)
+    2589           0 :         state_vec_108 <=
+    2590           0 :           {~(touch_way_0_bits[1]),
+    2591           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_108[1],
+    2592           0 :            touch_way_0_bits[1] ? state_vec_108[0] : ~(touch_way_0_bits[0])};
+    2593           0 :       if (touch_way_0_valid & touch_set_0 == 9'h6D)
+    2594           0 :         state_vec_109 <=
+    2595           0 :           {~(touch_way_0_bits[1]),
+    2596           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_109[1],
+    2597           0 :            touch_way_0_bits[1] ? state_vec_109[0] : ~(touch_way_0_bits[0])};
+    2598           0 :       if (touch_way_0_valid & touch_set_0 == 9'h6E)
+    2599           0 :         state_vec_110 <=
+    2600           0 :           {~(touch_way_0_bits[1]),
+    2601           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_110[1],
+    2602           0 :            touch_way_0_bits[1] ? state_vec_110[0] : ~(touch_way_0_bits[0])};
+    2603           0 :       if (touch_way_0_valid & touch_set_0 == 9'h6F)
+    2604           0 :         state_vec_111 <=
+    2605           0 :           {~(touch_way_0_bits[1]),
+    2606           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_111[1],
+    2607           0 :            touch_way_0_bits[1] ? state_vec_111[0] : ~(touch_way_0_bits[0])};
+    2608           0 :       if (touch_way_0_valid & touch_set_0 == 9'h70)
+    2609           0 :         state_vec_112 <=
+    2610           0 :           {~(touch_way_0_bits[1]),
+    2611           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_112[1],
+    2612           0 :            touch_way_0_bits[1] ? state_vec_112[0] : ~(touch_way_0_bits[0])};
+    2613           0 :       if (touch_way_0_valid & touch_set_0 == 9'h71)
+    2614           0 :         state_vec_113 <=
+    2615           0 :           {~(touch_way_0_bits[1]),
+    2616           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_113[1],
+    2617           0 :            touch_way_0_bits[1] ? state_vec_113[0] : ~(touch_way_0_bits[0])};
+    2618           0 :       if (touch_way_0_valid & touch_set_0 == 9'h72)
+    2619           0 :         state_vec_114 <=
+    2620           0 :           {~(touch_way_0_bits[1]),
+    2621           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_114[1],
+    2622           0 :            touch_way_0_bits[1] ? state_vec_114[0] : ~(touch_way_0_bits[0])};
+    2623           0 :       if (touch_way_0_valid & touch_set_0 == 9'h73)
+    2624           0 :         state_vec_115 <=
+    2625           0 :           {~(touch_way_0_bits[1]),
+    2626           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_115[1],
+    2627           0 :            touch_way_0_bits[1] ? state_vec_115[0] : ~(touch_way_0_bits[0])};
+    2628           0 :       if (touch_way_0_valid & touch_set_0 == 9'h74)
+    2629           0 :         state_vec_116 <=
+    2630           0 :           {~(touch_way_0_bits[1]),
+    2631           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_116[1],
+    2632           0 :            touch_way_0_bits[1] ? state_vec_116[0] : ~(touch_way_0_bits[0])};
+    2633           0 :       if (touch_way_0_valid & touch_set_0 == 9'h75)
+    2634           0 :         state_vec_117 <=
+    2635           0 :           {~(touch_way_0_bits[1]),
+    2636           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_117[1],
+    2637           0 :            touch_way_0_bits[1] ? state_vec_117[0] : ~(touch_way_0_bits[0])};
+    2638           0 :       if (touch_way_0_valid & touch_set_0 == 9'h76)
+    2639           0 :         state_vec_118 <=
+    2640           0 :           {~(touch_way_0_bits[1]),
+    2641           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_118[1],
+    2642           0 :            touch_way_0_bits[1] ? state_vec_118[0] : ~(touch_way_0_bits[0])};
+    2643           0 :       if (touch_way_0_valid & touch_set_0 == 9'h77)
+    2644           0 :         state_vec_119 <=
+    2645           0 :           {~(touch_way_0_bits[1]),
+    2646           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_119[1],
+    2647           0 :            touch_way_0_bits[1] ? state_vec_119[0] : ~(touch_way_0_bits[0])};
+    2648           0 :       if (touch_way_0_valid & touch_set_0 == 9'h78)
+    2649           0 :         state_vec_120 <=
+    2650           0 :           {~(touch_way_0_bits[1]),
+    2651           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_120[1],
+    2652           0 :            touch_way_0_bits[1] ? state_vec_120[0] : ~(touch_way_0_bits[0])};
+    2653           0 :       if (touch_way_0_valid & touch_set_0 == 9'h79)
+    2654           0 :         state_vec_121 <=
+    2655           0 :           {~(touch_way_0_bits[1]),
+    2656           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_121[1],
+    2657           0 :            touch_way_0_bits[1] ? state_vec_121[0] : ~(touch_way_0_bits[0])};
+    2658           0 :       if (touch_way_0_valid & touch_set_0 == 9'h7A)
+    2659           0 :         state_vec_122 <=
+    2660           0 :           {~(touch_way_0_bits[1]),
+    2661           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_122[1],
+    2662           0 :            touch_way_0_bits[1] ? state_vec_122[0] : ~(touch_way_0_bits[0])};
+    2663           0 :       if (touch_way_0_valid & touch_set_0 == 9'h7B)
+    2664           0 :         state_vec_123 <=
+    2665           0 :           {~(touch_way_0_bits[1]),
+    2666           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_123[1],
+    2667           0 :            touch_way_0_bits[1] ? state_vec_123[0] : ~(touch_way_0_bits[0])};
+    2668           0 :       if (touch_way_0_valid & touch_set_0 == 9'h7C)
+    2669           0 :         state_vec_124 <=
+    2670           0 :           {~(touch_way_0_bits[1]),
+    2671           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_124[1],
+    2672           0 :            touch_way_0_bits[1] ? state_vec_124[0] : ~(touch_way_0_bits[0])};
+    2673           0 :       if (touch_way_0_valid & touch_set_0 == 9'h7D)
+    2674           0 :         state_vec_125 <=
+    2675           0 :           {~(touch_way_0_bits[1]),
+    2676           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_125[1],
+    2677           0 :            touch_way_0_bits[1] ? state_vec_125[0] : ~(touch_way_0_bits[0])};
+    2678           0 :       if (touch_way_0_valid & touch_set_0 == 9'h7E)
+    2679           0 :         state_vec_126 <=
+    2680           0 :           {~(touch_way_0_bits[1]),
+    2681           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_126[1],
+    2682           0 :            touch_way_0_bits[1] ? state_vec_126[0] : ~(touch_way_0_bits[0])};
+    2683           0 :       if (touch_way_0_valid & touch_set_0 == 9'h7F)
+    2684           0 :         state_vec_127 <=
+    2685           0 :           {~(touch_way_0_bits[1]),
+    2686           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_127[1],
+    2687           0 :            touch_way_0_bits[1] ? state_vec_127[0] : ~(touch_way_0_bits[0])};
+    2688           0 :       if (touch_way_0_valid & touch_set_0 == 9'h80)
+    2689           0 :         state_vec_128 <=
+    2690           0 :           {~(touch_way_0_bits[1]),
+    2691           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_128[1],
+    2692           0 :            touch_way_0_bits[1] ? state_vec_128[0] : ~(touch_way_0_bits[0])};
+    2693           0 :       if (touch_way_0_valid & touch_set_0 == 9'h81)
+    2694           0 :         state_vec_129 <=
+    2695           0 :           {~(touch_way_0_bits[1]),
+    2696           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_129[1],
+    2697           0 :            touch_way_0_bits[1] ? state_vec_129[0] : ~(touch_way_0_bits[0])};
+    2698           0 :       if (touch_way_0_valid & touch_set_0 == 9'h82)
+    2699           0 :         state_vec_130 <=
+    2700           0 :           {~(touch_way_0_bits[1]),
+    2701           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_130[1],
+    2702           0 :            touch_way_0_bits[1] ? state_vec_130[0] : ~(touch_way_0_bits[0])};
+    2703           0 :       if (touch_way_0_valid & touch_set_0 == 9'h83)
+    2704           0 :         state_vec_131 <=
+    2705           0 :           {~(touch_way_0_bits[1]),
+    2706           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_131[1],
+    2707           0 :            touch_way_0_bits[1] ? state_vec_131[0] : ~(touch_way_0_bits[0])};
+    2708           0 :       if (touch_way_0_valid & touch_set_0 == 9'h84)
+    2709           0 :         state_vec_132 <=
+    2710           0 :           {~(touch_way_0_bits[1]),
+    2711           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_132[1],
+    2712           0 :            touch_way_0_bits[1] ? state_vec_132[0] : ~(touch_way_0_bits[0])};
+    2713           0 :       if (touch_way_0_valid & touch_set_0 == 9'h85)
+    2714           0 :         state_vec_133 <=
+    2715           0 :           {~(touch_way_0_bits[1]),
+    2716           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_133[1],
+    2717           2 :            touch_way_0_bits[1] ? state_vec_133[0] : ~(touch_way_0_bits[0])};
+    2718           1 :       if (touch_way_0_valid & touch_set_0 == 9'h86)
+    2719           1 :         state_vec_134 <=
+    2720           1 :           {~(touch_way_0_bits[1]),
+    2721           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_134[1],
+    2722           0 :            touch_way_0_bits[1] ? state_vec_134[0] : ~(touch_way_0_bits[0])};
+    2723           0 :       if (touch_way_0_valid & touch_set_0 == 9'h87)
+    2724           0 :         state_vec_135 <=
+    2725           0 :           {~(touch_way_0_bits[1]),
+    2726           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_135[1],
+    2727           0 :            touch_way_0_bits[1] ? state_vec_135[0] : ~(touch_way_0_bits[0])};
+    2728           0 :       if (touch_way_0_valid & touch_set_0 == 9'h88)
+    2729           0 :         state_vec_136 <=
+    2730           0 :           {~(touch_way_0_bits[1]),
+    2731           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_136[1],
+    2732           0 :            touch_way_0_bits[1] ? state_vec_136[0] : ~(touch_way_0_bits[0])};
+    2733           0 :       if (touch_way_0_valid & touch_set_0 == 9'h89)
+    2734           0 :         state_vec_137 <=
+    2735           0 :           {~(touch_way_0_bits[1]),
+    2736           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_137[1],
+    2737           0 :            touch_way_0_bits[1] ? state_vec_137[0] : ~(touch_way_0_bits[0])};
+    2738           0 :       if (touch_way_0_valid & touch_set_0 == 9'h8A)
+    2739           0 :         state_vec_138 <=
+    2740           0 :           {~(touch_way_0_bits[1]),
+    2741           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_138[1],
+    2742           0 :            touch_way_0_bits[1] ? state_vec_138[0] : ~(touch_way_0_bits[0])};
+    2743           0 :       if (touch_way_0_valid & touch_set_0 == 9'h8B)
+    2744           0 :         state_vec_139 <=
+    2745           0 :           {~(touch_way_0_bits[1]),
+    2746           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_139[1],
+    2747           0 :            touch_way_0_bits[1] ? state_vec_139[0] : ~(touch_way_0_bits[0])};
+    2748           0 :       if (touch_way_0_valid & touch_set_0 == 9'h8C)
+    2749           0 :         state_vec_140 <=
+    2750           0 :           {~(touch_way_0_bits[1]),
+    2751           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_140[1],
+    2752           0 :            touch_way_0_bits[1] ? state_vec_140[0] : ~(touch_way_0_bits[0])};
+    2753           0 :       if (touch_way_0_valid & touch_set_0 == 9'h8D)
+    2754           0 :         state_vec_141 <=
+    2755           0 :           {~(touch_way_0_bits[1]),
+    2756           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_141[1],
+    2757           0 :            touch_way_0_bits[1] ? state_vec_141[0] : ~(touch_way_0_bits[0])};
+    2758           0 :       if (touch_way_0_valid & touch_set_0 == 9'h8E)
+    2759           0 :         state_vec_142 <=
+    2760           0 :           {~(touch_way_0_bits[1]),
+    2761           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_142[1],
+    2762           0 :            touch_way_0_bits[1] ? state_vec_142[0] : ~(touch_way_0_bits[0])};
+    2763           0 :       if (touch_way_0_valid & touch_set_0 == 9'h8F)
+    2764           0 :         state_vec_143 <=
+    2765           0 :           {~(touch_way_0_bits[1]),
+    2766           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_143[1],
+    2767           0 :            touch_way_0_bits[1] ? state_vec_143[0] : ~(touch_way_0_bits[0])};
+    2768           0 :       if (touch_way_0_valid & touch_set_0 == 9'h90)
+    2769           0 :         state_vec_144 <=
+    2770           0 :           {~(touch_way_0_bits[1]),
+    2771           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_144[1],
+    2772           0 :            touch_way_0_bits[1] ? state_vec_144[0] : ~(touch_way_0_bits[0])};
+    2773           0 :       if (touch_way_0_valid & touch_set_0 == 9'h91)
+    2774           0 :         state_vec_145 <=
+    2775           0 :           {~(touch_way_0_bits[1]),
+    2776           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_145[1],
+    2777           0 :            touch_way_0_bits[1] ? state_vec_145[0] : ~(touch_way_0_bits[0])};
+    2778           0 :       if (touch_way_0_valid & touch_set_0 == 9'h92)
+    2779           0 :         state_vec_146 <=
+    2780           0 :           {~(touch_way_0_bits[1]),
+    2781           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_146[1],
+    2782           0 :            touch_way_0_bits[1] ? state_vec_146[0] : ~(touch_way_0_bits[0])};
+    2783           0 :       if (touch_way_0_valid & touch_set_0 == 9'h93)
+    2784           0 :         state_vec_147 <=
+    2785           0 :           {~(touch_way_0_bits[1]),
+    2786           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_147[1],
+    2787           0 :            touch_way_0_bits[1] ? state_vec_147[0] : ~(touch_way_0_bits[0])};
+    2788           0 :       if (touch_way_0_valid & touch_set_0 == 9'h94)
+    2789           0 :         state_vec_148 <=
+    2790           0 :           {~(touch_way_0_bits[1]),
+    2791           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_148[1],
+    2792           0 :            touch_way_0_bits[1] ? state_vec_148[0] : ~(touch_way_0_bits[0])};
+    2793           0 :       if (touch_way_0_valid & touch_set_0 == 9'h95)
+    2794           0 :         state_vec_149 <=
+    2795           0 :           {~(touch_way_0_bits[1]),
+    2796           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_149[1],
+    2797           0 :            touch_way_0_bits[1] ? state_vec_149[0] : ~(touch_way_0_bits[0])};
+    2798           0 :       if (touch_way_0_valid & touch_set_0 == 9'h96)
+    2799           0 :         state_vec_150 <=
+    2800           0 :           {~(touch_way_0_bits[1]),
+    2801           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_150[1],
+    2802           0 :            touch_way_0_bits[1] ? state_vec_150[0] : ~(touch_way_0_bits[0])};
+    2803           0 :       if (touch_way_0_valid & touch_set_0 == 9'h97)
+    2804           0 :         state_vec_151 <=
+    2805           0 :           {~(touch_way_0_bits[1]),
+    2806           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_151[1],
+    2807           0 :            touch_way_0_bits[1] ? state_vec_151[0] : ~(touch_way_0_bits[0])};
+    2808           0 :       if (touch_way_0_valid & touch_set_0 == 9'h98)
+    2809           0 :         state_vec_152 <=
+    2810           0 :           {~(touch_way_0_bits[1]),
+    2811           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_152[1],
+    2812           0 :            touch_way_0_bits[1] ? state_vec_152[0] : ~(touch_way_0_bits[0])};
+    2813           0 :       if (touch_way_0_valid & touch_set_0 == 9'h99)
+    2814           0 :         state_vec_153 <=
+    2815           0 :           {~(touch_way_0_bits[1]),
+    2816           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_153[1],
+    2817           0 :            touch_way_0_bits[1] ? state_vec_153[0] : ~(touch_way_0_bits[0])};
+    2818           0 :       if (touch_way_0_valid & touch_set_0 == 9'h9A)
+    2819           0 :         state_vec_154 <=
+    2820           0 :           {~(touch_way_0_bits[1]),
+    2821           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_154[1],
+    2822           0 :            touch_way_0_bits[1] ? state_vec_154[0] : ~(touch_way_0_bits[0])};
+    2823           0 :       if (touch_way_0_valid & touch_set_0 == 9'h9B)
+    2824           0 :         state_vec_155 <=
+    2825           0 :           {~(touch_way_0_bits[1]),
+    2826           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_155[1],
+    2827           0 :            touch_way_0_bits[1] ? state_vec_155[0] : ~(touch_way_0_bits[0])};
+    2828           0 :       if (touch_way_0_valid & touch_set_0 == 9'h9C)
+    2829           0 :         state_vec_156 <=
+    2830           0 :           {~(touch_way_0_bits[1]),
+    2831           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_156[1],
+    2832           0 :            touch_way_0_bits[1] ? state_vec_156[0] : ~(touch_way_0_bits[0])};
+    2833           0 :       if (touch_way_0_valid & touch_set_0 == 9'h9D)
+    2834           0 :         state_vec_157 <=
+    2835           0 :           {~(touch_way_0_bits[1]),
+    2836           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_157[1],
+    2837           0 :            touch_way_0_bits[1] ? state_vec_157[0] : ~(touch_way_0_bits[0])};
+    2838           0 :       if (touch_way_0_valid & touch_set_0 == 9'h9E)
+    2839           0 :         state_vec_158 <=
+    2840           0 :           {~(touch_way_0_bits[1]),
+    2841           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_158[1],
+    2842           0 :            touch_way_0_bits[1] ? state_vec_158[0] : ~(touch_way_0_bits[0])};
+    2843           0 :       if (touch_way_0_valid & touch_set_0 == 9'h9F)
+    2844           0 :         state_vec_159 <=
+    2845           0 :           {~(touch_way_0_bits[1]),
+    2846           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_159[1],
+    2847           0 :            touch_way_0_bits[1] ? state_vec_159[0] : ~(touch_way_0_bits[0])};
+    2848           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA0)
+    2849           0 :         state_vec_160 <=
+    2850           0 :           {~(touch_way_0_bits[1]),
+    2851           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_160[1],
+    2852           0 :            touch_way_0_bits[1] ? state_vec_160[0] : ~(touch_way_0_bits[0])};
+    2853           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA1)
+    2854           0 :         state_vec_161 <=
+    2855           0 :           {~(touch_way_0_bits[1]),
+    2856           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_161[1],
+    2857           0 :            touch_way_0_bits[1] ? state_vec_161[0] : ~(touch_way_0_bits[0])};
+    2858           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA2)
+    2859           0 :         state_vec_162 <=
+    2860           0 :           {~(touch_way_0_bits[1]),
+    2861           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_162[1],
+    2862           0 :            touch_way_0_bits[1] ? state_vec_162[0] : ~(touch_way_0_bits[0])};
+    2863           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA3)
+    2864           0 :         state_vec_163 <=
+    2865           0 :           {~(touch_way_0_bits[1]),
+    2866           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_163[1],
+    2867           0 :            touch_way_0_bits[1] ? state_vec_163[0] : ~(touch_way_0_bits[0])};
+    2868           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA4)
+    2869           0 :         state_vec_164 <=
+    2870           0 :           {~(touch_way_0_bits[1]),
+    2871           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_164[1],
+    2872           0 :            touch_way_0_bits[1] ? state_vec_164[0] : ~(touch_way_0_bits[0])};
+    2873           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA5)
+    2874           0 :         state_vec_165 <=
+    2875           0 :           {~(touch_way_0_bits[1]),
+    2876           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_165[1],
+    2877           0 :            touch_way_0_bits[1] ? state_vec_165[0] : ~(touch_way_0_bits[0])};
+    2878           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA6)
+    2879           0 :         state_vec_166 <=
+    2880           0 :           {~(touch_way_0_bits[1]),
+    2881           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_166[1],
+    2882           0 :            touch_way_0_bits[1] ? state_vec_166[0] : ~(touch_way_0_bits[0])};
+    2883           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA7)
+    2884           0 :         state_vec_167 <=
+    2885           0 :           {~(touch_way_0_bits[1]),
+    2886           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_167[1],
+    2887           0 :            touch_way_0_bits[1] ? state_vec_167[0] : ~(touch_way_0_bits[0])};
+    2888           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA8)
+    2889           0 :         state_vec_168 <=
+    2890           0 :           {~(touch_way_0_bits[1]),
+    2891           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_168[1],
+    2892           0 :            touch_way_0_bits[1] ? state_vec_168[0] : ~(touch_way_0_bits[0])};
+    2893           0 :       if (touch_way_0_valid & touch_set_0 == 9'hA9)
+    2894           0 :         state_vec_169 <=
+    2895           0 :           {~(touch_way_0_bits[1]),
+    2896           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_169[1],
+    2897           0 :            touch_way_0_bits[1] ? state_vec_169[0] : ~(touch_way_0_bits[0])};
+    2898           0 :       if (touch_way_0_valid & touch_set_0 == 9'hAA)
+    2899           0 :         state_vec_170 <=
+    2900           0 :           {~(touch_way_0_bits[1]),
+    2901           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_170[1],
+    2902           0 :            touch_way_0_bits[1] ? state_vec_170[0] : ~(touch_way_0_bits[0])};
+    2903           0 :       if (touch_way_0_valid & touch_set_0 == 9'hAB)
+    2904           0 :         state_vec_171 <=
+    2905           0 :           {~(touch_way_0_bits[1]),
+    2906           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_171[1],
+    2907           0 :            touch_way_0_bits[1] ? state_vec_171[0] : ~(touch_way_0_bits[0])};
+    2908           0 :       if (touch_way_0_valid & touch_set_0 == 9'hAC)
+    2909           0 :         state_vec_172 <=
+    2910           0 :           {~(touch_way_0_bits[1]),
+    2911           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_172[1],
+    2912           0 :            touch_way_0_bits[1] ? state_vec_172[0] : ~(touch_way_0_bits[0])};
+    2913           0 :       if (touch_way_0_valid & touch_set_0 == 9'hAD)
+    2914           0 :         state_vec_173 <=
+    2915           0 :           {~(touch_way_0_bits[1]),
+    2916           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_173[1],
+    2917           0 :            touch_way_0_bits[1] ? state_vec_173[0] : ~(touch_way_0_bits[0])};
+    2918           0 :       if (touch_way_0_valid & touch_set_0 == 9'hAE)
+    2919           0 :         state_vec_174 <=
+    2920           0 :           {~(touch_way_0_bits[1]),
+    2921           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_174[1],
+    2922           0 :            touch_way_0_bits[1] ? state_vec_174[0] : ~(touch_way_0_bits[0])};
+    2923           0 :       if (touch_way_0_valid & touch_set_0 == 9'hAF)
+    2924           0 :         state_vec_175 <=
+    2925           0 :           {~(touch_way_0_bits[1]),
+    2926           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_175[1],
+    2927           0 :            touch_way_0_bits[1] ? state_vec_175[0] : ~(touch_way_0_bits[0])};
+    2928           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB0)
+    2929           0 :         state_vec_176 <=
+    2930           0 :           {~(touch_way_0_bits[1]),
+    2931           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_176[1],
+    2932           0 :            touch_way_0_bits[1] ? state_vec_176[0] : ~(touch_way_0_bits[0])};
+    2933           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB1)
+    2934           0 :         state_vec_177 <=
+    2935           0 :           {~(touch_way_0_bits[1]),
+    2936           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_177[1],
+    2937           0 :            touch_way_0_bits[1] ? state_vec_177[0] : ~(touch_way_0_bits[0])};
+    2938           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB2)
+    2939           0 :         state_vec_178 <=
+    2940           0 :           {~(touch_way_0_bits[1]),
+    2941           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_178[1],
+    2942           0 :            touch_way_0_bits[1] ? state_vec_178[0] : ~(touch_way_0_bits[0])};
+    2943           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB3)
+    2944           0 :         state_vec_179 <=
+    2945           0 :           {~(touch_way_0_bits[1]),
+    2946           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_179[1],
+    2947           0 :            touch_way_0_bits[1] ? state_vec_179[0] : ~(touch_way_0_bits[0])};
+    2948           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB4)
+    2949           0 :         state_vec_180 <=
+    2950           0 :           {~(touch_way_0_bits[1]),
+    2951           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_180[1],
+    2952           0 :            touch_way_0_bits[1] ? state_vec_180[0] : ~(touch_way_0_bits[0])};
+    2953           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB5)
+    2954           0 :         state_vec_181 <=
+    2955           0 :           {~(touch_way_0_bits[1]),
+    2956           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_181[1],
+    2957           0 :            touch_way_0_bits[1] ? state_vec_181[0] : ~(touch_way_0_bits[0])};
+    2958           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB6)
+    2959           0 :         state_vec_182 <=
+    2960           0 :           {~(touch_way_0_bits[1]),
+    2961           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_182[1],
+    2962           0 :            touch_way_0_bits[1] ? state_vec_182[0] : ~(touch_way_0_bits[0])};
+    2963           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB7)
+    2964           0 :         state_vec_183 <=
+    2965           0 :           {~(touch_way_0_bits[1]),
+    2966           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_183[1],
+    2967           2 :            touch_way_0_bits[1] ? state_vec_183[0] : ~(touch_way_0_bits[0])};
+    2968           1 :       if (touch_way_0_valid & touch_set_0 == 9'hB8)
+    2969           1 :         state_vec_184 <=
+    2970           1 :           {~(touch_way_0_bits[1]),
+    2971           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_184[1],
+    2972           0 :            touch_way_0_bits[1] ? state_vec_184[0] : ~(touch_way_0_bits[0])};
+    2973           0 :       if (touch_way_0_valid & touch_set_0 == 9'hB9)
+    2974           0 :         state_vec_185 <=
+    2975           0 :           {~(touch_way_0_bits[1]),
+    2976           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_185[1],
+    2977           0 :            touch_way_0_bits[1] ? state_vec_185[0] : ~(touch_way_0_bits[0])};
+    2978           0 :       if (touch_way_0_valid & touch_set_0 == 9'hBA)
+    2979           0 :         state_vec_186 <=
+    2980           0 :           {~(touch_way_0_bits[1]),
+    2981           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_186[1],
+    2982           0 :            touch_way_0_bits[1] ? state_vec_186[0] : ~(touch_way_0_bits[0])};
+    2983           0 :       if (touch_way_0_valid & touch_set_0 == 9'hBB)
+    2984           0 :         state_vec_187 <=
+    2985           0 :           {~(touch_way_0_bits[1]),
+    2986           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_187[1],
+    2987           0 :            touch_way_0_bits[1] ? state_vec_187[0] : ~(touch_way_0_bits[0])};
+    2988           0 :       if (touch_way_0_valid & touch_set_0 == 9'hBC)
+    2989           0 :         state_vec_188 <=
+    2990           0 :           {~(touch_way_0_bits[1]),
+    2991           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_188[1],
+    2992           0 :            touch_way_0_bits[1] ? state_vec_188[0] : ~(touch_way_0_bits[0])};
+    2993           0 :       if (touch_way_0_valid & touch_set_0 == 9'hBD)
+    2994           0 :         state_vec_189 <=
+    2995           0 :           {~(touch_way_0_bits[1]),
+    2996           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_189[1],
+    2997           0 :            touch_way_0_bits[1] ? state_vec_189[0] : ~(touch_way_0_bits[0])};
+    2998           0 :       if (touch_way_0_valid & touch_set_0 == 9'hBE)
+    2999           0 :         state_vec_190 <=
+    3000           0 :           {~(touch_way_0_bits[1]),
+    3001           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_190[1],
+    3002           0 :            touch_way_0_bits[1] ? state_vec_190[0] : ~(touch_way_0_bits[0])};
+    3003           0 :       if (touch_way_0_valid & touch_set_0 == 9'hBF)
+    3004           0 :         state_vec_191 <=
+    3005           0 :           {~(touch_way_0_bits[1]),
+    3006           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_191[1],
+    3007           0 :            touch_way_0_bits[1] ? state_vec_191[0] : ~(touch_way_0_bits[0])};
+    3008           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC0)
+    3009           0 :         state_vec_192 <=
+    3010           0 :           {~(touch_way_0_bits[1]),
+    3011           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_192[1],
+    3012           0 :            touch_way_0_bits[1] ? state_vec_192[0] : ~(touch_way_0_bits[0])};
+    3013           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC1)
+    3014           0 :         state_vec_193 <=
+    3015           0 :           {~(touch_way_0_bits[1]),
+    3016           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_193[1],
+    3017           0 :            touch_way_0_bits[1] ? state_vec_193[0] : ~(touch_way_0_bits[0])};
+    3018           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC2)
+    3019           0 :         state_vec_194 <=
+    3020           0 :           {~(touch_way_0_bits[1]),
+    3021           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_194[1],
+    3022           0 :            touch_way_0_bits[1] ? state_vec_194[0] : ~(touch_way_0_bits[0])};
+    3023           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC3)
+    3024           0 :         state_vec_195 <=
+    3025           0 :           {~(touch_way_0_bits[1]),
+    3026           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_195[1],
+    3027           0 :            touch_way_0_bits[1] ? state_vec_195[0] : ~(touch_way_0_bits[0])};
+    3028           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC4)
+    3029           0 :         state_vec_196 <=
+    3030           0 :           {~(touch_way_0_bits[1]),
+    3031           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_196[1],
+    3032           0 :            touch_way_0_bits[1] ? state_vec_196[0] : ~(touch_way_0_bits[0])};
+    3033           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC5)
+    3034           0 :         state_vec_197 <=
+    3035           0 :           {~(touch_way_0_bits[1]),
+    3036           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_197[1],
+    3037           0 :            touch_way_0_bits[1] ? state_vec_197[0] : ~(touch_way_0_bits[0])};
+    3038           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC6)
+    3039           0 :         state_vec_198 <=
+    3040           0 :           {~(touch_way_0_bits[1]),
+    3041           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_198[1],
+    3042           0 :            touch_way_0_bits[1] ? state_vec_198[0] : ~(touch_way_0_bits[0])};
+    3043           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC7)
+    3044           0 :         state_vec_199 <=
+    3045           0 :           {~(touch_way_0_bits[1]),
+    3046           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_199[1],
+    3047           0 :            touch_way_0_bits[1] ? state_vec_199[0] : ~(touch_way_0_bits[0])};
+    3048           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC8)
+    3049           0 :         state_vec_200 <=
+    3050           0 :           {~(touch_way_0_bits[1]),
+    3051           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_200[1],
+    3052           0 :            touch_way_0_bits[1] ? state_vec_200[0] : ~(touch_way_0_bits[0])};
+    3053           0 :       if (touch_way_0_valid & touch_set_0 == 9'hC9)
+    3054           0 :         state_vec_201 <=
+    3055           0 :           {~(touch_way_0_bits[1]),
+    3056           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_201[1],
+    3057           0 :            touch_way_0_bits[1] ? state_vec_201[0] : ~(touch_way_0_bits[0])};
+    3058           0 :       if (touch_way_0_valid & touch_set_0 == 9'hCA)
+    3059           0 :         state_vec_202 <=
+    3060           0 :           {~(touch_way_0_bits[1]),
+    3061           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_202[1],
+    3062           0 :            touch_way_0_bits[1] ? state_vec_202[0] : ~(touch_way_0_bits[0])};
+    3063           0 :       if (touch_way_0_valid & touch_set_0 == 9'hCB)
+    3064           0 :         state_vec_203 <=
+    3065           0 :           {~(touch_way_0_bits[1]),
+    3066           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_203[1],
+    3067           0 :            touch_way_0_bits[1] ? state_vec_203[0] : ~(touch_way_0_bits[0])};
+    3068           0 :       if (touch_way_0_valid & touch_set_0 == 9'hCC)
+    3069           0 :         state_vec_204 <=
+    3070           0 :           {~(touch_way_0_bits[1]),
+    3071           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_204[1],
+    3072           0 :            touch_way_0_bits[1] ? state_vec_204[0] : ~(touch_way_0_bits[0])};
+    3073           0 :       if (touch_way_0_valid & touch_set_0 == 9'hCD)
+    3074           0 :         state_vec_205 <=
+    3075           0 :           {~(touch_way_0_bits[1]),
+    3076           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_205[1],
+    3077           0 :            touch_way_0_bits[1] ? state_vec_205[0] : ~(touch_way_0_bits[0])};
+    3078           0 :       if (touch_way_0_valid & touch_set_0 == 9'hCE)
+    3079           0 :         state_vec_206 <=
+    3080           0 :           {~(touch_way_0_bits[1]),
+    3081           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_206[1],
+    3082           0 :            touch_way_0_bits[1] ? state_vec_206[0] : ~(touch_way_0_bits[0])};
+    3083           0 :       if (touch_way_0_valid & touch_set_0 == 9'hCF)
+    3084           0 :         state_vec_207 <=
+    3085           0 :           {~(touch_way_0_bits[1]),
+    3086           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_207[1],
+    3087           0 :            touch_way_0_bits[1] ? state_vec_207[0] : ~(touch_way_0_bits[0])};
+    3088           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD0)
+    3089           0 :         state_vec_208 <=
+    3090           0 :           {~(touch_way_0_bits[1]),
+    3091           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_208[1],
+    3092           0 :            touch_way_0_bits[1] ? state_vec_208[0] : ~(touch_way_0_bits[0])};
+    3093           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD1)
+    3094           0 :         state_vec_209 <=
+    3095           0 :           {~(touch_way_0_bits[1]),
+    3096           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_209[1],
+    3097           0 :            touch_way_0_bits[1] ? state_vec_209[0] : ~(touch_way_0_bits[0])};
+    3098           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD2)
+    3099           0 :         state_vec_210 <=
+    3100           0 :           {~(touch_way_0_bits[1]),
+    3101           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_210[1],
+    3102           0 :            touch_way_0_bits[1] ? state_vec_210[0] : ~(touch_way_0_bits[0])};
+    3103           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD3)
+    3104           0 :         state_vec_211 <=
+    3105           0 :           {~(touch_way_0_bits[1]),
+    3106           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_211[1],
+    3107           0 :            touch_way_0_bits[1] ? state_vec_211[0] : ~(touch_way_0_bits[0])};
+    3108           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD4)
+    3109           0 :         state_vec_212 <=
+    3110           0 :           {~(touch_way_0_bits[1]),
+    3111           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_212[1],
+    3112           0 :            touch_way_0_bits[1] ? state_vec_212[0] : ~(touch_way_0_bits[0])};
+    3113           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD5)
+    3114           0 :         state_vec_213 <=
+    3115           0 :           {~(touch_way_0_bits[1]),
+    3116           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_213[1],
+    3117           0 :            touch_way_0_bits[1] ? state_vec_213[0] : ~(touch_way_0_bits[0])};
+    3118           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD6)
+    3119           0 :         state_vec_214 <=
+    3120           0 :           {~(touch_way_0_bits[1]),
+    3121           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_214[1],
+    3122           0 :            touch_way_0_bits[1] ? state_vec_214[0] : ~(touch_way_0_bits[0])};
+    3123           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD7)
+    3124           0 :         state_vec_215 <=
+    3125           0 :           {~(touch_way_0_bits[1]),
+    3126           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_215[1],
+    3127           0 :            touch_way_0_bits[1] ? state_vec_215[0] : ~(touch_way_0_bits[0])};
+    3128           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD8)
+    3129           0 :         state_vec_216 <=
+    3130           0 :           {~(touch_way_0_bits[1]),
+    3131           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_216[1],
+    3132           0 :            touch_way_0_bits[1] ? state_vec_216[0] : ~(touch_way_0_bits[0])};
+    3133           0 :       if (touch_way_0_valid & touch_set_0 == 9'hD9)
+    3134           0 :         state_vec_217 <=
+    3135           0 :           {~(touch_way_0_bits[1]),
+    3136           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_217[1],
+    3137           0 :            touch_way_0_bits[1] ? state_vec_217[0] : ~(touch_way_0_bits[0])};
+    3138           0 :       if (touch_way_0_valid & touch_set_0 == 9'hDA)
+    3139           0 :         state_vec_218 <=
+    3140           0 :           {~(touch_way_0_bits[1]),
+    3141           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_218[1],
+    3142           0 :            touch_way_0_bits[1] ? state_vec_218[0] : ~(touch_way_0_bits[0])};
+    3143           0 :       if (touch_way_0_valid & touch_set_0 == 9'hDB)
+    3144           0 :         state_vec_219 <=
+    3145           0 :           {~(touch_way_0_bits[1]),
+    3146           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_219[1],
+    3147           0 :            touch_way_0_bits[1] ? state_vec_219[0] : ~(touch_way_0_bits[0])};
+    3148           0 :       if (touch_way_0_valid & touch_set_0 == 9'hDC)
+    3149           0 :         state_vec_220 <=
+    3150           0 :           {~(touch_way_0_bits[1]),
+    3151           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_220[1],
+    3152           0 :            touch_way_0_bits[1] ? state_vec_220[0] : ~(touch_way_0_bits[0])};
+    3153           0 :       if (touch_way_0_valid & touch_set_0 == 9'hDD)
+    3154           0 :         state_vec_221 <=
+    3155           0 :           {~(touch_way_0_bits[1]),
+    3156           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_221[1],
+    3157           0 :            touch_way_0_bits[1] ? state_vec_221[0] : ~(touch_way_0_bits[0])};
+    3158           0 :       if (touch_way_0_valid & touch_set_0 == 9'hDE)
+    3159           0 :         state_vec_222 <=
+    3160           0 :           {~(touch_way_0_bits[1]),
+    3161           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_222[1],
+    3162           0 :            touch_way_0_bits[1] ? state_vec_222[0] : ~(touch_way_0_bits[0])};
+    3163           0 :       if (touch_way_0_valid & touch_set_0 == 9'hDF)
+    3164           0 :         state_vec_223 <=
+    3165           0 :           {~(touch_way_0_bits[1]),
+    3166           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_223[1],
+    3167           0 :            touch_way_0_bits[1] ? state_vec_223[0] : ~(touch_way_0_bits[0])};
+    3168           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE0)
+    3169           0 :         state_vec_224 <=
+    3170           0 :           {~(touch_way_0_bits[1]),
+    3171           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_224[1],
+    3172           0 :            touch_way_0_bits[1] ? state_vec_224[0] : ~(touch_way_0_bits[0])};
+    3173           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE1)
+    3174           0 :         state_vec_225 <=
+    3175           0 :           {~(touch_way_0_bits[1]),
+    3176           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_225[1],
+    3177           0 :            touch_way_0_bits[1] ? state_vec_225[0] : ~(touch_way_0_bits[0])};
+    3178           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE2)
+    3179           0 :         state_vec_226 <=
+    3180           0 :           {~(touch_way_0_bits[1]),
+    3181           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_226[1],
+    3182           0 :            touch_way_0_bits[1] ? state_vec_226[0] : ~(touch_way_0_bits[0])};
+    3183           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE3)
+    3184           0 :         state_vec_227 <=
+    3185           0 :           {~(touch_way_0_bits[1]),
+    3186           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_227[1],
+    3187           0 :            touch_way_0_bits[1] ? state_vec_227[0] : ~(touch_way_0_bits[0])};
+    3188           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE4)
+    3189           0 :         state_vec_228 <=
+    3190           0 :           {~(touch_way_0_bits[1]),
+    3191           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_228[1],
+    3192           0 :            touch_way_0_bits[1] ? state_vec_228[0] : ~(touch_way_0_bits[0])};
+    3193           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE5)
+    3194           0 :         state_vec_229 <=
+    3195           0 :           {~(touch_way_0_bits[1]),
+    3196           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_229[1],
+    3197           0 :            touch_way_0_bits[1] ? state_vec_229[0] : ~(touch_way_0_bits[0])};
+    3198           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE6)
+    3199           0 :         state_vec_230 <=
+    3200           0 :           {~(touch_way_0_bits[1]),
+    3201           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_230[1],
+    3202           0 :            touch_way_0_bits[1] ? state_vec_230[0] : ~(touch_way_0_bits[0])};
+    3203           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE7)
+    3204           0 :         state_vec_231 <=
+    3205           0 :           {~(touch_way_0_bits[1]),
+    3206           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_231[1],
+    3207           0 :            touch_way_0_bits[1] ? state_vec_231[0] : ~(touch_way_0_bits[0])};
+    3208           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE8)
+    3209           0 :         state_vec_232 <=
+    3210           0 :           {~(touch_way_0_bits[1]),
+    3211           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_232[1],
+    3212           0 :            touch_way_0_bits[1] ? state_vec_232[0] : ~(touch_way_0_bits[0])};
+    3213           0 :       if (touch_way_0_valid & touch_set_0 == 9'hE9)
+    3214           0 :         state_vec_233 <=
+    3215           0 :           {~(touch_way_0_bits[1]),
+    3216           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_233[1],
+    3217           0 :            touch_way_0_bits[1] ? state_vec_233[0] : ~(touch_way_0_bits[0])};
+    3218           0 :       if (touch_way_0_valid & touch_set_0 == 9'hEA)
+    3219           0 :         state_vec_234 <=
+    3220           0 :           {~(touch_way_0_bits[1]),
+    3221           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_234[1],
+    3222           0 :            touch_way_0_bits[1] ? state_vec_234[0] : ~(touch_way_0_bits[0])};
+    3223           0 :       if (touch_way_0_valid & touch_set_0 == 9'hEB)
+    3224           0 :         state_vec_235 <=
+    3225           0 :           {~(touch_way_0_bits[1]),
+    3226           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_235[1],
+    3227           0 :            touch_way_0_bits[1] ? state_vec_235[0] : ~(touch_way_0_bits[0])};
+    3228           0 :       if (touch_way_0_valid & touch_set_0 == 9'hEC)
+    3229           0 :         state_vec_236 <=
+    3230           0 :           {~(touch_way_0_bits[1]),
+    3231           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_236[1],
+    3232           0 :            touch_way_0_bits[1] ? state_vec_236[0] : ~(touch_way_0_bits[0])};
+    3233           0 :       if (touch_way_0_valid & touch_set_0 == 9'hED)
+    3234           0 :         state_vec_237 <=
+    3235           0 :           {~(touch_way_0_bits[1]),
+    3236           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_237[1],
+    3237           0 :            touch_way_0_bits[1] ? state_vec_237[0] : ~(touch_way_0_bits[0])};
+    3238           0 :       if (touch_way_0_valid & touch_set_0 == 9'hEE)
+    3239           0 :         state_vec_238 <=
+    3240           0 :           {~(touch_way_0_bits[1]),
+    3241           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_238[1],
+    3242           0 :            touch_way_0_bits[1] ? state_vec_238[0] : ~(touch_way_0_bits[0])};
+    3243           0 :       if (touch_way_0_valid & touch_set_0 == 9'hEF)
+    3244           0 :         state_vec_239 <=
+    3245           0 :           {~(touch_way_0_bits[1]),
+    3246           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_239[1],
+    3247           0 :            touch_way_0_bits[1] ? state_vec_239[0] : ~(touch_way_0_bits[0])};
+    3248           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF0)
+    3249           0 :         state_vec_240 <=
+    3250           0 :           {~(touch_way_0_bits[1]),
+    3251           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_240[1],
+    3252           0 :            touch_way_0_bits[1] ? state_vec_240[0] : ~(touch_way_0_bits[0])};
+    3253           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF1)
+    3254           0 :         state_vec_241 <=
+    3255           0 :           {~(touch_way_0_bits[1]),
+    3256           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_241[1],
+    3257           0 :            touch_way_0_bits[1] ? state_vec_241[0] : ~(touch_way_0_bits[0])};
+    3258           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF2)
+    3259           0 :         state_vec_242 <=
+    3260           0 :           {~(touch_way_0_bits[1]),
+    3261           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_242[1],
+    3262           0 :            touch_way_0_bits[1] ? state_vec_242[0] : ~(touch_way_0_bits[0])};
+    3263           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF3)
+    3264           0 :         state_vec_243 <=
+    3265           0 :           {~(touch_way_0_bits[1]),
+    3266           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_243[1],
+    3267           0 :            touch_way_0_bits[1] ? state_vec_243[0] : ~(touch_way_0_bits[0])};
+    3268           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF4)
+    3269           0 :         state_vec_244 <=
+    3270           0 :           {~(touch_way_0_bits[1]),
+    3271           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_244[1],
+    3272           0 :            touch_way_0_bits[1] ? state_vec_244[0] : ~(touch_way_0_bits[0])};
+    3273           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF5)
+    3274           0 :         state_vec_245 <=
+    3275           0 :           {~(touch_way_0_bits[1]),
+    3276           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_245[1],
+    3277           0 :            touch_way_0_bits[1] ? state_vec_245[0] : ~(touch_way_0_bits[0])};
+    3278           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF6)
+    3279           0 :         state_vec_246 <=
+    3280           0 :           {~(touch_way_0_bits[1]),
+    3281           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_246[1],
+    3282           0 :            touch_way_0_bits[1] ? state_vec_246[0] : ~(touch_way_0_bits[0])};
+    3283           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF7)
+    3284           0 :         state_vec_247 <=
+    3285           0 :           {~(touch_way_0_bits[1]),
+    3286           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_247[1],
+    3287           0 :            touch_way_0_bits[1] ? state_vec_247[0] : ~(touch_way_0_bits[0])};
+    3288           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF8)
+    3289           0 :         state_vec_248 <=
+    3290           0 :           {~(touch_way_0_bits[1]),
+    3291           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_248[1],
+    3292           0 :            touch_way_0_bits[1] ? state_vec_248[0] : ~(touch_way_0_bits[0])};
+    3293           0 :       if (touch_way_0_valid & touch_set_0 == 9'hF9)
+    3294           0 :         state_vec_249 <=
+    3295           0 :           {~(touch_way_0_bits[1]),
+    3296           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_249[1],
+    3297           0 :            touch_way_0_bits[1] ? state_vec_249[0] : ~(touch_way_0_bits[0])};
+    3298           0 :       if (touch_way_0_valid & touch_set_0 == 9'hFA)
+    3299           0 :         state_vec_250 <=
+    3300           0 :           {~(touch_way_0_bits[1]),
+    3301           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_250[1],
+    3302           0 :            touch_way_0_bits[1] ? state_vec_250[0] : ~(touch_way_0_bits[0])};
+    3303           0 :       if (touch_way_0_valid & touch_set_0 == 9'hFB)
+    3304           0 :         state_vec_251 <=
+    3305           0 :           {~(touch_way_0_bits[1]),
+    3306           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_251[1],
+    3307           0 :            touch_way_0_bits[1] ? state_vec_251[0] : ~(touch_way_0_bits[0])};
+    3308           0 :       if (touch_way_0_valid & touch_set_0 == 9'hFC)
+    3309           0 :         state_vec_252 <=
+    3310           0 :           {~(touch_way_0_bits[1]),
+    3311           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_252[1],
+    3312           0 :            touch_way_0_bits[1] ? state_vec_252[0] : ~(touch_way_0_bits[0])};
+    3313           0 :       if (touch_way_0_valid & touch_set_0 == 9'hFD)
+    3314           0 :         state_vec_253 <=
+    3315           0 :           {~(touch_way_0_bits[1]),
+    3316           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_253[1],
+    3317           0 :            touch_way_0_bits[1] ? state_vec_253[0] : ~(touch_way_0_bits[0])};
+    3318           0 :       if (touch_way_0_valid & touch_set_0 == 9'hFE)
+    3319           0 :         state_vec_254 <=
+    3320           0 :           {~(touch_way_0_bits[1]),
+    3321           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_254[1],
+    3322           0 :            touch_way_0_bits[1] ? state_vec_254[0] : ~(touch_way_0_bits[0])};
+    3323           0 :       if (touch_way_0_valid & touch_set_0 == 9'hFF)
+    3324           0 :         state_vec_255 <=
+    3325           0 :           {~(touch_way_0_bits[1]),
+    3326           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_255[1],
+    3327           2 :            touch_way_0_bits[1] ? state_vec_255[0] : ~(touch_way_0_bits[0])};
+    3328           1 :       if (touch_way_0_valid & touch_set_0 == 9'h100)
+    3329           1 :         state_vec_256 <=
+    3330           1 :           {~(touch_way_0_bits[1]),
+    3331           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_256[1],
+    3332           0 :            touch_way_0_bits[1] ? state_vec_256[0] : ~(touch_way_0_bits[0])};
+    3333           0 :       if (touch_way_0_valid & touch_set_0 == 9'h101)
+    3334           0 :         state_vec_257 <=
+    3335           0 :           {~(touch_way_0_bits[1]),
+    3336           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_257[1],
+    3337           2 :            touch_way_0_bits[1] ? state_vec_257[0] : ~(touch_way_0_bits[0])};
+    3338           1 :       if (touch_way_0_valid & touch_set_0 == 9'h102)
+    3339           1 :         state_vec_258 <=
+    3340           1 :           {~(touch_way_0_bits[1]),
+    3341           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_258[1],
+    3342           0 :            touch_way_0_bits[1] ? state_vec_258[0] : ~(touch_way_0_bits[0])};
+    3343           0 :       if (touch_way_0_valid & touch_set_0 == 9'h103)
+    3344           0 :         state_vec_259 <=
+    3345           0 :           {~(touch_way_0_bits[1]),
+    3346           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_259[1],
+    3347           2 :            touch_way_0_bits[1] ? state_vec_259[0] : ~(touch_way_0_bits[0])};
+    3348           1 :       if (touch_way_0_valid & touch_set_0 == 9'h104)
+    3349           1 :         state_vec_260 <=
+    3350           1 :           {~(touch_way_0_bits[1]),
+    3351           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_260[1],
+    3352           0 :            touch_way_0_bits[1] ? state_vec_260[0] : ~(touch_way_0_bits[0])};
+    3353           0 :       if (touch_way_0_valid & touch_set_0 == 9'h105)
+    3354           0 :         state_vec_261 <=
+    3355           0 :           {~(touch_way_0_bits[1]),
+    3356           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_261[1],
+    3357           0 :            touch_way_0_bits[1] ? state_vec_261[0] : ~(touch_way_0_bits[0])};
+    3358           0 :       if (touch_way_0_valid & touch_set_0 == 9'h106)
+    3359           0 :         state_vec_262 <=
+    3360           0 :           {~(touch_way_0_bits[1]),
+    3361           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_262[1],
+    3362           0 :            touch_way_0_bits[1] ? state_vec_262[0] : ~(touch_way_0_bits[0])};
+    3363           0 :       if (touch_way_0_valid & touch_set_0 == 9'h107)
+    3364           0 :         state_vec_263 <=
+    3365           0 :           {~(touch_way_0_bits[1]),
+    3366           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_263[1],
+    3367           0 :            touch_way_0_bits[1] ? state_vec_263[0] : ~(touch_way_0_bits[0])};
+    3368           0 :       if (touch_way_0_valid & touch_set_0 == 9'h108)
+    3369           0 :         state_vec_264 <=
+    3370           0 :           {~(touch_way_0_bits[1]),
+    3371           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_264[1],
+    3372           0 :            touch_way_0_bits[1] ? state_vec_264[0] : ~(touch_way_0_bits[0])};
+    3373           0 :       if (touch_way_0_valid & touch_set_0 == 9'h109)
+    3374           0 :         state_vec_265 <=
+    3375           0 :           {~(touch_way_0_bits[1]),
+    3376           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_265[1],
+    3377           0 :            touch_way_0_bits[1] ? state_vec_265[0] : ~(touch_way_0_bits[0])};
+    3378           0 :       if (touch_way_0_valid & touch_set_0 == 9'h10A)
+    3379           0 :         state_vec_266 <=
+    3380           0 :           {~(touch_way_0_bits[1]),
+    3381           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_266[1],
+    3382           0 :            touch_way_0_bits[1] ? state_vec_266[0] : ~(touch_way_0_bits[0])};
+    3383           0 :       if (touch_way_0_valid & touch_set_0 == 9'h10B)
+    3384           0 :         state_vec_267 <=
+    3385           0 :           {~(touch_way_0_bits[1]),
+    3386           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_267[1],
+    3387           0 :            touch_way_0_bits[1] ? state_vec_267[0] : ~(touch_way_0_bits[0])};
+    3388           0 :       if (touch_way_0_valid & touch_set_0 == 9'h10C)
+    3389           0 :         state_vec_268 <=
+    3390           0 :           {~(touch_way_0_bits[1]),
+    3391           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_268[1],
+    3392           0 :            touch_way_0_bits[1] ? state_vec_268[0] : ~(touch_way_0_bits[0])};
+    3393           0 :       if (touch_way_0_valid & touch_set_0 == 9'h10D)
+    3394           0 :         state_vec_269 <=
+    3395           0 :           {~(touch_way_0_bits[1]),
+    3396           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_269[1],
+    3397           0 :            touch_way_0_bits[1] ? state_vec_269[0] : ~(touch_way_0_bits[0])};
+    3398           0 :       if (touch_way_0_valid & touch_set_0 == 9'h10E)
+    3399           0 :         state_vec_270 <=
+    3400           0 :           {~(touch_way_0_bits[1]),
+    3401           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_270[1],
+    3402           0 :            touch_way_0_bits[1] ? state_vec_270[0] : ~(touch_way_0_bits[0])};
+    3403           0 :       if (touch_way_0_valid & touch_set_0 == 9'h10F)
+    3404           0 :         state_vec_271 <=
+    3405           0 :           {~(touch_way_0_bits[1]),
+    3406           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_271[1],
+    3407           0 :            touch_way_0_bits[1] ? state_vec_271[0] : ~(touch_way_0_bits[0])};
+    3408           0 :       if (touch_way_0_valid & touch_set_0 == 9'h110)
+    3409           0 :         state_vec_272 <=
+    3410           0 :           {~(touch_way_0_bits[1]),
+    3411           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_272[1],
+    3412           0 :            touch_way_0_bits[1] ? state_vec_272[0] : ~(touch_way_0_bits[0])};
+    3413           0 :       if (touch_way_0_valid & touch_set_0 == 9'h111)
+    3414           0 :         state_vec_273 <=
+    3415           0 :           {~(touch_way_0_bits[1]),
+    3416           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_273[1],
+    3417           0 :            touch_way_0_bits[1] ? state_vec_273[0] : ~(touch_way_0_bits[0])};
+    3418           0 :       if (touch_way_0_valid & touch_set_0 == 9'h112)
+    3419           0 :         state_vec_274 <=
+    3420           0 :           {~(touch_way_0_bits[1]),
+    3421           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_274[1],
+    3422           0 :            touch_way_0_bits[1] ? state_vec_274[0] : ~(touch_way_0_bits[0])};
+    3423           0 :       if (touch_way_0_valid & touch_set_0 == 9'h113)
+    3424           0 :         state_vec_275 <=
+    3425           0 :           {~(touch_way_0_bits[1]),
+    3426           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_275[1],
+    3427           0 :            touch_way_0_bits[1] ? state_vec_275[0] : ~(touch_way_0_bits[0])};
+    3428           0 :       if (touch_way_0_valid & touch_set_0 == 9'h114)
+    3429           0 :         state_vec_276 <=
+    3430           0 :           {~(touch_way_0_bits[1]),
+    3431           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_276[1],
+    3432           0 :            touch_way_0_bits[1] ? state_vec_276[0] : ~(touch_way_0_bits[0])};
+    3433           0 :       if (touch_way_0_valid & touch_set_0 == 9'h115)
+    3434           0 :         state_vec_277 <=
+    3435           0 :           {~(touch_way_0_bits[1]),
+    3436           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_277[1],
+    3437           0 :            touch_way_0_bits[1] ? state_vec_277[0] : ~(touch_way_0_bits[0])};
+    3438           0 :       if (touch_way_0_valid & touch_set_0 == 9'h116)
+    3439           0 :         state_vec_278 <=
+    3440           0 :           {~(touch_way_0_bits[1]),
+    3441           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_278[1],
+    3442           0 :            touch_way_0_bits[1] ? state_vec_278[0] : ~(touch_way_0_bits[0])};
+    3443           0 :       if (touch_way_0_valid & touch_set_0 == 9'h117)
+    3444           0 :         state_vec_279 <=
+    3445           0 :           {~(touch_way_0_bits[1]),
+    3446           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_279[1],
+    3447           0 :            touch_way_0_bits[1] ? state_vec_279[0] : ~(touch_way_0_bits[0])};
+    3448           0 :       if (touch_way_0_valid & touch_set_0 == 9'h118)
+    3449           0 :         state_vec_280 <=
+    3450           0 :           {~(touch_way_0_bits[1]),
+    3451           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_280[1],
+    3452           0 :            touch_way_0_bits[1] ? state_vec_280[0] : ~(touch_way_0_bits[0])};
+    3453           0 :       if (touch_way_0_valid & touch_set_0 == 9'h119)
+    3454           0 :         state_vec_281 <=
+    3455           0 :           {~(touch_way_0_bits[1]),
+    3456           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_281[1],
+    3457           0 :            touch_way_0_bits[1] ? state_vec_281[0] : ~(touch_way_0_bits[0])};
+    3458           0 :       if (touch_way_0_valid & touch_set_0 == 9'h11A)
+    3459           0 :         state_vec_282 <=
+    3460           0 :           {~(touch_way_0_bits[1]),
+    3461           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_282[1],
+    3462           0 :            touch_way_0_bits[1] ? state_vec_282[0] : ~(touch_way_0_bits[0])};
+    3463           0 :       if (touch_way_0_valid & touch_set_0 == 9'h11B)
+    3464           0 :         state_vec_283 <=
+    3465           0 :           {~(touch_way_0_bits[1]),
+    3466           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_283[1],
+    3467           0 :            touch_way_0_bits[1] ? state_vec_283[0] : ~(touch_way_0_bits[0])};
+    3468           0 :       if (touch_way_0_valid & touch_set_0 == 9'h11C)
+    3469           0 :         state_vec_284 <=
+    3470           0 :           {~(touch_way_0_bits[1]),
+    3471           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_284[1],
+    3472           0 :            touch_way_0_bits[1] ? state_vec_284[0] : ~(touch_way_0_bits[0])};
+    3473           0 :       if (touch_way_0_valid & touch_set_0 == 9'h11D)
+    3474           0 :         state_vec_285 <=
+    3475           0 :           {~(touch_way_0_bits[1]),
+    3476           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_285[1],
+    3477           0 :            touch_way_0_bits[1] ? state_vec_285[0] : ~(touch_way_0_bits[0])};
+    3478           0 :       if (touch_way_0_valid & touch_set_0 == 9'h11E)
+    3479           0 :         state_vec_286 <=
+    3480           0 :           {~(touch_way_0_bits[1]),
+    3481           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_286[1],
+    3482           0 :            touch_way_0_bits[1] ? state_vec_286[0] : ~(touch_way_0_bits[0])};
+    3483           0 :       if (touch_way_0_valid & touch_set_0 == 9'h11F)
+    3484           0 :         state_vec_287 <=
+    3485           0 :           {~(touch_way_0_bits[1]),
+    3486           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_287[1],
+    3487           0 :            touch_way_0_bits[1] ? state_vec_287[0] : ~(touch_way_0_bits[0])};
+    3488           0 :       if (touch_way_0_valid & touch_set_0 == 9'h120)
+    3489           0 :         state_vec_288 <=
+    3490           0 :           {~(touch_way_0_bits[1]),
+    3491           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_288[1],
+    3492           0 :            touch_way_0_bits[1] ? state_vec_288[0] : ~(touch_way_0_bits[0])};
+    3493           0 :       if (touch_way_0_valid & touch_set_0 == 9'h121)
+    3494           0 :         state_vec_289 <=
+    3495           0 :           {~(touch_way_0_bits[1]),
+    3496           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_289[1],
+    3497           0 :            touch_way_0_bits[1] ? state_vec_289[0] : ~(touch_way_0_bits[0])};
+    3498           0 :       if (touch_way_0_valid & touch_set_0 == 9'h122)
+    3499           0 :         state_vec_290 <=
+    3500           0 :           {~(touch_way_0_bits[1]),
+    3501           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_290[1],
+    3502           0 :            touch_way_0_bits[1] ? state_vec_290[0] : ~(touch_way_0_bits[0])};
+    3503           0 :       if (touch_way_0_valid & touch_set_0 == 9'h123)
+    3504           0 :         state_vec_291 <=
+    3505           0 :           {~(touch_way_0_bits[1]),
+    3506           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_291[1],
+    3507          10 :            touch_way_0_bits[1] ? state_vec_291[0] : ~(touch_way_0_bits[0])};
+    3508           5 :       if (touch_way_0_valid & touch_set_0 == 9'h124)
+    3509           5 :         state_vec_292 <=
+    3510           5 :           {~(touch_way_0_bits[1]),
+    3511           5 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_292[1],
+    3512           0 :            touch_way_0_bits[1] ? state_vec_292[0] : ~(touch_way_0_bits[0])};
+    3513           0 :       if (touch_way_0_valid & touch_set_0 == 9'h125)
+    3514           0 :         state_vec_293 <=
+    3515           0 :           {~(touch_way_0_bits[1]),
+    3516           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_293[1],
+    3517           0 :            touch_way_0_bits[1] ? state_vec_293[0] : ~(touch_way_0_bits[0])};
+    3518           0 :       if (touch_way_0_valid & touch_set_0 == 9'h126)
+    3519           0 :         state_vec_294 <=
+    3520           0 :           {~(touch_way_0_bits[1]),
+    3521           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_294[1],
+    3522           0 :            touch_way_0_bits[1] ? state_vec_294[0] : ~(touch_way_0_bits[0])};
+    3523           0 :       if (touch_way_0_valid & touch_set_0 == 9'h127)
+    3524           0 :         state_vec_295 <=
+    3525           0 :           {~(touch_way_0_bits[1]),
+    3526           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_295[1],
+    3527           0 :            touch_way_0_bits[1] ? state_vec_295[0] : ~(touch_way_0_bits[0])};
+    3528           0 :       if (touch_way_0_valid & touch_set_0 == 9'h128)
+    3529           0 :         state_vec_296 <=
+    3530           0 :           {~(touch_way_0_bits[1]),
+    3531           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_296[1],
+    3532           0 :            touch_way_0_bits[1] ? state_vec_296[0] : ~(touch_way_0_bits[0])};
+    3533           0 :       if (touch_way_0_valid & touch_set_0 == 9'h129)
+    3534           0 :         state_vec_297 <=
+    3535           0 :           {~(touch_way_0_bits[1]),
+    3536           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_297[1],
+    3537           0 :            touch_way_0_bits[1] ? state_vec_297[0] : ~(touch_way_0_bits[0])};
+    3538           0 :       if (touch_way_0_valid & touch_set_0 == 9'h12A)
+    3539           0 :         state_vec_298 <=
+    3540           0 :           {~(touch_way_0_bits[1]),
+    3541           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_298[1],
+    3542           0 :            touch_way_0_bits[1] ? state_vec_298[0] : ~(touch_way_0_bits[0])};
+    3543           0 :       if (touch_way_0_valid & touch_set_0 == 9'h12B)
+    3544           0 :         state_vec_299 <=
+    3545           0 :           {~(touch_way_0_bits[1]),
+    3546           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_299[1],
+    3547           0 :            touch_way_0_bits[1] ? state_vec_299[0] : ~(touch_way_0_bits[0])};
+    3548           0 :       if (touch_way_0_valid & touch_set_0 == 9'h12C)
+    3549           0 :         state_vec_300 <=
+    3550           0 :           {~(touch_way_0_bits[1]),
+    3551           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_300[1],
+    3552           0 :            touch_way_0_bits[1] ? state_vec_300[0] : ~(touch_way_0_bits[0])};
+    3553           0 :       if (touch_way_0_valid & touch_set_0 == 9'h12D)
+    3554           0 :         state_vec_301 <=
+    3555           0 :           {~(touch_way_0_bits[1]),
+    3556           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_301[1],
+    3557           0 :            touch_way_0_bits[1] ? state_vec_301[0] : ~(touch_way_0_bits[0])};
+    3558           0 :       if (touch_way_0_valid & touch_set_0 == 9'h12E)
+    3559           0 :         state_vec_302 <=
+    3560           0 :           {~(touch_way_0_bits[1]),
+    3561           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_302[1],
+    3562           0 :            touch_way_0_bits[1] ? state_vec_302[0] : ~(touch_way_0_bits[0])};
+    3563           0 :       if (touch_way_0_valid & touch_set_0 == 9'h12F)
+    3564           0 :         state_vec_303 <=
+    3565           0 :           {~(touch_way_0_bits[1]),
+    3566           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_303[1],
+    3567           0 :            touch_way_0_bits[1] ? state_vec_303[0] : ~(touch_way_0_bits[0])};
+    3568           0 :       if (touch_way_0_valid & touch_set_0 == 9'h130)
+    3569           0 :         state_vec_304 <=
+    3570           0 :           {~(touch_way_0_bits[1]),
+    3571           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_304[1],
+    3572           0 :            touch_way_0_bits[1] ? state_vec_304[0] : ~(touch_way_0_bits[0])};
+    3573           0 :       if (touch_way_0_valid & touch_set_0 == 9'h131)
+    3574           0 :         state_vec_305 <=
+    3575           0 :           {~(touch_way_0_bits[1]),
+    3576           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_305[1],
+    3577           0 :            touch_way_0_bits[1] ? state_vec_305[0] : ~(touch_way_0_bits[0])};
+    3578           0 :       if (touch_way_0_valid & touch_set_0 == 9'h132)
+    3579           0 :         state_vec_306 <=
+    3580           0 :           {~(touch_way_0_bits[1]),
+    3581           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_306[1],
+    3582           0 :            touch_way_0_bits[1] ? state_vec_306[0] : ~(touch_way_0_bits[0])};
+    3583           0 :       if (touch_way_0_valid & touch_set_0 == 9'h133)
+    3584           0 :         state_vec_307 <=
+    3585           0 :           {~(touch_way_0_bits[1]),
+    3586           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_307[1],
+    3587           0 :            touch_way_0_bits[1] ? state_vec_307[0] : ~(touch_way_0_bits[0])};
+    3588           0 :       if (touch_way_0_valid & touch_set_0 == 9'h134)
+    3589           0 :         state_vec_308 <=
+    3590           0 :           {~(touch_way_0_bits[1]),
+    3591           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_308[1],
+    3592           0 :            touch_way_0_bits[1] ? state_vec_308[0] : ~(touch_way_0_bits[0])};
+    3593           0 :       if (touch_way_0_valid & touch_set_0 == 9'h135)
+    3594           0 :         state_vec_309 <=
+    3595           0 :           {~(touch_way_0_bits[1]),
+    3596           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_309[1],
+    3597           0 :            touch_way_0_bits[1] ? state_vec_309[0] : ~(touch_way_0_bits[0])};
+    3598           0 :       if (touch_way_0_valid & touch_set_0 == 9'h136)
+    3599           0 :         state_vec_310 <=
+    3600           0 :           {~(touch_way_0_bits[1]),
+    3601           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_310[1],
+    3602           0 :            touch_way_0_bits[1] ? state_vec_310[0] : ~(touch_way_0_bits[0])};
+    3603           0 :       if (touch_way_0_valid & touch_set_0 == 9'h137)
+    3604           0 :         state_vec_311 <=
+    3605           0 :           {~(touch_way_0_bits[1]),
+    3606           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_311[1],
+    3607           0 :            touch_way_0_bits[1] ? state_vec_311[0] : ~(touch_way_0_bits[0])};
+    3608           0 :       if (touch_way_0_valid & touch_set_0 == 9'h138)
+    3609           0 :         state_vec_312 <=
+    3610           0 :           {~(touch_way_0_bits[1]),
+    3611           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_312[1],
+    3612           0 :            touch_way_0_bits[1] ? state_vec_312[0] : ~(touch_way_0_bits[0])};
+    3613           0 :       if (touch_way_0_valid & touch_set_0 == 9'h139)
+    3614           0 :         state_vec_313 <=
+    3615           0 :           {~(touch_way_0_bits[1]),
+    3616           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_313[1],
+    3617           0 :            touch_way_0_bits[1] ? state_vec_313[0] : ~(touch_way_0_bits[0])};
+    3618           0 :       if (touch_way_0_valid & touch_set_0 == 9'h13A)
+    3619           0 :         state_vec_314 <=
+    3620           0 :           {~(touch_way_0_bits[1]),
+    3621           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_314[1],
+    3622           0 :            touch_way_0_bits[1] ? state_vec_314[0] : ~(touch_way_0_bits[0])};
+    3623           0 :       if (touch_way_0_valid & touch_set_0 == 9'h13B)
+    3624           0 :         state_vec_315 <=
+    3625           0 :           {~(touch_way_0_bits[1]),
+    3626           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_315[1],
+    3627           0 :            touch_way_0_bits[1] ? state_vec_315[0] : ~(touch_way_0_bits[0])};
+    3628           0 :       if (touch_way_0_valid & touch_set_0 == 9'h13C)
+    3629           0 :         state_vec_316 <=
+    3630           0 :           {~(touch_way_0_bits[1]),
+    3631           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_316[1],
+    3632           0 :            touch_way_0_bits[1] ? state_vec_316[0] : ~(touch_way_0_bits[0])};
+    3633           0 :       if (touch_way_0_valid & touch_set_0 == 9'h13D)
+    3634           0 :         state_vec_317 <=
+    3635           0 :           {~(touch_way_0_bits[1]),
+    3636           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_317[1],
+    3637           0 :            touch_way_0_bits[1] ? state_vec_317[0] : ~(touch_way_0_bits[0])};
+    3638           0 :       if (touch_way_0_valid & touch_set_0 == 9'h13E)
+    3639           0 :         state_vec_318 <=
+    3640           0 :           {~(touch_way_0_bits[1]),
+    3641           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_318[1],
+    3642           0 :            touch_way_0_bits[1] ? state_vec_318[0] : ~(touch_way_0_bits[0])};
+    3643           0 :       if (touch_way_0_valid & touch_set_0 == 9'h13F)
+    3644           0 :         state_vec_319 <=
+    3645           0 :           {~(touch_way_0_bits[1]),
+    3646           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_319[1],
+    3647           2 :            touch_way_0_bits[1] ? state_vec_319[0] : ~(touch_way_0_bits[0])};
+    3648           1 :       if (touch_way_0_valid & touch_set_0 == 9'h140)
+    3649           1 :         state_vec_320 <=
+    3650           1 :           {~(touch_way_0_bits[1]),
+    3651           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_320[1],
+    3652           0 :            touch_way_0_bits[1] ? state_vec_320[0] : ~(touch_way_0_bits[0])};
+    3653           0 :       if (touch_way_0_valid & touch_set_0 == 9'h141)
+    3654           0 :         state_vec_321 <=
+    3655           0 :           {~(touch_way_0_bits[1]),
+    3656           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_321[1],
+    3657           0 :            touch_way_0_bits[1] ? state_vec_321[0] : ~(touch_way_0_bits[0])};
+    3658           0 :       if (touch_way_0_valid & touch_set_0 == 9'h142)
+    3659           0 :         state_vec_322 <=
+    3660           0 :           {~(touch_way_0_bits[1]),
+    3661           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_322[1],
+    3662           0 :            touch_way_0_bits[1] ? state_vec_322[0] : ~(touch_way_0_bits[0])};
+    3663           0 :       if (touch_way_0_valid & touch_set_0 == 9'h143)
+    3664           0 :         state_vec_323 <=
+    3665           0 :           {~(touch_way_0_bits[1]),
+    3666           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_323[1],
+    3667           0 :            touch_way_0_bits[1] ? state_vec_323[0] : ~(touch_way_0_bits[0])};
+    3668           0 :       if (touch_way_0_valid & touch_set_0 == 9'h144)
+    3669           0 :         state_vec_324 <=
+    3670           0 :           {~(touch_way_0_bits[1]),
+    3671           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_324[1],
+    3672           0 :            touch_way_0_bits[1] ? state_vec_324[0] : ~(touch_way_0_bits[0])};
+    3673           0 :       if (touch_way_0_valid & touch_set_0 == 9'h145)
+    3674           0 :         state_vec_325 <=
+    3675           0 :           {~(touch_way_0_bits[1]),
+    3676           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_325[1],
+    3677           0 :            touch_way_0_bits[1] ? state_vec_325[0] : ~(touch_way_0_bits[0])};
+    3678           0 :       if (touch_way_0_valid & touch_set_0 == 9'h146)
+    3679           0 :         state_vec_326 <=
+    3680           0 :           {~(touch_way_0_bits[1]),
+    3681           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_326[1],
+    3682           0 :            touch_way_0_bits[1] ? state_vec_326[0] : ~(touch_way_0_bits[0])};
+    3683           0 :       if (touch_way_0_valid & touch_set_0 == 9'h147)
+    3684           0 :         state_vec_327 <=
+    3685           0 :           {~(touch_way_0_bits[1]),
+    3686           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_327[1],
+    3687           0 :            touch_way_0_bits[1] ? state_vec_327[0] : ~(touch_way_0_bits[0])};
+    3688           0 :       if (touch_way_0_valid & touch_set_0 == 9'h148)
+    3689           0 :         state_vec_328 <=
+    3690           0 :           {~(touch_way_0_bits[1]),
+    3691           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_328[1],
+    3692           0 :            touch_way_0_bits[1] ? state_vec_328[0] : ~(touch_way_0_bits[0])};
+    3693           0 :       if (touch_way_0_valid & touch_set_0 == 9'h149)
+    3694           0 :         state_vec_329 <=
+    3695           0 :           {~(touch_way_0_bits[1]),
+    3696           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_329[1],
+    3697           0 :            touch_way_0_bits[1] ? state_vec_329[0] : ~(touch_way_0_bits[0])};
+    3698           0 :       if (touch_way_0_valid & touch_set_0 == 9'h14A)
+    3699           0 :         state_vec_330 <=
+    3700           0 :           {~(touch_way_0_bits[1]),
+    3701           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_330[1],
+    3702           0 :            touch_way_0_bits[1] ? state_vec_330[0] : ~(touch_way_0_bits[0])};
+    3703           0 :       if (touch_way_0_valid & touch_set_0 == 9'h14B)
+    3704           0 :         state_vec_331 <=
+    3705           0 :           {~(touch_way_0_bits[1]),
+    3706           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_331[1],
+    3707           0 :            touch_way_0_bits[1] ? state_vec_331[0] : ~(touch_way_0_bits[0])};
+    3708           0 :       if (touch_way_0_valid & touch_set_0 == 9'h14C)
+    3709           0 :         state_vec_332 <=
+    3710           0 :           {~(touch_way_0_bits[1]),
+    3711           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_332[1],
+    3712           0 :            touch_way_0_bits[1] ? state_vec_332[0] : ~(touch_way_0_bits[0])};
+    3713           0 :       if (touch_way_0_valid & touch_set_0 == 9'h14D)
+    3714           0 :         state_vec_333 <=
+    3715           0 :           {~(touch_way_0_bits[1]),
+    3716           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_333[1],
+    3717           0 :            touch_way_0_bits[1] ? state_vec_333[0] : ~(touch_way_0_bits[0])};
+    3718           0 :       if (touch_way_0_valid & touch_set_0 == 9'h14E)
+    3719           0 :         state_vec_334 <=
+    3720           0 :           {~(touch_way_0_bits[1]),
+    3721           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_334[1],
+    3722           0 :            touch_way_0_bits[1] ? state_vec_334[0] : ~(touch_way_0_bits[0])};
+    3723           0 :       if (touch_way_0_valid & touch_set_0 == 9'h14F)
+    3724           0 :         state_vec_335 <=
+    3725           0 :           {~(touch_way_0_bits[1]),
+    3726           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_335[1],
+    3727           0 :            touch_way_0_bits[1] ? state_vec_335[0] : ~(touch_way_0_bits[0])};
+    3728           0 :       if (touch_way_0_valid & touch_set_0 == 9'h150)
+    3729           0 :         state_vec_336 <=
+    3730           0 :           {~(touch_way_0_bits[1]),
+    3731           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_336[1],
+    3732           0 :            touch_way_0_bits[1] ? state_vec_336[0] : ~(touch_way_0_bits[0])};
+    3733           0 :       if (touch_way_0_valid & touch_set_0 == 9'h151)
+    3734           0 :         state_vec_337 <=
+    3735           0 :           {~(touch_way_0_bits[1]),
+    3736           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_337[1],
+    3737           0 :            touch_way_0_bits[1] ? state_vec_337[0] : ~(touch_way_0_bits[0])};
+    3738           0 :       if (touch_way_0_valid & touch_set_0 == 9'h152)
+    3739           0 :         state_vec_338 <=
+    3740           0 :           {~(touch_way_0_bits[1]),
+    3741           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_338[1],
+    3742           0 :            touch_way_0_bits[1] ? state_vec_338[0] : ~(touch_way_0_bits[0])};
+    3743           0 :       if (touch_way_0_valid & touch_set_0 == 9'h153)
+    3744           0 :         state_vec_339 <=
+    3745           0 :           {~(touch_way_0_bits[1]),
+    3746           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_339[1],
+    3747           0 :            touch_way_0_bits[1] ? state_vec_339[0] : ~(touch_way_0_bits[0])};
+    3748           0 :       if (touch_way_0_valid & touch_set_0 == 9'h154)
+    3749           0 :         state_vec_340 <=
+    3750           0 :           {~(touch_way_0_bits[1]),
+    3751           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_340[1],
+    3752           0 :            touch_way_0_bits[1] ? state_vec_340[0] : ~(touch_way_0_bits[0])};
+    3753           0 :       if (touch_way_0_valid & touch_set_0 == 9'h155)
+    3754           0 :         state_vec_341 <=
+    3755           0 :           {~(touch_way_0_bits[1]),
+    3756           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_341[1],
+    3757           0 :            touch_way_0_bits[1] ? state_vec_341[0] : ~(touch_way_0_bits[0])};
+    3758           0 :       if (touch_way_0_valid & touch_set_0 == 9'h156)
+    3759           0 :         state_vec_342 <=
+    3760           0 :           {~(touch_way_0_bits[1]),
+    3761           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_342[1],
+    3762           0 :            touch_way_0_bits[1] ? state_vec_342[0] : ~(touch_way_0_bits[0])};
+    3763           0 :       if (touch_way_0_valid & touch_set_0 == 9'h157)
+    3764           0 :         state_vec_343 <=
+    3765           0 :           {~(touch_way_0_bits[1]),
+    3766           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_343[1],
+    3767           0 :            touch_way_0_bits[1] ? state_vec_343[0] : ~(touch_way_0_bits[0])};
+    3768           0 :       if (touch_way_0_valid & touch_set_0 == 9'h158)
+    3769           0 :         state_vec_344 <=
+    3770           0 :           {~(touch_way_0_bits[1]),
+    3771           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_344[1],
+    3772           0 :            touch_way_0_bits[1] ? state_vec_344[0] : ~(touch_way_0_bits[0])};
+    3773           0 :       if (touch_way_0_valid & touch_set_0 == 9'h159)
+    3774           0 :         state_vec_345 <=
+    3775           0 :           {~(touch_way_0_bits[1]),
+    3776           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_345[1],
+    3777           0 :            touch_way_0_bits[1] ? state_vec_345[0] : ~(touch_way_0_bits[0])};
+    3778           0 :       if (touch_way_0_valid & touch_set_0 == 9'h15A)
+    3779           0 :         state_vec_346 <=
+    3780           0 :           {~(touch_way_0_bits[1]),
+    3781           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_346[1],
+    3782           0 :            touch_way_0_bits[1] ? state_vec_346[0] : ~(touch_way_0_bits[0])};
+    3783           0 :       if (touch_way_0_valid & touch_set_0 == 9'h15B)
+    3784           0 :         state_vec_347 <=
+    3785           0 :           {~(touch_way_0_bits[1]),
+    3786           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_347[1],
+    3787           0 :            touch_way_0_bits[1] ? state_vec_347[0] : ~(touch_way_0_bits[0])};
+    3788           0 :       if (touch_way_0_valid & touch_set_0 == 9'h15C)
+    3789           0 :         state_vec_348 <=
+    3790           0 :           {~(touch_way_0_bits[1]),
+    3791           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_348[1],
+    3792           0 :            touch_way_0_bits[1] ? state_vec_348[0] : ~(touch_way_0_bits[0])};
+    3793           0 :       if (touch_way_0_valid & touch_set_0 == 9'h15D)
+    3794           0 :         state_vec_349 <=
+    3795           0 :           {~(touch_way_0_bits[1]),
+    3796           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_349[1],
+    3797           0 :            touch_way_0_bits[1] ? state_vec_349[0] : ~(touch_way_0_bits[0])};
+    3798           0 :       if (touch_way_0_valid & touch_set_0 == 9'h15E)
+    3799           0 :         state_vec_350 <=
+    3800           0 :           {~(touch_way_0_bits[1]),
+    3801           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_350[1],
+    3802           0 :            touch_way_0_bits[1] ? state_vec_350[0] : ~(touch_way_0_bits[0])};
+    3803           0 :       if (touch_way_0_valid & touch_set_0 == 9'h15F)
+    3804           0 :         state_vec_351 <=
+    3805           0 :           {~(touch_way_0_bits[1]),
+    3806           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_351[1],
+    3807           0 :            touch_way_0_bits[1] ? state_vec_351[0] : ~(touch_way_0_bits[0])};
+    3808           0 :       if (touch_way_0_valid & touch_set_0 == 9'h160)
+    3809           0 :         state_vec_352 <=
+    3810           0 :           {~(touch_way_0_bits[1]),
+    3811           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_352[1],
+    3812           0 :            touch_way_0_bits[1] ? state_vec_352[0] : ~(touch_way_0_bits[0])};
+    3813           0 :       if (touch_way_0_valid & touch_set_0 == 9'h161)
+    3814           0 :         state_vec_353 <=
+    3815           0 :           {~(touch_way_0_bits[1]),
+    3816           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_353[1],
+    3817           0 :            touch_way_0_bits[1] ? state_vec_353[0] : ~(touch_way_0_bits[0])};
+    3818           0 :       if (touch_way_0_valid & touch_set_0 == 9'h162)
+    3819           0 :         state_vec_354 <=
+    3820           0 :           {~(touch_way_0_bits[1]),
+    3821           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_354[1],
+    3822           0 :            touch_way_0_bits[1] ? state_vec_354[0] : ~(touch_way_0_bits[0])};
+    3823           0 :       if (touch_way_0_valid & touch_set_0 == 9'h163)
+    3824           0 :         state_vec_355 <=
+    3825           0 :           {~(touch_way_0_bits[1]),
+    3826           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_355[1],
+    3827           2 :            touch_way_0_bits[1] ? state_vec_355[0] : ~(touch_way_0_bits[0])};
+    3828           1 :       if (touch_way_0_valid & touch_set_0 == 9'h164)
+    3829           1 :         state_vec_356 <=
+    3830           1 :           {~(touch_way_0_bits[1]),
+    3831           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_356[1],
+    3832           0 :            touch_way_0_bits[1] ? state_vec_356[0] : ~(touch_way_0_bits[0])};
+    3833           0 :       if (touch_way_0_valid & touch_set_0 == 9'h165)
+    3834           0 :         state_vec_357 <=
+    3835           0 :           {~(touch_way_0_bits[1]),
+    3836           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_357[1],
+    3837           0 :            touch_way_0_bits[1] ? state_vec_357[0] : ~(touch_way_0_bits[0])};
+    3838           0 :       if (touch_way_0_valid & touch_set_0 == 9'h166)
+    3839           0 :         state_vec_358 <=
+    3840           0 :           {~(touch_way_0_bits[1]),
+    3841           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_358[1],
+    3842           0 :            touch_way_0_bits[1] ? state_vec_358[0] : ~(touch_way_0_bits[0])};
+    3843           0 :       if (touch_way_0_valid & touch_set_0 == 9'h167)
+    3844           0 :         state_vec_359 <=
+    3845           0 :           {~(touch_way_0_bits[1]),
+    3846           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_359[1],
+    3847           0 :            touch_way_0_bits[1] ? state_vec_359[0] : ~(touch_way_0_bits[0])};
+    3848           0 :       if (touch_way_0_valid & touch_set_0 == 9'h168)
+    3849           0 :         state_vec_360 <=
+    3850           0 :           {~(touch_way_0_bits[1]),
+    3851           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_360[1],
+    3852           0 :            touch_way_0_bits[1] ? state_vec_360[0] : ~(touch_way_0_bits[0])};
+    3853           0 :       if (touch_way_0_valid & touch_set_0 == 9'h169)
+    3854           0 :         state_vec_361 <=
+    3855           0 :           {~(touch_way_0_bits[1]),
+    3856           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_361[1],
+    3857           0 :            touch_way_0_bits[1] ? state_vec_361[0] : ~(touch_way_0_bits[0])};
+    3858           0 :       if (touch_way_0_valid & touch_set_0 == 9'h16A)
+    3859           0 :         state_vec_362 <=
+    3860           0 :           {~(touch_way_0_bits[1]),
+    3861           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_362[1],
+    3862           0 :            touch_way_0_bits[1] ? state_vec_362[0] : ~(touch_way_0_bits[0])};
+    3863           0 :       if (touch_way_0_valid & touch_set_0 == 9'h16B)
+    3864           0 :         state_vec_363 <=
+    3865           0 :           {~(touch_way_0_bits[1]),
+    3866           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_363[1],
+    3867           0 :            touch_way_0_bits[1] ? state_vec_363[0] : ~(touch_way_0_bits[0])};
+    3868           0 :       if (touch_way_0_valid & touch_set_0 == 9'h16C)
+    3869           0 :         state_vec_364 <=
+    3870           0 :           {~(touch_way_0_bits[1]),
+    3871           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_364[1],
+    3872           0 :            touch_way_0_bits[1] ? state_vec_364[0] : ~(touch_way_0_bits[0])};
+    3873           0 :       if (touch_way_0_valid & touch_set_0 == 9'h16D)
+    3874           0 :         state_vec_365 <=
+    3875           0 :           {~(touch_way_0_bits[1]),
+    3876           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_365[1],
+    3877           0 :            touch_way_0_bits[1] ? state_vec_365[0] : ~(touch_way_0_bits[0])};
+    3878           0 :       if (touch_way_0_valid & touch_set_0 == 9'h16E)
+    3879           0 :         state_vec_366 <=
+    3880           0 :           {~(touch_way_0_bits[1]),
+    3881           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_366[1],
+    3882           0 :            touch_way_0_bits[1] ? state_vec_366[0] : ~(touch_way_0_bits[0])};
+    3883           0 :       if (touch_way_0_valid & touch_set_0 == 9'h16F)
+    3884           0 :         state_vec_367 <=
+    3885           0 :           {~(touch_way_0_bits[1]),
+    3886           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_367[1],
+    3887           0 :            touch_way_0_bits[1] ? state_vec_367[0] : ~(touch_way_0_bits[0])};
+    3888           0 :       if (touch_way_0_valid & touch_set_0 == 9'h170)
+    3889           0 :         state_vec_368 <=
+    3890           0 :           {~(touch_way_0_bits[1]),
+    3891           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_368[1],
+    3892           0 :            touch_way_0_bits[1] ? state_vec_368[0] : ~(touch_way_0_bits[0])};
+    3893           0 :       if (touch_way_0_valid & touch_set_0 == 9'h171)
+    3894           0 :         state_vec_369 <=
+    3895           0 :           {~(touch_way_0_bits[1]),
+    3896           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_369[1],
+    3897           0 :            touch_way_0_bits[1] ? state_vec_369[0] : ~(touch_way_0_bits[0])};
+    3898           0 :       if (touch_way_0_valid & touch_set_0 == 9'h172)
+    3899           0 :         state_vec_370 <=
+    3900           0 :           {~(touch_way_0_bits[1]),
+    3901           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_370[1],
+    3902           0 :            touch_way_0_bits[1] ? state_vec_370[0] : ~(touch_way_0_bits[0])};
+    3903           0 :       if (touch_way_0_valid & touch_set_0 == 9'h173)
+    3904           0 :         state_vec_371 <=
+    3905           0 :           {~(touch_way_0_bits[1]),
+    3906           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_371[1],
+    3907           0 :            touch_way_0_bits[1] ? state_vec_371[0] : ~(touch_way_0_bits[0])};
+    3908           0 :       if (touch_way_0_valid & touch_set_0 == 9'h174)
+    3909           0 :         state_vec_372 <=
+    3910           0 :           {~(touch_way_0_bits[1]),
+    3911           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_372[1],
+    3912           0 :            touch_way_0_bits[1] ? state_vec_372[0] : ~(touch_way_0_bits[0])};
+    3913           0 :       if (touch_way_0_valid & touch_set_0 == 9'h175)
+    3914           0 :         state_vec_373 <=
+    3915           0 :           {~(touch_way_0_bits[1]),
+    3916           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_373[1],
+    3917           0 :            touch_way_0_bits[1] ? state_vec_373[0] : ~(touch_way_0_bits[0])};
+    3918           0 :       if (touch_way_0_valid & touch_set_0 == 9'h176)
+    3919           0 :         state_vec_374 <=
+    3920           0 :           {~(touch_way_0_bits[1]),
+    3921           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_374[1],
+    3922           0 :            touch_way_0_bits[1] ? state_vec_374[0] : ~(touch_way_0_bits[0])};
+    3923           0 :       if (touch_way_0_valid & touch_set_0 == 9'h177)
+    3924           0 :         state_vec_375 <=
+    3925           0 :           {~(touch_way_0_bits[1]),
+    3926           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_375[1],
+    3927           0 :            touch_way_0_bits[1] ? state_vec_375[0] : ~(touch_way_0_bits[0])};
+    3928           0 :       if (touch_way_0_valid & touch_set_0 == 9'h178)
+    3929           0 :         state_vec_376 <=
+    3930           0 :           {~(touch_way_0_bits[1]),
+    3931           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_376[1],
+    3932           0 :            touch_way_0_bits[1] ? state_vec_376[0] : ~(touch_way_0_bits[0])};
+    3933           0 :       if (touch_way_0_valid & touch_set_0 == 9'h179)
+    3934           0 :         state_vec_377 <=
+    3935           0 :           {~(touch_way_0_bits[1]),
+    3936           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_377[1],
+    3937           0 :            touch_way_0_bits[1] ? state_vec_377[0] : ~(touch_way_0_bits[0])};
+    3938           0 :       if (touch_way_0_valid & touch_set_0 == 9'h17A)
+    3939           0 :         state_vec_378 <=
+    3940           0 :           {~(touch_way_0_bits[1]),
+    3941           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_378[1],
+    3942           0 :            touch_way_0_bits[1] ? state_vec_378[0] : ~(touch_way_0_bits[0])};
+    3943           0 :       if (touch_way_0_valid & touch_set_0 == 9'h17B)
+    3944           0 :         state_vec_379 <=
+    3945           0 :           {~(touch_way_0_bits[1]),
+    3946           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_379[1],
+    3947           0 :            touch_way_0_bits[1] ? state_vec_379[0] : ~(touch_way_0_bits[0])};
+    3948           0 :       if (touch_way_0_valid & touch_set_0 == 9'h17C)
+    3949           0 :         state_vec_380 <=
+    3950           0 :           {~(touch_way_0_bits[1]),
+    3951           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_380[1],
+    3952           0 :            touch_way_0_bits[1] ? state_vec_380[0] : ~(touch_way_0_bits[0])};
+    3953           0 :       if (touch_way_0_valid & touch_set_0 == 9'h17D)
+    3954           0 :         state_vec_381 <=
+    3955           0 :           {~(touch_way_0_bits[1]),
+    3956           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_381[1],
+    3957           0 :            touch_way_0_bits[1] ? state_vec_381[0] : ~(touch_way_0_bits[0])};
+    3958           0 :       if (touch_way_0_valid & touch_set_0 == 9'h17E)
+    3959           0 :         state_vec_382 <=
+    3960           0 :           {~(touch_way_0_bits[1]),
+    3961           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_382[1],
+    3962           0 :            touch_way_0_bits[1] ? state_vec_382[0] : ~(touch_way_0_bits[0])};
+    3963           0 :       if (touch_way_0_valid & touch_set_0 == 9'h17F)
+    3964           0 :         state_vec_383 <=
+    3965           0 :           {~(touch_way_0_bits[1]),
+    3966           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_383[1],
+    3967           0 :            touch_way_0_bits[1] ? state_vec_383[0] : ~(touch_way_0_bits[0])};
+    3968           0 :       if (touch_way_0_valid & touch_set_0 == 9'h180)
+    3969           0 :         state_vec_384 <=
+    3970           0 :           {~(touch_way_0_bits[1]),
+    3971           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_384[1],
+    3972           0 :            touch_way_0_bits[1] ? state_vec_384[0] : ~(touch_way_0_bits[0])};
+    3973           0 :       if (touch_way_0_valid & touch_set_0 == 9'h181)
+    3974           0 :         state_vec_385 <=
+    3975           0 :           {~(touch_way_0_bits[1]),
+    3976           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_385[1],
+    3977           0 :            touch_way_0_bits[1] ? state_vec_385[0] : ~(touch_way_0_bits[0])};
+    3978           0 :       if (touch_way_0_valid & touch_set_0 == 9'h182)
+    3979           0 :         state_vec_386 <=
+    3980           0 :           {~(touch_way_0_bits[1]),
+    3981           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_386[1],
+    3982           0 :            touch_way_0_bits[1] ? state_vec_386[0] : ~(touch_way_0_bits[0])};
+    3983           0 :       if (touch_way_0_valid & touch_set_0 == 9'h183)
+    3984           0 :         state_vec_387 <=
+    3985           0 :           {~(touch_way_0_bits[1]),
+    3986           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_387[1],
+    3987           0 :            touch_way_0_bits[1] ? state_vec_387[0] : ~(touch_way_0_bits[0])};
+    3988           0 :       if (touch_way_0_valid & touch_set_0 == 9'h184)
+    3989           0 :         state_vec_388 <=
+    3990           0 :           {~(touch_way_0_bits[1]),
+    3991           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_388[1],
+    3992           0 :            touch_way_0_bits[1] ? state_vec_388[0] : ~(touch_way_0_bits[0])};
+    3993           0 :       if (touch_way_0_valid & touch_set_0 == 9'h185)
+    3994           0 :         state_vec_389 <=
+    3995           0 :           {~(touch_way_0_bits[1]),
+    3996           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_389[1],
+    3997           0 :            touch_way_0_bits[1] ? state_vec_389[0] : ~(touch_way_0_bits[0])};
+    3998           0 :       if (touch_way_0_valid & touch_set_0 == 9'h186)
+    3999           0 :         state_vec_390 <=
+    4000           0 :           {~(touch_way_0_bits[1]),
+    4001           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_390[1],
+    4002           0 :            touch_way_0_bits[1] ? state_vec_390[0] : ~(touch_way_0_bits[0])};
+    4003           0 :       if (touch_way_0_valid & touch_set_0 == 9'h187)
+    4004           0 :         state_vec_391 <=
+    4005           0 :           {~(touch_way_0_bits[1]),
+    4006           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_391[1],
+    4007           0 :            touch_way_0_bits[1] ? state_vec_391[0] : ~(touch_way_0_bits[0])};
+    4008           0 :       if (touch_way_0_valid & touch_set_0 == 9'h188)
+    4009           0 :         state_vec_392 <=
+    4010           0 :           {~(touch_way_0_bits[1]),
+    4011           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_392[1],
+    4012           0 :            touch_way_0_bits[1] ? state_vec_392[0] : ~(touch_way_0_bits[0])};
+    4013           0 :       if (touch_way_0_valid & touch_set_0 == 9'h189)
+    4014           0 :         state_vec_393 <=
+    4015           0 :           {~(touch_way_0_bits[1]),
+    4016           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_393[1],
+    4017           2 :            touch_way_0_bits[1] ? state_vec_393[0] : ~(touch_way_0_bits[0])};
+    4018           1 :       if (touch_way_0_valid & touch_set_0 == 9'h18A)
+    4019           1 :         state_vec_394 <=
+    4020           1 :           {~(touch_way_0_bits[1]),
+    4021           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_394[1],
+    4022           0 :            touch_way_0_bits[1] ? state_vec_394[0] : ~(touch_way_0_bits[0])};
+    4023           0 :       if (touch_way_0_valid & touch_set_0 == 9'h18B)
+    4024           0 :         state_vec_395 <=
+    4025           0 :           {~(touch_way_0_bits[1]),
+    4026           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_395[1],
+    4027           0 :            touch_way_0_bits[1] ? state_vec_395[0] : ~(touch_way_0_bits[0])};
+    4028           0 :       if (touch_way_0_valid & touch_set_0 == 9'h18C)
+    4029           0 :         state_vec_396 <=
+    4030           0 :           {~(touch_way_0_bits[1]),
+    4031           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_396[1],
+    4032           0 :            touch_way_0_bits[1] ? state_vec_396[0] : ~(touch_way_0_bits[0])};
+    4033           0 :       if (touch_way_0_valid & touch_set_0 == 9'h18D)
+    4034           0 :         state_vec_397 <=
+    4035           0 :           {~(touch_way_0_bits[1]),
+    4036           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_397[1],
+    4037           0 :            touch_way_0_bits[1] ? state_vec_397[0] : ~(touch_way_0_bits[0])};
+    4038           0 :       if (touch_way_0_valid & touch_set_0 == 9'h18E)
+    4039           0 :         state_vec_398 <=
+    4040           0 :           {~(touch_way_0_bits[1]),
+    4041           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_398[1],
+    4042           0 :            touch_way_0_bits[1] ? state_vec_398[0] : ~(touch_way_0_bits[0])};
+    4043           0 :       if (touch_way_0_valid & touch_set_0 == 9'h18F)
+    4044           0 :         state_vec_399 <=
+    4045           0 :           {~(touch_way_0_bits[1]),
+    4046           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_399[1],
+    4047           0 :            touch_way_0_bits[1] ? state_vec_399[0] : ~(touch_way_0_bits[0])};
+    4048           0 :       if (touch_way_0_valid & touch_set_0 == 9'h190)
+    4049           0 :         state_vec_400 <=
+    4050           0 :           {~(touch_way_0_bits[1]),
+    4051           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_400[1],
+    4052           0 :            touch_way_0_bits[1] ? state_vec_400[0] : ~(touch_way_0_bits[0])};
+    4053           0 :       if (touch_way_0_valid & touch_set_0 == 9'h191)
+    4054           0 :         state_vec_401 <=
+    4055           0 :           {~(touch_way_0_bits[1]),
+    4056           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_401[1],
+    4057           0 :            touch_way_0_bits[1] ? state_vec_401[0] : ~(touch_way_0_bits[0])};
+    4058           0 :       if (touch_way_0_valid & touch_set_0 == 9'h192)
+    4059           0 :         state_vec_402 <=
+    4060           0 :           {~(touch_way_0_bits[1]),
+    4061           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_402[1],
+    4062           0 :            touch_way_0_bits[1] ? state_vec_402[0] : ~(touch_way_0_bits[0])};
+    4063           0 :       if (touch_way_0_valid & touch_set_0 == 9'h193)
+    4064           0 :         state_vec_403 <=
+    4065           0 :           {~(touch_way_0_bits[1]),
+    4066           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_403[1],
+    4067           0 :            touch_way_0_bits[1] ? state_vec_403[0] : ~(touch_way_0_bits[0])};
+    4068           0 :       if (touch_way_0_valid & touch_set_0 == 9'h194)
+    4069           0 :         state_vec_404 <=
+    4070           0 :           {~(touch_way_0_bits[1]),
+    4071           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_404[1],
+    4072           0 :            touch_way_0_bits[1] ? state_vec_404[0] : ~(touch_way_0_bits[0])};
+    4073           0 :       if (touch_way_0_valid & touch_set_0 == 9'h195)
+    4074           0 :         state_vec_405 <=
+    4075           0 :           {~(touch_way_0_bits[1]),
+    4076           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_405[1],
+    4077           0 :            touch_way_0_bits[1] ? state_vec_405[0] : ~(touch_way_0_bits[0])};
+    4078           0 :       if (touch_way_0_valid & touch_set_0 == 9'h196)
+    4079           0 :         state_vec_406 <=
+    4080           0 :           {~(touch_way_0_bits[1]),
+    4081           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_406[1],
+    4082           0 :            touch_way_0_bits[1] ? state_vec_406[0] : ~(touch_way_0_bits[0])};
+    4083           0 :       if (touch_way_0_valid & touch_set_0 == 9'h197)
+    4084           0 :         state_vec_407 <=
+    4085           0 :           {~(touch_way_0_bits[1]),
+    4086           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_407[1],
+    4087           0 :            touch_way_0_bits[1] ? state_vec_407[0] : ~(touch_way_0_bits[0])};
+    4088           0 :       if (touch_way_0_valid & touch_set_0 == 9'h198)
+    4089           0 :         state_vec_408 <=
+    4090           0 :           {~(touch_way_0_bits[1]),
+    4091           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_408[1],
+    4092           0 :            touch_way_0_bits[1] ? state_vec_408[0] : ~(touch_way_0_bits[0])};
+    4093           0 :       if (touch_way_0_valid & touch_set_0 == 9'h199)
+    4094           0 :         state_vec_409 <=
+    4095           0 :           {~(touch_way_0_bits[1]),
+    4096           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_409[1],
+    4097           0 :            touch_way_0_bits[1] ? state_vec_409[0] : ~(touch_way_0_bits[0])};
+    4098           0 :       if (touch_way_0_valid & touch_set_0 == 9'h19A)
+    4099           0 :         state_vec_410 <=
+    4100           0 :           {~(touch_way_0_bits[1]),
+    4101           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_410[1],
+    4102           0 :            touch_way_0_bits[1] ? state_vec_410[0] : ~(touch_way_0_bits[0])};
+    4103           0 :       if (touch_way_0_valid & touch_set_0 == 9'h19B)
+    4104           0 :         state_vec_411 <=
+    4105           0 :           {~(touch_way_0_bits[1]),
+    4106           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_411[1],
+    4107           0 :            touch_way_0_bits[1] ? state_vec_411[0] : ~(touch_way_0_bits[0])};
+    4108           0 :       if (touch_way_0_valid & touch_set_0 == 9'h19C)
+    4109           0 :         state_vec_412 <=
+    4110           0 :           {~(touch_way_0_bits[1]),
+    4111           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_412[1],
+    4112           0 :            touch_way_0_bits[1] ? state_vec_412[0] : ~(touch_way_0_bits[0])};
+    4113           0 :       if (touch_way_0_valid & touch_set_0 == 9'h19D)
+    4114           0 :         state_vec_413 <=
+    4115           0 :           {~(touch_way_0_bits[1]),
+    4116           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_413[1],
+    4117           0 :            touch_way_0_bits[1] ? state_vec_413[0] : ~(touch_way_0_bits[0])};
+    4118           0 :       if (touch_way_0_valid & touch_set_0 == 9'h19E)
+    4119           0 :         state_vec_414 <=
+    4120           0 :           {~(touch_way_0_bits[1]),
+    4121           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_414[1],
+    4122           0 :            touch_way_0_bits[1] ? state_vec_414[0] : ~(touch_way_0_bits[0])};
+    4123           0 :       if (touch_way_0_valid & touch_set_0 == 9'h19F)
+    4124           0 :         state_vec_415 <=
+    4125           0 :           {~(touch_way_0_bits[1]),
+    4126           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_415[1],
+    4127           0 :            touch_way_0_bits[1] ? state_vec_415[0] : ~(touch_way_0_bits[0])};
+    4128           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A0)
+    4129           0 :         state_vec_416 <=
+    4130           0 :           {~(touch_way_0_bits[1]),
+    4131           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_416[1],
+    4132           0 :            touch_way_0_bits[1] ? state_vec_416[0] : ~(touch_way_0_bits[0])};
+    4133           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A1)
+    4134           0 :         state_vec_417 <=
+    4135           0 :           {~(touch_way_0_bits[1]),
+    4136           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_417[1],
+    4137           0 :            touch_way_0_bits[1] ? state_vec_417[0] : ~(touch_way_0_bits[0])};
+    4138           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A2)
+    4139           0 :         state_vec_418 <=
+    4140           0 :           {~(touch_way_0_bits[1]),
+    4141           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_418[1],
+    4142           0 :            touch_way_0_bits[1] ? state_vec_418[0] : ~(touch_way_0_bits[0])};
+    4143           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A3)
+    4144           0 :         state_vec_419 <=
+    4145           0 :           {~(touch_way_0_bits[1]),
+    4146           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_419[1],
+    4147           0 :            touch_way_0_bits[1] ? state_vec_419[0] : ~(touch_way_0_bits[0])};
+    4148           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A4)
+    4149           0 :         state_vec_420 <=
+    4150           0 :           {~(touch_way_0_bits[1]),
+    4151           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_420[1],
+    4152           0 :            touch_way_0_bits[1] ? state_vec_420[0] : ~(touch_way_0_bits[0])};
+    4153           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A5)
+    4154           0 :         state_vec_421 <=
+    4155           0 :           {~(touch_way_0_bits[1]),
+    4156           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_421[1],
+    4157           0 :            touch_way_0_bits[1] ? state_vec_421[0] : ~(touch_way_0_bits[0])};
+    4158           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A6)
+    4159           0 :         state_vec_422 <=
+    4160           0 :           {~(touch_way_0_bits[1]),
+    4161           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_422[1],
+    4162           0 :            touch_way_0_bits[1] ? state_vec_422[0] : ~(touch_way_0_bits[0])};
+    4163           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A7)
+    4164           0 :         state_vec_423 <=
+    4165           0 :           {~(touch_way_0_bits[1]),
+    4166           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_423[1],
+    4167           0 :            touch_way_0_bits[1] ? state_vec_423[0] : ~(touch_way_0_bits[0])};
+    4168           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A8)
+    4169           0 :         state_vec_424 <=
+    4170           0 :           {~(touch_way_0_bits[1]),
+    4171           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_424[1],
+    4172           0 :            touch_way_0_bits[1] ? state_vec_424[0] : ~(touch_way_0_bits[0])};
+    4173           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1A9)
+    4174           0 :         state_vec_425 <=
+    4175           0 :           {~(touch_way_0_bits[1]),
+    4176           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_425[1],
+    4177           0 :            touch_way_0_bits[1] ? state_vec_425[0] : ~(touch_way_0_bits[0])};
+    4178           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1AA)
+    4179           0 :         state_vec_426 <=
+    4180           0 :           {~(touch_way_0_bits[1]),
+    4181           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_426[1],
+    4182           0 :            touch_way_0_bits[1] ? state_vec_426[0] : ~(touch_way_0_bits[0])};
+    4183           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1AB)
+    4184           0 :         state_vec_427 <=
+    4185           0 :           {~(touch_way_0_bits[1]),
+    4186           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_427[1],
+    4187           0 :            touch_way_0_bits[1] ? state_vec_427[0] : ~(touch_way_0_bits[0])};
+    4188           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1AC)
+    4189           0 :         state_vec_428 <=
+    4190           0 :           {~(touch_way_0_bits[1]),
+    4191           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_428[1],
+    4192           0 :            touch_way_0_bits[1] ? state_vec_428[0] : ~(touch_way_0_bits[0])};
+    4193           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1AD)
+    4194           0 :         state_vec_429 <=
+    4195           0 :           {~(touch_way_0_bits[1]),
+    4196           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_429[1],
+    4197           0 :            touch_way_0_bits[1] ? state_vec_429[0] : ~(touch_way_0_bits[0])};
+    4198           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1AE)
+    4199           0 :         state_vec_430 <=
+    4200           0 :           {~(touch_way_0_bits[1]),
+    4201           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_430[1],
+    4202           0 :            touch_way_0_bits[1] ? state_vec_430[0] : ~(touch_way_0_bits[0])};
+    4203           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1AF)
+    4204           0 :         state_vec_431 <=
+    4205           0 :           {~(touch_way_0_bits[1]),
+    4206           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_431[1],
+    4207           0 :            touch_way_0_bits[1] ? state_vec_431[0] : ~(touch_way_0_bits[0])};
+    4208           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B0)
+    4209           0 :         state_vec_432 <=
+    4210           0 :           {~(touch_way_0_bits[1]),
+    4211           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_432[1],
+    4212           0 :            touch_way_0_bits[1] ? state_vec_432[0] : ~(touch_way_0_bits[0])};
+    4213           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B1)
+    4214           0 :         state_vec_433 <=
+    4215           0 :           {~(touch_way_0_bits[1]),
+    4216           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_433[1],
+    4217           0 :            touch_way_0_bits[1] ? state_vec_433[0] : ~(touch_way_0_bits[0])};
+    4218           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B2)
+    4219           0 :         state_vec_434 <=
+    4220           0 :           {~(touch_way_0_bits[1]),
+    4221           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_434[1],
+    4222           0 :            touch_way_0_bits[1] ? state_vec_434[0] : ~(touch_way_0_bits[0])};
+    4223           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B3)
+    4224           0 :         state_vec_435 <=
+    4225           0 :           {~(touch_way_0_bits[1]),
+    4226           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_435[1],
+    4227           0 :            touch_way_0_bits[1] ? state_vec_435[0] : ~(touch_way_0_bits[0])};
+    4228           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B4)
+    4229           0 :         state_vec_436 <=
+    4230           0 :           {~(touch_way_0_bits[1]),
+    4231           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_436[1],
+    4232           0 :            touch_way_0_bits[1] ? state_vec_436[0] : ~(touch_way_0_bits[0])};
+    4233           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B5)
+    4234           0 :         state_vec_437 <=
+    4235           0 :           {~(touch_way_0_bits[1]),
+    4236           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_437[1],
+    4237           0 :            touch_way_0_bits[1] ? state_vec_437[0] : ~(touch_way_0_bits[0])};
+    4238           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B6)
+    4239           0 :         state_vec_438 <=
+    4240           0 :           {~(touch_way_0_bits[1]),
+    4241           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_438[1],
+    4242           0 :            touch_way_0_bits[1] ? state_vec_438[0] : ~(touch_way_0_bits[0])};
+    4243           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B7)
+    4244           0 :         state_vec_439 <=
+    4245           0 :           {~(touch_way_0_bits[1]),
+    4246           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_439[1],
+    4247           0 :            touch_way_0_bits[1] ? state_vec_439[0] : ~(touch_way_0_bits[0])};
+    4248           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B8)
+    4249           0 :         state_vec_440 <=
+    4250           0 :           {~(touch_way_0_bits[1]),
+    4251           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_440[1],
+    4252           0 :            touch_way_0_bits[1] ? state_vec_440[0] : ~(touch_way_0_bits[0])};
+    4253           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1B9)
+    4254           0 :         state_vec_441 <=
+    4255           0 :           {~(touch_way_0_bits[1]),
+    4256           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_441[1],
+    4257           0 :            touch_way_0_bits[1] ? state_vec_441[0] : ~(touch_way_0_bits[0])};
+    4258           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1BA)
+    4259           0 :         state_vec_442 <=
+    4260           0 :           {~(touch_way_0_bits[1]),
+    4261           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_442[1],
+    4262           0 :            touch_way_0_bits[1] ? state_vec_442[0] : ~(touch_way_0_bits[0])};
+    4263           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1BB)
+    4264           0 :         state_vec_443 <=
+    4265           0 :           {~(touch_way_0_bits[1]),
+    4266           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_443[1],
+    4267           0 :            touch_way_0_bits[1] ? state_vec_443[0] : ~(touch_way_0_bits[0])};
+    4268           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1BC)
+    4269           0 :         state_vec_444 <=
+    4270           0 :           {~(touch_way_0_bits[1]),
+    4271           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_444[1],
+    4272           2 :            touch_way_0_bits[1] ? state_vec_444[0] : ~(touch_way_0_bits[0])};
+    4273           1 :       if (touch_way_0_valid & touch_set_0 == 9'h1BD)
+    4274           1 :         state_vec_445 <=
+    4275           1 :           {~(touch_way_0_bits[1]),
+    4276           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_445[1],
+    4277           0 :            touch_way_0_bits[1] ? state_vec_445[0] : ~(touch_way_0_bits[0])};
+    4278           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1BE)
+    4279           0 :         state_vec_446 <=
+    4280           0 :           {~(touch_way_0_bits[1]),
+    4281           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_446[1],
+    4282           0 :            touch_way_0_bits[1] ? state_vec_446[0] : ~(touch_way_0_bits[0])};
+    4283           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1BF)
+    4284           0 :         state_vec_447 <=
+    4285           0 :           {~(touch_way_0_bits[1]),
+    4286           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_447[1],
+    4287           0 :            touch_way_0_bits[1] ? state_vec_447[0] : ~(touch_way_0_bits[0])};
+    4288           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C0)
+    4289           0 :         state_vec_448 <=
+    4290           0 :           {~(touch_way_0_bits[1]),
+    4291           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_448[1],
+    4292           0 :            touch_way_0_bits[1] ? state_vec_448[0] : ~(touch_way_0_bits[0])};
+    4293           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C1)
+    4294           0 :         state_vec_449 <=
+    4295           0 :           {~(touch_way_0_bits[1]),
+    4296           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_449[1],
+    4297           0 :            touch_way_0_bits[1] ? state_vec_449[0] : ~(touch_way_0_bits[0])};
+    4298           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C2)
+    4299           0 :         state_vec_450 <=
+    4300           0 :           {~(touch_way_0_bits[1]),
+    4301           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_450[1],
+    4302           0 :            touch_way_0_bits[1] ? state_vec_450[0] : ~(touch_way_0_bits[0])};
+    4303           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C3)
+    4304           0 :         state_vec_451 <=
+    4305           0 :           {~(touch_way_0_bits[1]),
+    4306           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_451[1],
+    4307           0 :            touch_way_0_bits[1] ? state_vec_451[0] : ~(touch_way_0_bits[0])};
+    4308           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C4)
+    4309           0 :         state_vec_452 <=
+    4310           0 :           {~(touch_way_0_bits[1]),
+    4311           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_452[1],
+    4312           0 :            touch_way_0_bits[1] ? state_vec_452[0] : ~(touch_way_0_bits[0])};
+    4313           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C5)
+    4314           0 :         state_vec_453 <=
+    4315           0 :           {~(touch_way_0_bits[1]),
+    4316           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_453[1],
+    4317           0 :            touch_way_0_bits[1] ? state_vec_453[0] : ~(touch_way_0_bits[0])};
+    4318           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C6)
+    4319           0 :         state_vec_454 <=
+    4320           0 :           {~(touch_way_0_bits[1]),
+    4321           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_454[1],
+    4322           0 :            touch_way_0_bits[1] ? state_vec_454[0] : ~(touch_way_0_bits[0])};
+    4323           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C7)
+    4324           0 :         state_vec_455 <=
+    4325           0 :           {~(touch_way_0_bits[1]),
+    4326           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_455[1],
+    4327           0 :            touch_way_0_bits[1] ? state_vec_455[0] : ~(touch_way_0_bits[0])};
+    4328           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C8)
+    4329           0 :         state_vec_456 <=
+    4330           0 :           {~(touch_way_0_bits[1]),
+    4331           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_456[1],
+    4332           0 :            touch_way_0_bits[1] ? state_vec_456[0] : ~(touch_way_0_bits[0])};
+    4333           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1C9)
+    4334           0 :         state_vec_457 <=
+    4335           0 :           {~(touch_way_0_bits[1]),
+    4336           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_457[1],
+    4337           0 :            touch_way_0_bits[1] ? state_vec_457[0] : ~(touch_way_0_bits[0])};
+    4338           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1CA)
+    4339           0 :         state_vec_458 <=
+    4340           0 :           {~(touch_way_0_bits[1]),
+    4341           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_458[1],
+    4342           0 :            touch_way_0_bits[1] ? state_vec_458[0] : ~(touch_way_0_bits[0])};
+    4343           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1CB)
+    4344           0 :         state_vec_459 <=
+    4345           0 :           {~(touch_way_0_bits[1]),
+    4346           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_459[1],
+    4347           0 :            touch_way_0_bits[1] ? state_vec_459[0] : ~(touch_way_0_bits[0])};
+    4348           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1CC)
+    4349           0 :         state_vec_460 <=
+    4350           0 :           {~(touch_way_0_bits[1]),
+    4351           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_460[1],
+    4352           0 :            touch_way_0_bits[1] ? state_vec_460[0] : ~(touch_way_0_bits[0])};
+    4353           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1CD)
+    4354           0 :         state_vec_461 <=
+    4355           0 :           {~(touch_way_0_bits[1]),
+    4356           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_461[1],
+    4357           0 :            touch_way_0_bits[1] ? state_vec_461[0] : ~(touch_way_0_bits[0])};
+    4358           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1CE)
+    4359           0 :         state_vec_462 <=
+    4360           0 :           {~(touch_way_0_bits[1]),
+    4361           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_462[1],
+    4362           0 :            touch_way_0_bits[1] ? state_vec_462[0] : ~(touch_way_0_bits[0])};
+    4363           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1CF)
+    4364           0 :         state_vec_463 <=
+    4365           0 :           {~(touch_way_0_bits[1]),
+    4366           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_463[1],
+    4367           0 :            touch_way_0_bits[1] ? state_vec_463[0] : ~(touch_way_0_bits[0])};
+    4368           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D0)
+    4369           0 :         state_vec_464 <=
+    4370           0 :           {~(touch_way_0_bits[1]),
+    4371           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_464[1],
+    4372           0 :            touch_way_0_bits[1] ? state_vec_464[0] : ~(touch_way_0_bits[0])};
+    4373           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D1)
+    4374           0 :         state_vec_465 <=
+    4375           0 :           {~(touch_way_0_bits[1]),
+    4376           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_465[1],
+    4377           0 :            touch_way_0_bits[1] ? state_vec_465[0] : ~(touch_way_0_bits[0])};
+    4378           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D2)
+    4379           0 :         state_vec_466 <=
+    4380           0 :           {~(touch_way_0_bits[1]),
+    4381           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_466[1],
+    4382           0 :            touch_way_0_bits[1] ? state_vec_466[0] : ~(touch_way_0_bits[0])};
+    4383           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D3)
+    4384           0 :         state_vec_467 <=
+    4385           0 :           {~(touch_way_0_bits[1]),
+    4386           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_467[1],
+    4387           0 :            touch_way_0_bits[1] ? state_vec_467[0] : ~(touch_way_0_bits[0])};
+    4388           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D4)
+    4389           0 :         state_vec_468 <=
+    4390           0 :           {~(touch_way_0_bits[1]),
+    4391           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_468[1],
+    4392           0 :            touch_way_0_bits[1] ? state_vec_468[0] : ~(touch_way_0_bits[0])};
+    4393           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D5)
+    4394           0 :         state_vec_469 <=
+    4395           0 :           {~(touch_way_0_bits[1]),
+    4396           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_469[1],
+    4397           0 :            touch_way_0_bits[1] ? state_vec_469[0] : ~(touch_way_0_bits[0])};
+    4398           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D6)
+    4399           0 :         state_vec_470 <=
+    4400           0 :           {~(touch_way_0_bits[1]),
+    4401           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_470[1],
+    4402           0 :            touch_way_0_bits[1] ? state_vec_470[0] : ~(touch_way_0_bits[0])};
+    4403           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D7)
+    4404           0 :         state_vec_471 <=
+    4405           0 :           {~(touch_way_0_bits[1]),
+    4406           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_471[1],
+    4407           0 :            touch_way_0_bits[1] ? state_vec_471[0] : ~(touch_way_0_bits[0])};
+    4408           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D8)
+    4409           0 :         state_vec_472 <=
+    4410           0 :           {~(touch_way_0_bits[1]),
+    4411           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_472[1],
+    4412           0 :            touch_way_0_bits[1] ? state_vec_472[0] : ~(touch_way_0_bits[0])};
+    4413           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1D9)
+    4414           0 :         state_vec_473 <=
+    4415           0 :           {~(touch_way_0_bits[1]),
+    4416           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_473[1],
+    4417           0 :            touch_way_0_bits[1] ? state_vec_473[0] : ~(touch_way_0_bits[0])};
+    4418           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1DA)
+    4419           0 :         state_vec_474 <=
+    4420           0 :           {~(touch_way_0_bits[1]),
+    4421           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_474[1],
+    4422           0 :            touch_way_0_bits[1] ? state_vec_474[0] : ~(touch_way_0_bits[0])};
+    4423           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1DB)
+    4424           0 :         state_vec_475 <=
+    4425           0 :           {~(touch_way_0_bits[1]),
+    4426           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_475[1],
+    4427           0 :            touch_way_0_bits[1] ? state_vec_475[0] : ~(touch_way_0_bits[0])};
+    4428           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1DC)
+    4429           0 :         state_vec_476 <=
+    4430           0 :           {~(touch_way_0_bits[1]),
+    4431           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_476[1],
+    4432           0 :            touch_way_0_bits[1] ? state_vec_476[0] : ~(touch_way_0_bits[0])};
+    4433           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1DD)
+    4434           0 :         state_vec_477 <=
+    4435           0 :           {~(touch_way_0_bits[1]),
+    4436           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_477[1],
+    4437           0 :            touch_way_0_bits[1] ? state_vec_477[0] : ~(touch_way_0_bits[0])};
+    4438           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1DE)
+    4439           0 :         state_vec_478 <=
+    4440           0 :           {~(touch_way_0_bits[1]),
+    4441           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_478[1],
+    4442           0 :            touch_way_0_bits[1] ? state_vec_478[0] : ~(touch_way_0_bits[0])};
+    4443           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1DF)
+    4444           0 :         state_vec_479 <=
+    4445           0 :           {~(touch_way_0_bits[1]),
+    4446           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_479[1],
+    4447           0 :            touch_way_0_bits[1] ? state_vec_479[0] : ~(touch_way_0_bits[0])};
+    4448           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E0)
+    4449           0 :         state_vec_480 <=
+    4450           0 :           {~(touch_way_0_bits[1]),
+    4451           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_480[1],
+    4452           2 :            touch_way_0_bits[1] ? state_vec_480[0] : ~(touch_way_0_bits[0])};
+    4453           1 :       if (touch_way_0_valid & touch_set_0 == 9'h1E1)
+    4454           1 :         state_vec_481 <=
+    4455           1 :           {~(touch_way_0_bits[1]),
+    4456           1 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_481[1],
+    4457           0 :            touch_way_0_bits[1] ? state_vec_481[0] : ~(touch_way_0_bits[0])};
+    4458           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E2)
+    4459           0 :         state_vec_482 <=
+    4460           0 :           {~(touch_way_0_bits[1]),
+    4461           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_482[1],
+    4462           0 :            touch_way_0_bits[1] ? state_vec_482[0] : ~(touch_way_0_bits[0])};
+    4463           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E3)
+    4464           0 :         state_vec_483 <=
+    4465           0 :           {~(touch_way_0_bits[1]),
+    4466           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_483[1],
+    4467           0 :            touch_way_0_bits[1] ? state_vec_483[0] : ~(touch_way_0_bits[0])};
+    4468           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E4)
+    4469           0 :         state_vec_484 <=
+    4470           0 :           {~(touch_way_0_bits[1]),
+    4471           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_484[1],
+    4472           0 :            touch_way_0_bits[1] ? state_vec_484[0] : ~(touch_way_0_bits[0])};
+    4473           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E5)
+    4474           0 :         state_vec_485 <=
+    4475           0 :           {~(touch_way_0_bits[1]),
+    4476           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_485[1],
+    4477           0 :            touch_way_0_bits[1] ? state_vec_485[0] : ~(touch_way_0_bits[0])};
+    4478           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E6)
+    4479           0 :         state_vec_486 <=
+    4480           0 :           {~(touch_way_0_bits[1]),
+    4481           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_486[1],
+    4482           0 :            touch_way_0_bits[1] ? state_vec_486[0] : ~(touch_way_0_bits[0])};
+    4483           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E7)
+    4484           0 :         state_vec_487 <=
+    4485           0 :           {~(touch_way_0_bits[1]),
+    4486           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_487[1],
+    4487           0 :            touch_way_0_bits[1] ? state_vec_487[0] : ~(touch_way_0_bits[0])};
+    4488           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E8)
+    4489           0 :         state_vec_488 <=
+    4490           0 :           {~(touch_way_0_bits[1]),
+    4491           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_488[1],
+    4492           0 :            touch_way_0_bits[1] ? state_vec_488[0] : ~(touch_way_0_bits[0])};
+    4493           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1E9)
+    4494           0 :         state_vec_489 <=
+    4495           0 :           {~(touch_way_0_bits[1]),
+    4496           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_489[1],
+    4497           0 :            touch_way_0_bits[1] ? state_vec_489[0] : ~(touch_way_0_bits[0])};
+    4498           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1EA)
+    4499           0 :         state_vec_490 <=
+    4500           0 :           {~(touch_way_0_bits[1]),
+    4501           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_490[1],
+    4502           0 :            touch_way_0_bits[1] ? state_vec_490[0] : ~(touch_way_0_bits[0])};
+    4503           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1EB)
+    4504           0 :         state_vec_491 <=
+    4505           0 :           {~(touch_way_0_bits[1]),
+    4506           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_491[1],
+    4507           0 :            touch_way_0_bits[1] ? state_vec_491[0] : ~(touch_way_0_bits[0])};
+    4508           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1EC)
+    4509           0 :         state_vec_492 <=
+    4510           0 :           {~(touch_way_0_bits[1]),
+    4511           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_492[1],
+    4512           0 :            touch_way_0_bits[1] ? state_vec_492[0] : ~(touch_way_0_bits[0])};
+    4513           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1ED)
+    4514           0 :         state_vec_493 <=
+    4515           0 :           {~(touch_way_0_bits[1]),
+    4516           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_493[1],
+    4517           0 :            touch_way_0_bits[1] ? state_vec_493[0] : ~(touch_way_0_bits[0])};
+    4518           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1EE)
+    4519           0 :         state_vec_494 <=
+    4520           0 :           {~(touch_way_0_bits[1]),
+    4521           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_494[1],
+    4522           0 :            touch_way_0_bits[1] ? state_vec_494[0] : ~(touch_way_0_bits[0])};
+    4523           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1EF)
+    4524           0 :         state_vec_495 <=
+    4525           0 :           {~(touch_way_0_bits[1]),
+    4526           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_495[1],
+    4527           0 :            touch_way_0_bits[1] ? state_vec_495[0] : ~(touch_way_0_bits[0])};
+    4528           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F0)
+    4529           0 :         state_vec_496 <=
+    4530           0 :           {~(touch_way_0_bits[1]),
+    4531           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_496[1],
+    4532           0 :            touch_way_0_bits[1] ? state_vec_496[0] : ~(touch_way_0_bits[0])};
+    4533           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F1)
+    4534           0 :         state_vec_497 <=
+    4535           0 :           {~(touch_way_0_bits[1]),
+    4536           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_497[1],
+    4537           0 :            touch_way_0_bits[1] ? state_vec_497[0] : ~(touch_way_0_bits[0])};
+    4538           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F2)
+    4539           0 :         state_vec_498 <=
+    4540           0 :           {~(touch_way_0_bits[1]),
+    4541           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_498[1],
+    4542           0 :            touch_way_0_bits[1] ? state_vec_498[0] : ~(touch_way_0_bits[0])};
+    4543           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F3)
+    4544           0 :         state_vec_499 <=
+    4545           0 :           {~(touch_way_0_bits[1]),
+    4546           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_499[1],
+    4547           0 :            touch_way_0_bits[1] ? state_vec_499[0] : ~(touch_way_0_bits[0])};
+    4548           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F4)
+    4549           0 :         state_vec_500 <=
+    4550           0 :           {~(touch_way_0_bits[1]),
+    4551           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_500[1],
+    4552           0 :            touch_way_0_bits[1] ? state_vec_500[0] : ~(touch_way_0_bits[0])};
+    4553           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F5)
+    4554           0 :         state_vec_501 <=
+    4555           0 :           {~(touch_way_0_bits[1]),
+    4556           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_501[1],
+    4557           0 :            touch_way_0_bits[1] ? state_vec_501[0] : ~(touch_way_0_bits[0])};
+    4558           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F6)
+    4559           0 :         state_vec_502 <=
+    4560           0 :           {~(touch_way_0_bits[1]),
+    4561           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_502[1],
+    4562           0 :            touch_way_0_bits[1] ? state_vec_502[0] : ~(touch_way_0_bits[0])};
+    4563           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F7)
+    4564           0 :         state_vec_503 <=
+    4565           0 :           {~(touch_way_0_bits[1]),
+    4566           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_503[1],
+    4567           0 :            touch_way_0_bits[1] ? state_vec_503[0] : ~(touch_way_0_bits[0])};
+    4568           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F8)
+    4569           0 :         state_vec_504 <=
+    4570           0 :           {~(touch_way_0_bits[1]),
+    4571           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_504[1],
+    4572           0 :            touch_way_0_bits[1] ? state_vec_504[0] : ~(touch_way_0_bits[0])};
+    4573           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1F9)
+    4574           0 :         state_vec_505 <=
+    4575           0 :           {~(touch_way_0_bits[1]),
+    4576           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_505[1],
+    4577           0 :            touch_way_0_bits[1] ? state_vec_505[0] : ~(touch_way_0_bits[0])};
+    4578           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1FA)
+    4579           0 :         state_vec_506 <=
+    4580           0 :           {~(touch_way_0_bits[1]),
+    4581           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_506[1],
+    4582           0 :            touch_way_0_bits[1] ? state_vec_506[0] : ~(touch_way_0_bits[0])};
+    4583           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1FB)
+    4584           0 :         state_vec_507 <=
+    4585           0 :           {~(touch_way_0_bits[1]),
+    4586           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_507[1],
+    4587           0 :            touch_way_0_bits[1] ? state_vec_507[0] : ~(touch_way_0_bits[0])};
+    4588           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1FC)
+    4589           0 :         state_vec_508 <=
+    4590           0 :           {~(touch_way_0_bits[1]),
+    4591           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_508[1],
+    4592           0 :            touch_way_0_bits[1] ? state_vec_508[0] : ~(touch_way_0_bits[0])};
+    4593           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1FD)
+    4594           0 :         state_vec_509 <=
+    4595           0 :           {~(touch_way_0_bits[1]),
+    4596           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_509[1],
+    4597           0 :            touch_way_0_bits[1] ? state_vec_509[0] : ~(touch_way_0_bits[0])};
+    4598           0 :       if (touch_way_0_valid & touch_set_0 == 9'h1FE)
+    4599           0 :         state_vec_510 <=
+    4600           0 :           {~(touch_way_0_bits[1]),
+    4601           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_510[1],
+    4602           0 :            touch_way_0_bits[1] ? state_vec_510[0] : ~(touch_way_0_bits[0])};
+    4603           0 :       if (touch_way_0_valid & (&touch_set_0))
+    4604           0 :         state_vec_511 <=
+    4605           0 :           {~(touch_way_0_bits[1]),
+    4606           0 :            touch_way_0_bits[1] ? ~(touch_way_0_bits[0]) : state_vec_511[1],
+    4607             :            touch_way_0_bits[1] ? state_vec_511[0] : ~(touch_way_0_bits[0])};
+    4608             :     end
+    4609             :   end // always @(posedge, posedge)
+    4610             :   `ifdef ENABLE_INITIAL_REG_
+    4611             :     `ifdef FIRRTL_BEFORE_INITIAL
+    4612             :       `FIRRTL_BEFORE_INITIAL
+    4613             :     `endif // FIRRTL_BEFORE_INITIAL
+    4614          58 :     logic [31:0] _RANDOM[0:60];
+    4615             :     initial begin
+    4616             :       `ifdef INIT_RANDOM_PROLOG_
+    4617             :         `INIT_RANDOM_PROLOG_
+    4618             :       `endif // INIT_RANDOM_PROLOG_
+    4619             :       `ifdef RANDOMIZE_REG_INIT
+    4620             :         for (logic [5:0] i = 6'h0; i < 6'h3D; i += 6'h1) begin
+    4621             :           _RANDOM[i] = `RANDOM;
+    4622             :         end
+    4623             :         pred_rdata_REG = _RANDOM[6'h0][0];
+    4624             :         pred_rdata_hold_data_0_entry_valid = _RANDOM[6'h0][1];
+    4625             :         pred_rdata_hold_data_0_entry_brSlots_0_offset = _RANDOM[6'h0][5:2];
+    4626             :         pred_rdata_hold_data_0_entry_brSlots_0_lower = _RANDOM[6'h0][17:6];
+    4627             :         pred_rdata_hold_data_0_entry_brSlots_0_tarStat = _RANDOM[6'h0][19:18];
+    4628             :         pred_rdata_hold_data_0_entry_brSlots_0_sharing = _RANDOM[6'h0][20];
+    4629             :         pred_rdata_hold_data_0_entry_brSlots_0_valid = _RANDOM[6'h0][21];
+    4630             :         pred_rdata_hold_data_0_entry_tailSlot_offset = _RANDOM[6'h0][25:22];
+    4631             :         pred_rdata_hold_data_0_entry_tailSlot_lower =
+    4632             :           {_RANDOM[6'h0][31:26], _RANDOM[6'h1][13:0]};
+    4633             :         pred_rdata_hold_data_0_entry_tailSlot_tarStat = _RANDOM[6'h1][15:14];
+    4634             :         pred_rdata_hold_data_0_entry_tailSlot_sharing = _RANDOM[6'h1][16];
+    4635             :         pred_rdata_hold_data_0_entry_tailSlot_valid = _RANDOM[6'h1][17];
+    4636             :         pred_rdata_hold_data_0_entry_pftAddr = _RANDOM[6'h1][21:18];
+    4637             :         pred_rdata_hold_data_0_entry_carry = _RANDOM[6'h1][22];
+    4638             :         pred_rdata_hold_data_0_entry_isCall = _RANDOM[6'h1][23];
+    4639             :         pred_rdata_hold_data_0_entry_isRet = _RANDOM[6'h1][24];
+    4640             :         pred_rdata_hold_data_0_entry_isJalr = _RANDOM[6'h1][25];
+    4641             :         pred_rdata_hold_data_0_entry_last_may_be_rvi_call = _RANDOM[6'h1][26];
+    4642             :         pred_rdata_hold_data_0_entry_always_taken_0 = _RANDOM[6'h1][27];
+    4643             :         pred_rdata_hold_data_0_entry_always_taken_1 = _RANDOM[6'h1][28];
+    4644             :         pred_rdata_hold_data_0_tag = {_RANDOM[6'h1][31:29], _RANDOM[6'h2][16:0]};
+    4645             :         pred_rdata_hold_data_1_entry_valid = _RANDOM[6'h2][17];
+    4646             :         pred_rdata_hold_data_1_entry_brSlots_0_offset = _RANDOM[6'h2][21:18];
+    4647             :         pred_rdata_hold_data_1_entry_brSlots_0_lower =
+    4648             :           {_RANDOM[6'h2][31:22], _RANDOM[6'h3][1:0]};
+    4649             :         pred_rdata_hold_data_1_entry_brSlots_0_tarStat = _RANDOM[6'h3][3:2];
+    4650             :         pred_rdata_hold_data_1_entry_brSlots_0_sharing = _RANDOM[6'h3][4];
+    4651             :         pred_rdata_hold_data_1_entry_brSlots_0_valid = _RANDOM[6'h3][5];
+    4652             :         pred_rdata_hold_data_1_entry_tailSlot_offset = _RANDOM[6'h3][9:6];
+    4653             :         pred_rdata_hold_data_1_entry_tailSlot_lower = _RANDOM[6'h3][29:10];
+    4654             :         pred_rdata_hold_data_1_entry_tailSlot_tarStat = _RANDOM[6'h3][31:30];
+    4655             :         pred_rdata_hold_data_1_entry_tailSlot_sharing = _RANDOM[6'h4][0];
+    4656             :         pred_rdata_hold_data_1_entry_tailSlot_valid = _RANDOM[6'h4][1];
+    4657             :         pred_rdata_hold_data_1_entry_pftAddr = _RANDOM[6'h4][5:2];
+    4658             :         pred_rdata_hold_data_1_entry_carry = _RANDOM[6'h4][6];
+    4659             :         pred_rdata_hold_data_1_entry_isCall = _RANDOM[6'h4][7];
+    4660             :         pred_rdata_hold_data_1_entry_isRet = _RANDOM[6'h4][8];
+    4661             :         pred_rdata_hold_data_1_entry_isJalr = _RANDOM[6'h4][9];
+    4662             :         pred_rdata_hold_data_1_entry_last_may_be_rvi_call = _RANDOM[6'h4][10];
+    4663             :         pred_rdata_hold_data_1_entry_always_taken_0 = _RANDOM[6'h4][11];
+    4664             :         pred_rdata_hold_data_1_entry_always_taken_1 = _RANDOM[6'h4][12];
+    4665             :         pred_rdata_hold_data_1_tag = {_RANDOM[6'h4][31:13], _RANDOM[6'h5][0]};
+    4666             :         pred_rdata_hold_data_2_entry_valid = _RANDOM[6'h5][1];
+    4667             :         pred_rdata_hold_data_2_entry_brSlots_0_offset = _RANDOM[6'h5][5:2];
+    4668             :         pred_rdata_hold_data_2_entry_brSlots_0_lower = _RANDOM[6'h5][17:6];
+    4669             :         pred_rdata_hold_data_2_entry_brSlots_0_tarStat = _RANDOM[6'h5][19:18];
+    4670             :         pred_rdata_hold_data_2_entry_brSlots_0_sharing = _RANDOM[6'h5][20];
+    4671             :         pred_rdata_hold_data_2_entry_brSlots_0_valid = _RANDOM[6'h5][21];
+    4672             :         pred_rdata_hold_data_2_entry_tailSlot_offset = _RANDOM[6'h5][25:22];
+    4673             :         pred_rdata_hold_data_2_entry_tailSlot_lower =
+    4674             :           {_RANDOM[6'h5][31:26], _RANDOM[6'h6][13:0]};
+    4675             :         pred_rdata_hold_data_2_entry_tailSlot_tarStat = _RANDOM[6'h6][15:14];
+    4676             :         pred_rdata_hold_data_2_entry_tailSlot_sharing = _RANDOM[6'h6][16];
+    4677             :         pred_rdata_hold_data_2_entry_tailSlot_valid = _RANDOM[6'h6][17];
+    4678             :         pred_rdata_hold_data_2_entry_pftAddr = _RANDOM[6'h6][21:18];
+    4679             :         pred_rdata_hold_data_2_entry_carry = _RANDOM[6'h6][22];
+    4680             :         pred_rdata_hold_data_2_entry_isCall = _RANDOM[6'h6][23];
+    4681             :         pred_rdata_hold_data_2_entry_isRet = _RANDOM[6'h6][24];
+    4682             :         pred_rdata_hold_data_2_entry_isJalr = _RANDOM[6'h6][25];
+    4683             :         pred_rdata_hold_data_2_entry_last_may_be_rvi_call = _RANDOM[6'h6][26];
+    4684             :         pred_rdata_hold_data_2_entry_always_taken_0 = _RANDOM[6'h6][27];
+    4685             :         pred_rdata_hold_data_2_entry_always_taken_1 = _RANDOM[6'h6][28];
+    4686             :         pred_rdata_hold_data_2_tag = {_RANDOM[6'h6][31:29], _RANDOM[6'h7][16:0]};
+    4687             :         pred_rdata_hold_data_3_entry_valid = _RANDOM[6'h7][17];
+    4688             :         pred_rdata_hold_data_3_entry_brSlots_0_offset = _RANDOM[6'h7][21:18];
+    4689             :         pred_rdata_hold_data_3_entry_brSlots_0_lower =
+    4690             :           {_RANDOM[6'h7][31:22], _RANDOM[6'h8][1:0]};
+    4691             :         pred_rdata_hold_data_3_entry_brSlots_0_tarStat = _RANDOM[6'h8][3:2];
+    4692             :         pred_rdata_hold_data_3_entry_brSlots_0_sharing = _RANDOM[6'h8][4];
+    4693             :         pred_rdata_hold_data_3_entry_brSlots_0_valid = _RANDOM[6'h8][5];
+    4694             :         pred_rdata_hold_data_3_entry_tailSlot_offset = _RANDOM[6'h8][9:6];
+    4695             :         pred_rdata_hold_data_3_entry_tailSlot_lower = _RANDOM[6'h8][29:10];
+    4696             :         pred_rdata_hold_data_3_entry_tailSlot_tarStat = _RANDOM[6'h8][31:30];
+    4697             :         pred_rdata_hold_data_3_entry_tailSlot_sharing = _RANDOM[6'h9][0];
+    4698             :         pred_rdata_hold_data_3_entry_tailSlot_valid = _RANDOM[6'h9][1];
+    4699             :         pred_rdata_hold_data_3_entry_pftAddr = _RANDOM[6'h9][5:2];
+    4700             :         pred_rdata_hold_data_3_entry_carry = _RANDOM[6'h9][6];
+    4701             :         pred_rdata_hold_data_3_entry_isCall = _RANDOM[6'h9][7];
+    4702             :         pred_rdata_hold_data_3_entry_isRet = _RANDOM[6'h9][8];
+    4703             :         pred_rdata_hold_data_3_entry_isJalr = _RANDOM[6'h9][9];
+    4704             :         pred_rdata_hold_data_3_entry_last_may_be_rvi_call = _RANDOM[6'h9][10];
+    4705             :         pred_rdata_hold_data_3_entry_always_taken_0 = _RANDOM[6'h9][11];
+    4706             :         pred_rdata_hold_data_3_entry_always_taken_1 = _RANDOM[6'h9][12];
+    4707             :         pred_rdata_hold_data_3_tag = {_RANDOM[6'h9][31:13], _RANDOM[6'hA][0]};
+    4708             :         req_tag = _RANDOM[6'hA][20:1];
+    4709             :         req_idx = _RANDOM[6'hA][29:21];
+    4710             :         u_req_tag = {_RANDOM[6'hA][31:30], _RANDOM[6'hB][17:0]};
+    4711             :         u_total_hits_REG = _RANDOM[6'hB][18];
+    4712             :         u_total_hits_REG_1 = _RANDOM[6'hB][19];
+    4713             :         u_total_hits_REG_2 = _RANDOM[6'hB][20];
+    4714             :         u_total_hits_REG_3 = _RANDOM[6'hB][21];
+    4715             :         state_vec_0 = _RANDOM[6'hB][27:25];
+    4716             :         state_vec_1 = _RANDOM[6'hB][30:28];
+    4717             :         state_vec_2 = {_RANDOM[6'hB][31], _RANDOM[6'hC][1:0]};
+    4718             :         state_vec_3 = _RANDOM[6'hC][4:2];
+    4719             :         state_vec_4 = _RANDOM[6'hC][7:5];
+    4720             :         state_vec_5 = _RANDOM[6'hC][10:8];
+    4721             :         state_vec_6 = _RANDOM[6'hC][13:11];
+    4722             :         state_vec_7 = _RANDOM[6'hC][16:14];
+    4723             :         state_vec_8 = _RANDOM[6'hC][19:17];
+    4724             :         state_vec_9 = _RANDOM[6'hC][22:20];
+    4725             :         state_vec_10 = _RANDOM[6'hC][25:23];
+    4726             :         state_vec_11 = _RANDOM[6'hC][28:26];
+    4727             :         state_vec_12 = _RANDOM[6'hC][31:29];
+    4728             :         state_vec_13 = _RANDOM[6'hD][2:0];
+    4729             :         state_vec_14 = _RANDOM[6'hD][5:3];
+    4730             :         state_vec_15 = _RANDOM[6'hD][8:6];
+    4731             :         state_vec_16 = _RANDOM[6'hD][11:9];
+    4732             :         state_vec_17 = _RANDOM[6'hD][14:12];
+    4733             :         state_vec_18 = _RANDOM[6'hD][17:15];
+    4734             :         state_vec_19 = _RANDOM[6'hD][20:18];
+    4735             :         state_vec_20 = _RANDOM[6'hD][23:21];
+    4736             :         state_vec_21 = _RANDOM[6'hD][26:24];
+    4737             :         state_vec_22 = _RANDOM[6'hD][29:27];
+    4738             :         state_vec_23 = {_RANDOM[6'hD][31:30], _RANDOM[6'hE][0]};
+    4739             :         state_vec_24 = _RANDOM[6'hE][3:1];
+    4740             :         state_vec_25 = _RANDOM[6'hE][6:4];
+    4741             :         state_vec_26 = _RANDOM[6'hE][9:7];
+    4742             :         state_vec_27 = _RANDOM[6'hE][12:10];
+    4743             :         state_vec_28 = _RANDOM[6'hE][15:13];
+    4744             :         state_vec_29 = _RANDOM[6'hE][18:16];
+    4745             :         state_vec_30 = _RANDOM[6'hE][21:19];
+    4746             :         state_vec_31 = _RANDOM[6'hE][24:22];
+    4747             :         state_vec_32 = _RANDOM[6'hE][27:25];
+    4748             :         state_vec_33 = _RANDOM[6'hE][30:28];
+    4749             :         state_vec_34 = {_RANDOM[6'hE][31], _RANDOM[6'hF][1:0]};
+    4750             :         state_vec_35 = _RANDOM[6'hF][4:2];
+    4751             :         state_vec_36 = _RANDOM[6'hF][7:5];
+    4752             :         state_vec_37 = _RANDOM[6'hF][10:8];
+    4753             :         state_vec_38 = _RANDOM[6'hF][13:11];
+    4754             :         state_vec_39 = _RANDOM[6'hF][16:14];
+    4755             :         state_vec_40 = _RANDOM[6'hF][19:17];
+    4756             :         state_vec_41 = _RANDOM[6'hF][22:20];
+    4757             :         state_vec_42 = _RANDOM[6'hF][25:23];
+    4758             :         state_vec_43 = _RANDOM[6'hF][28:26];
+    4759             :         state_vec_44 = _RANDOM[6'hF][31:29];
+    4760             :         state_vec_45 = _RANDOM[6'h10][2:0];
+    4761             :         state_vec_46 = _RANDOM[6'h10][5:3];
+    4762             :         state_vec_47 = _RANDOM[6'h10][8:6];
+    4763             :         state_vec_48 = _RANDOM[6'h10][11:9];
+    4764             :         state_vec_49 = _RANDOM[6'h10][14:12];
+    4765             :         state_vec_50 = _RANDOM[6'h10][17:15];
+    4766             :         state_vec_51 = _RANDOM[6'h10][20:18];
+    4767             :         state_vec_52 = _RANDOM[6'h10][23:21];
+    4768             :         state_vec_53 = _RANDOM[6'h10][26:24];
+    4769             :         state_vec_54 = _RANDOM[6'h10][29:27];
+    4770             :         state_vec_55 = {_RANDOM[6'h10][31:30], _RANDOM[6'h11][0]};
+    4771             :         state_vec_56 = _RANDOM[6'h11][3:1];
+    4772             :         state_vec_57 = _RANDOM[6'h11][6:4];
+    4773             :         state_vec_58 = _RANDOM[6'h11][9:7];
+    4774             :         state_vec_59 = _RANDOM[6'h11][12:10];
+    4775             :         state_vec_60 = _RANDOM[6'h11][15:13];
+    4776             :         state_vec_61 = _RANDOM[6'h11][18:16];
+    4777             :         state_vec_62 = _RANDOM[6'h11][21:19];
+    4778             :         state_vec_63 = _RANDOM[6'h11][24:22];
+    4779             :         state_vec_64 = _RANDOM[6'h11][27:25];
+    4780             :         state_vec_65 = _RANDOM[6'h11][30:28];
+    4781             :         state_vec_66 = {_RANDOM[6'h11][31], _RANDOM[6'h12][1:0]};
+    4782             :         state_vec_67 = _RANDOM[6'h12][4:2];
+    4783             :         state_vec_68 = _RANDOM[6'h12][7:5];
+    4784             :         state_vec_69 = _RANDOM[6'h12][10:8];
+    4785             :         state_vec_70 = _RANDOM[6'h12][13:11];
+    4786             :         state_vec_71 = _RANDOM[6'h12][16:14];
+    4787             :         state_vec_72 = _RANDOM[6'h12][19:17];
+    4788             :         state_vec_73 = _RANDOM[6'h12][22:20];
+    4789             :         state_vec_74 = _RANDOM[6'h12][25:23];
+    4790             :         state_vec_75 = _RANDOM[6'h12][28:26];
+    4791             :         state_vec_76 = _RANDOM[6'h12][31:29];
+    4792             :         state_vec_77 = _RANDOM[6'h13][2:0];
+    4793             :         state_vec_78 = _RANDOM[6'h13][5:3];
+    4794             :         state_vec_79 = _RANDOM[6'h13][8:6];
+    4795             :         state_vec_80 = _RANDOM[6'h13][11:9];
+    4796             :         state_vec_81 = _RANDOM[6'h13][14:12];
+    4797             :         state_vec_82 = _RANDOM[6'h13][17:15];
+    4798             :         state_vec_83 = _RANDOM[6'h13][20:18];
+    4799             :         state_vec_84 = _RANDOM[6'h13][23:21];
+    4800             :         state_vec_85 = _RANDOM[6'h13][26:24];
+    4801             :         state_vec_86 = _RANDOM[6'h13][29:27];
+    4802             :         state_vec_87 = {_RANDOM[6'h13][31:30], _RANDOM[6'h14][0]};
+    4803             :         state_vec_88 = _RANDOM[6'h14][3:1];
+    4804             :         state_vec_89 = _RANDOM[6'h14][6:4];
+    4805             :         state_vec_90 = _RANDOM[6'h14][9:7];
+    4806             :         state_vec_91 = _RANDOM[6'h14][12:10];
+    4807             :         state_vec_92 = _RANDOM[6'h14][15:13];
+    4808             :         state_vec_93 = _RANDOM[6'h14][18:16];
+    4809             :         state_vec_94 = _RANDOM[6'h14][21:19];
+    4810             :         state_vec_95 = _RANDOM[6'h14][24:22];
+    4811             :         state_vec_96 = _RANDOM[6'h14][27:25];
+    4812             :         state_vec_97 = _RANDOM[6'h14][30:28];
+    4813             :         state_vec_98 = {_RANDOM[6'h14][31], _RANDOM[6'h15][1:0]};
+    4814             :         state_vec_99 = _RANDOM[6'h15][4:2];
+    4815             :         state_vec_100 = _RANDOM[6'h15][7:5];
+    4816             :         state_vec_101 = _RANDOM[6'h15][10:8];
+    4817             :         state_vec_102 = _RANDOM[6'h15][13:11];
+    4818             :         state_vec_103 = _RANDOM[6'h15][16:14];
+    4819             :         state_vec_104 = _RANDOM[6'h15][19:17];
+    4820             :         state_vec_105 = _RANDOM[6'h15][22:20];
+    4821             :         state_vec_106 = _RANDOM[6'h15][25:23];
+    4822             :         state_vec_107 = _RANDOM[6'h15][28:26];
+    4823             :         state_vec_108 = _RANDOM[6'h15][31:29];
+    4824             :         state_vec_109 = _RANDOM[6'h16][2:0];
+    4825             :         state_vec_110 = _RANDOM[6'h16][5:3];
+    4826             :         state_vec_111 = _RANDOM[6'h16][8:6];
+    4827             :         state_vec_112 = _RANDOM[6'h16][11:9];
+    4828             :         state_vec_113 = _RANDOM[6'h16][14:12];
+    4829             :         state_vec_114 = _RANDOM[6'h16][17:15];
+    4830             :         state_vec_115 = _RANDOM[6'h16][20:18];
+    4831             :         state_vec_116 = _RANDOM[6'h16][23:21];
+    4832             :         state_vec_117 = _RANDOM[6'h16][26:24];
+    4833             :         state_vec_118 = _RANDOM[6'h16][29:27];
+    4834             :         state_vec_119 = {_RANDOM[6'h16][31:30], _RANDOM[6'h17][0]};
+    4835             :         state_vec_120 = _RANDOM[6'h17][3:1];
+    4836             :         state_vec_121 = _RANDOM[6'h17][6:4];
+    4837             :         state_vec_122 = _RANDOM[6'h17][9:7];
+    4838             :         state_vec_123 = _RANDOM[6'h17][12:10];
+    4839             :         state_vec_124 = _RANDOM[6'h17][15:13];
+    4840             :         state_vec_125 = _RANDOM[6'h17][18:16];
+    4841             :         state_vec_126 = _RANDOM[6'h17][21:19];
+    4842             :         state_vec_127 = _RANDOM[6'h17][24:22];
+    4843             :         state_vec_128 = _RANDOM[6'h17][27:25];
+    4844             :         state_vec_129 = _RANDOM[6'h17][30:28];
+    4845             :         state_vec_130 = {_RANDOM[6'h17][31], _RANDOM[6'h18][1:0]};
+    4846             :         state_vec_131 = _RANDOM[6'h18][4:2];
+    4847             :         state_vec_132 = _RANDOM[6'h18][7:5];
+    4848             :         state_vec_133 = _RANDOM[6'h18][10:8];
+    4849             :         state_vec_134 = _RANDOM[6'h18][13:11];
+    4850             :         state_vec_135 = _RANDOM[6'h18][16:14];
+    4851             :         state_vec_136 = _RANDOM[6'h18][19:17];
+    4852             :         state_vec_137 = _RANDOM[6'h18][22:20];
+    4853             :         state_vec_138 = _RANDOM[6'h18][25:23];
+    4854             :         state_vec_139 = _RANDOM[6'h18][28:26];
+    4855             :         state_vec_140 = _RANDOM[6'h18][31:29];
+    4856             :         state_vec_141 = _RANDOM[6'h19][2:0];
+    4857             :         state_vec_142 = _RANDOM[6'h19][5:3];
+    4858             :         state_vec_143 = _RANDOM[6'h19][8:6];
+    4859             :         state_vec_144 = _RANDOM[6'h19][11:9];
+    4860             :         state_vec_145 = _RANDOM[6'h19][14:12];
+    4861             :         state_vec_146 = _RANDOM[6'h19][17:15];
+    4862             :         state_vec_147 = _RANDOM[6'h19][20:18];
+    4863             :         state_vec_148 = _RANDOM[6'h19][23:21];
+    4864             :         state_vec_149 = _RANDOM[6'h19][26:24];
+    4865             :         state_vec_150 = _RANDOM[6'h19][29:27];
+    4866             :         state_vec_151 = {_RANDOM[6'h19][31:30], _RANDOM[6'h1A][0]};
+    4867             :         state_vec_152 = _RANDOM[6'h1A][3:1];
+    4868             :         state_vec_153 = _RANDOM[6'h1A][6:4];
+    4869             :         state_vec_154 = _RANDOM[6'h1A][9:7];
+    4870             :         state_vec_155 = _RANDOM[6'h1A][12:10];
+    4871             :         state_vec_156 = _RANDOM[6'h1A][15:13];
+    4872             :         state_vec_157 = _RANDOM[6'h1A][18:16];
+    4873             :         state_vec_158 = _RANDOM[6'h1A][21:19];
+    4874             :         state_vec_159 = _RANDOM[6'h1A][24:22];
+    4875             :         state_vec_160 = _RANDOM[6'h1A][27:25];
+    4876             :         state_vec_161 = _RANDOM[6'h1A][30:28];
+    4877             :         state_vec_162 = {_RANDOM[6'h1A][31], _RANDOM[6'h1B][1:0]};
+    4878             :         state_vec_163 = _RANDOM[6'h1B][4:2];
+    4879             :         state_vec_164 = _RANDOM[6'h1B][7:5];
+    4880             :         state_vec_165 = _RANDOM[6'h1B][10:8];
+    4881             :         state_vec_166 = _RANDOM[6'h1B][13:11];
+    4882             :         state_vec_167 = _RANDOM[6'h1B][16:14];
+    4883             :         state_vec_168 = _RANDOM[6'h1B][19:17];
+    4884             :         state_vec_169 = _RANDOM[6'h1B][22:20];
+    4885             :         state_vec_170 = _RANDOM[6'h1B][25:23];
+    4886             :         state_vec_171 = _RANDOM[6'h1B][28:26];
+    4887             :         state_vec_172 = _RANDOM[6'h1B][31:29];
+    4888             :         state_vec_173 = _RANDOM[6'h1C][2:0];
+    4889             :         state_vec_174 = _RANDOM[6'h1C][5:3];
+    4890             :         state_vec_175 = _RANDOM[6'h1C][8:6];
+    4891             :         state_vec_176 = _RANDOM[6'h1C][11:9];
+    4892             :         state_vec_177 = _RANDOM[6'h1C][14:12];
+    4893             :         state_vec_178 = _RANDOM[6'h1C][17:15];
+    4894             :         state_vec_179 = _RANDOM[6'h1C][20:18];
+    4895             :         state_vec_180 = _RANDOM[6'h1C][23:21];
+    4896             :         state_vec_181 = _RANDOM[6'h1C][26:24];
+    4897             :         state_vec_182 = _RANDOM[6'h1C][29:27];
+    4898             :         state_vec_183 = {_RANDOM[6'h1C][31:30], _RANDOM[6'h1D][0]};
+    4899             :         state_vec_184 = _RANDOM[6'h1D][3:1];
+    4900             :         state_vec_185 = _RANDOM[6'h1D][6:4];
+    4901             :         state_vec_186 = _RANDOM[6'h1D][9:7];
+    4902             :         state_vec_187 = _RANDOM[6'h1D][12:10];
+    4903             :         state_vec_188 = _RANDOM[6'h1D][15:13];
+    4904             :         state_vec_189 = _RANDOM[6'h1D][18:16];
+    4905             :         state_vec_190 = _RANDOM[6'h1D][21:19];
+    4906             :         state_vec_191 = _RANDOM[6'h1D][24:22];
+    4907             :         state_vec_192 = _RANDOM[6'h1D][27:25];
+    4908             :         state_vec_193 = _RANDOM[6'h1D][30:28];
+    4909             :         state_vec_194 = {_RANDOM[6'h1D][31], _RANDOM[6'h1E][1:0]};
+    4910             :         state_vec_195 = _RANDOM[6'h1E][4:2];
+    4911             :         state_vec_196 = _RANDOM[6'h1E][7:5];
+    4912             :         state_vec_197 = _RANDOM[6'h1E][10:8];
+    4913             :         state_vec_198 = _RANDOM[6'h1E][13:11];
+    4914             :         state_vec_199 = _RANDOM[6'h1E][16:14];
+    4915             :         state_vec_200 = _RANDOM[6'h1E][19:17];
+    4916             :         state_vec_201 = _RANDOM[6'h1E][22:20];
+    4917             :         state_vec_202 = _RANDOM[6'h1E][25:23];
+    4918             :         state_vec_203 = _RANDOM[6'h1E][28:26];
+    4919             :         state_vec_204 = _RANDOM[6'h1E][31:29];
+    4920             :         state_vec_205 = _RANDOM[6'h1F][2:0];
+    4921             :         state_vec_206 = _RANDOM[6'h1F][5:3];
+    4922             :         state_vec_207 = _RANDOM[6'h1F][8:6];
+    4923             :         state_vec_208 = _RANDOM[6'h1F][11:9];
+    4924             :         state_vec_209 = _RANDOM[6'h1F][14:12];
+    4925             :         state_vec_210 = _RANDOM[6'h1F][17:15];
+    4926             :         state_vec_211 = _RANDOM[6'h1F][20:18];
+    4927             :         state_vec_212 = _RANDOM[6'h1F][23:21];
+    4928             :         state_vec_213 = _RANDOM[6'h1F][26:24];
+    4929             :         state_vec_214 = _RANDOM[6'h1F][29:27];
+    4930             :         state_vec_215 = {_RANDOM[6'h1F][31:30], _RANDOM[6'h20][0]};
+    4931             :         state_vec_216 = _RANDOM[6'h20][3:1];
+    4932             :         state_vec_217 = _RANDOM[6'h20][6:4];
+    4933             :         state_vec_218 = _RANDOM[6'h20][9:7];
+    4934             :         state_vec_219 = _RANDOM[6'h20][12:10];
+    4935             :         state_vec_220 = _RANDOM[6'h20][15:13];
+    4936             :         state_vec_221 = _RANDOM[6'h20][18:16];
+    4937             :         state_vec_222 = _RANDOM[6'h20][21:19];
+    4938             :         state_vec_223 = _RANDOM[6'h20][24:22];
+    4939             :         state_vec_224 = _RANDOM[6'h20][27:25];
+    4940             :         state_vec_225 = _RANDOM[6'h20][30:28];
+    4941             :         state_vec_226 = {_RANDOM[6'h20][31], _RANDOM[6'h21][1:0]};
+    4942             :         state_vec_227 = _RANDOM[6'h21][4:2];
+    4943             :         state_vec_228 = _RANDOM[6'h21][7:5];
+    4944             :         state_vec_229 = _RANDOM[6'h21][10:8];
+    4945             :         state_vec_230 = _RANDOM[6'h21][13:11];
+    4946             :         state_vec_231 = _RANDOM[6'h21][16:14];
+    4947             :         state_vec_232 = _RANDOM[6'h21][19:17];
+    4948             :         state_vec_233 = _RANDOM[6'h21][22:20];
+    4949             :         state_vec_234 = _RANDOM[6'h21][25:23];
+    4950             :         state_vec_235 = _RANDOM[6'h21][28:26];
+    4951             :         state_vec_236 = _RANDOM[6'h21][31:29];
+    4952             :         state_vec_237 = _RANDOM[6'h22][2:0];
+    4953             :         state_vec_238 = _RANDOM[6'h22][5:3];
+    4954             :         state_vec_239 = _RANDOM[6'h22][8:6];
+    4955             :         state_vec_240 = _RANDOM[6'h22][11:9];
+    4956             :         state_vec_241 = _RANDOM[6'h22][14:12];
+    4957             :         state_vec_242 = _RANDOM[6'h22][17:15];
+    4958             :         state_vec_243 = _RANDOM[6'h22][20:18];
+    4959             :         state_vec_244 = _RANDOM[6'h22][23:21];
+    4960             :         state_vec_245 = _RANDOM[6'h22][26:24];
+    4961             :         state_vec_246 = _RANDOM[6'h22][29:27];
+    4962             :         state_vec_247 = {_RANDOM[6'h22][31:30], _RANDOM[6'h23][0]};
+    4963             :         state_vec_248 = _RANDOM[6'h23][3:1];
+    4964             :         state_vec_249 = _RANDOM[6'h23][6:4];
+    4965             :         state_vec_250 = _RANDOM[6'h23][9:7];
+    4966             :         state_vec_251 = _RANDOM[6'h23][12:10];
+    4967             :         state_vec_252 = _RANDOM[6'h23][15:13];
+    4968             :         state_vec_253 = _RANDOM[6'h23][18:16];
+    4969             :         state_vec_254 = _RANDOM[6'h23][21:19];
+    4970             :         state_vec_255 = _RANDOM[6'h23][24:22];
+    4971             :         state_vec_256 = _RANDOM[6'h23][27:25];
+    4972             :         state_vec_257 = _RANDOM[6'h23][30:28];
+    4973             :         state_vec_258 = {_RANDOM[6'h23][31], _RANDOM[6'h24][1:0]};
+    4974             :         state_vec_259 = _RANDOM[6'h24][4:2];
+    4975             :         state_vec_260 = _RANDOM[6'h24][7:5];
+    4976             :         state_vec_261 = _RANDOM[6'h24][10:8];
+    4977             :         state_vec_262 = _RANDOM[6'h24][13:11];
+    4978             :         state_vec_263 = _RANDOM[6'h24][16:14];
+    4979             :         state_vec_264 = _RANDOM[6'h24][19:17];
+    4980             :         state_vec_265 = _RANDOM[6'h24][22:20];
+    4981             :         state_vec_266 = _RANDOM[6'h24][25:23];
+    4982             :         state_vec_267 = _RANDOM[6'h24][28:26];
+    4983             :         state_vec_268 = _RANDOM[6'h24][31:29];
+    4984             :         state_vec_269 = _RANDOM[6'h25][2:0];
+    4985             :         state_vec_270 = _RANDOM[6'h25][5:3];
+    4986             :         state_vec_271 = _RANDOM[6'h25][8:6];
+    4987             :         state_vec_272 = _RANDOM[6'h25][11:9];
+    4988             :         state_vec_273 = _RANDOM[6'h25][14:12];
+    4989             :         state_vec_274 = _RANDOM[6'h25][17:15];
+    4990             :         state_vec_275 = _RANDOM[6'h25][20:18];
+    4991             :         state_vec_276 = _RANDOM[6'h25][23:21];
+    4992             :         state_vec_277 = _RANDOM[6'h25][26:24];
+    4993             :         state_vec_278 = _RANDOM[6'h25][29:27];
+    4994             :         state_vec_279 = {_RANDOM[6'h25][31:30], _RANDOM[6'h26][0]};
+    4995             :         state_vec_280 = _RANDOM[6'h26][3:1];
+    4996             :         state_vec_281 = _RANDOM[6'h26][6:4];
+    4997             :         state_vec_282 = _RANDOM[6'h26][9:7];
+    4998             :         state_vec_283 = _RANDOM[6'h26][12:10];
+    4999             :         state_vec_284 = _RANDOM[6'h26][15:13];
+    5000             :         state_vec_285 = _RANDOM[6'h26][18:16];
+    5001             :         state_vec_286 = _RANDOM[6'h26][21:19];
+    5002             :         state_vec_287 = _RANDOM[6'h26][24:22];
+    5003             :         state_vec_288 = _RANDOM[6'h26][27:25];
+    5004             :         state_vec_289 = _RANDOM[6'h26][30:28];
+    5005             :         state_vec_290 = {_RANDOM[6'h26][31], _RANDOM[6'h27][1:0]};
+    5006             :         state_vec_291 = _RANDOM[6'h27][4:2];
+    5007             :         state_vec_292 = _RANDOM[6'h27][7:5];
+    5008             :         state_vec_293 = _RANDOM[6'h27][10:8];
+    5009             :         state_vec_294 = _RANDOM[6'h27][13:11];
+    5010             :         state_vec_295 = _RANDOM[6'h27][16:14];
+    5011             :         state_vec_296 = _RANDOM[6'h27][19:17];
+    5012             :         state_vec_297 = _RANDOM[6'h27][22:20];
+    5013             :         state_vec_298 = _RANDOM[6'h27][25:23];
+    5014             :         state_vec_299 = _RANDOM[6'h27][28:26];
+    5015             :         state_vec_300 = _RANDOM[6'h27][31:29];
+    5016             :         state_vec_301 = _RANDOM[6'h28][2:0];
+    5017             :         state_vec_302 = _RANDOM[6'h28][5:3];
+    5018             :         state_vec_303 = _RANDOM[6'h28][8:6];
+    5019             :         state_vec_304 = _RANDOM[6'h28][11:9];
+    5020             :         state_vec_305 = _RANDOM[6'h28][14:12];
+    5021             :         state_vec_306 = _RANDOM[6'h28][17:15];
+    5022             :         state_vec_307 = _RANDOM[6'h28][20:18];
+    5023             :         state_vec_308 = _RANDOM[6'h28][23:21];
+    5024             :         state_vec_309 = _RANDOM[6'h28][26:24];
+    5025             :         state_vec_310 = _RANDOM[6'h28][29:27];
+    5026             :         state_vec_311 = {_RANDOM[6'h28][31:30], _RANDOM[6'h29][0]};
+    5027             :         state_vec_312 = _RANDOM[6'h29][3:1];
+    5028             :         state_vec_313 = _RANDOM[6'h29][6:4];
+    5029             :         state_vec_314 = _RANDOM[6'h29][9:7];
+    5030             :         state_vec_315 = _RANDOM[6'h29][12:10];
+    5031             :         state_vec_316 = _RANDOM[6'h29][15:13];
+    5032             :         state_vec_317 = _RANDOM[6'h29][18:16];
+    5033             :         state_vec_318 = _RANDOM[6'h29][21:19];
+    5034             :         state_vec_319 = _RANDOM[6'h29][24:22];
+    5035             :         state_vec_320 = _RANDOM[6'h29][27:25];
+    5036             :         state_vec_321 = _RANDOM[6'h29][30:28];
+    5037             :         state_vec_322 = {_RANDOM[6'h29][31], _RANDOM[6'h2A][1:0]};
+    5038             :         state_vec_323 = _RANDOM[6'h2A][4:2];
+    5039             :         state_vec_324 = _RANDOM[6'h2A][7:5];
+    5040             :         state_vec_325 = _RANDOM[6'h2A][10:8];
+    5041             :         state_vec_326 = _RANDOM[6'h2A][13:11];
+    5042             :         state_vec_327 = _RANDOM[6'h2A][16:14];
+    5043             :         state_vec_328 = _RANDOM[6'h2A][19:17];
+    5044             :         state_vec_329 = _RANDOM[6'h2A][22:20];
+    5045             :         state_vec_330 = _RANDOM[6'h2A][25:23];
+    5046             :         state_vec_331 = _RANDOM[6'h2A][28:26];
+    5047             :         state_vec_332 = _RANDOM[6'h2A][31:29];
+    5048             :         state_vec_333 = _RANDOM[6'h2B][2:0];
+    5049             :         state_vec_334 = _RANDOM[6'h2B][5:3];
+    5050             :         state_vec_335 = _RANDOM[6'h2B][8:6];
+    5051             :         state_vec_336 = _RANDOM[6'h2B][11:9];
+    5052             :         state_vec_337 = _RANDOM[6'h2B][14:12];
+    5053             :         state_vec_338 = _RANDOM[6'h2B][17:15];
+    5054             :         state_vec_339 = _RANDOM[6'h2B][20:18];
+    5055             :         state_vec_340 = _RANDOM[6'h2B][23:21];
+    5056             :         state_vec_341 = _RANDOM[6'h2B][26:24];
+    5057             :         state_vec_342 = _RANDOM[6'h2B][29:27];
+    5058             :         state_vec_343 = {_RANDOM[6'h2B][31:30], _RANDOM[6'h2C][0]};
+    5059             :         state_vec_344 = _RANDOM[6'h2C][3:1];
+    5060             :         state_vec_345 = _RANDOM[6'h2C][6:4];
+    5061             :         state_vec_346 = _RANDOM[6'h2C][9:7];
+    5062             :         state_vec_347 = _RANDOM[6'h2C][12:10];
+    5063             :         state_vec_348 = _RANDOM[6'h2C][15:13];
+    5064             :         state_vec_349 = _RANDOM[6'h2C][18:16];
+    5065             :         state_vec_350 = _RANDOM[6'h2C][21:19];
+    5066             :         state_vec_351 = _RANDOM[6'h2C][24:22];
+    5067             :         state_vec_352 = _RANDOM[6'h2C][27:25];
+    5068             :         state_vec_353 = _RANDOM[6'h2C][30:28];
+    5069             :         state_vec_354 = {_RANDOM[6'h2C][31], _RANDOM[6'h2D][1:0]};
+    5070             :         state_vec_355 = _RANDOM[6'h2D][4:2];
+    5071             :         state_vec_356 = _RANDOM[6'h2D][7:5];
+    5072             :         state_vec_357 = _RANDOM[6'h2D][10:8];
+    5073             :         state_vec_358 = _RANDOM[6'h2D][13:11];
+    5074             :         state_vec_359 = _RANDOM[6'h2D][16:14];
+    5075             :         state_vec_360 = _RANDOM[6'h2D][19:17];
+    5076             :         state_vec_361 = _RANDOM[6'h2D][22:20];
+    5077             :         state_vec_362 = _RANDOM[6'h2D][25:23];
+    5078             :         state_vec_363 = _RANDOM[6'h2D][28:26];
+    5079             :         state_vec_364 = _RANDOM[6'h2D][31:29];
+    5080             :         state_vec_365 = _RANDOM[6'h2E][2:0];
+    5081             :         state_vec_366 = _RANDOM[6'h2E][5:3];
+    5082             :         state_vec_367 = _RANDOM[6'h2E][8:6];
+    5083             :         state_vec_368 = _RANDOM[6'h2E][11:9];
+    5084             :         state_vec_369 = _RANDOM[6'h2E][14:12];
+    5085             :         state_vec_370 = _RANDOM[6'h2E][17:15];
+    5086             :         state_vec_371 = _RANDOM[6'h2E][20:18];
+    5087             :         state_vec_372 = _RANDOM[6'h2E][23:21];
+    5088             :         state_vec_373 = _RANDOM[6'h2E][26:24];
+    5089             :         state_vec_374 = _RANDOM[6'h2E][29:27];
+    5090             :         state_vec_375 = {_RANDOM[6'h2E][31:30], _RANDOM[6'h2F][0]};
+    5091             :         state_vec_376 = _RANDOM[6'h2F][3:1];
+    5092             :         state_vec_377 = _RANDOM[6'h2F][6:4];
+    5093             :         state_vec_378 = _RANDOM[6'h2F][9:7];
+    5094             :         state_vec_379 = _RANDOM[6'h2F][12:10];
+    5095             :         state_vec_380 = _RANDOM[6'h2F][15:13];
+    5096             :         state_vec_381 = _RANDOM[6'h2F][18:16];
+    5097             :         state_vec_382 = _RANDOM[6'h2F][21:19];
+    5098             :         state_vec_383 = _RANDOM[6'h2F][24:22];
+    5099             :         state_vec_384 = _RANDOM[6'h2F][27:25];
+    5100             :         state_vec_385 = _RANDOM[6'h2F][30:28];
+    5101             :         state_vec_386 = {_RANDOM[6'h2F][31], _RANDOM[6'h30][1:0]};
+    5102             :         state_vec_387 = _RANDOM[6'h30][4:2];
+    5103             :         state_vec_388 = _RANDOM[6'h30][7:5];
+    5104             :         state_vec_389 = _RANDOM[6'h30][10:8];
+    5105             :         state_vec_390 = _RANDOM[6'h30][13:11];
+    5106             :         state_vec_391 = _RANDOM[6'h30][16:14];
+    5107             :         state_vec_392 = _RANDOM[6'h30][19:17];
+    5108             :         state_vec_393 = _RANDOM[6'h30][22:20];
+    5109             :         state_vec_394 = _RANDOM[6'h30][25:23];
+    5110             :         state_vec_395 = _RANDOM[6'h30][28:26];
+    5111             :         state_vec_396 = _RANDOM[6'h30][31:29];
+    5112             :         state_vec_397 = _RANDOM[6'h31][2:0];
+    5113             :         state_vec_398 = _RANDOM[6'h31][5:3];
+    5114             :         state_vec_399 = _RANDOM[6'h31][8:6];
+    5115             :         state_vec_400 = _RANDOM[6'h31][11:9];
+    5116             :         state_vec_401 = _RANDOM[6'h31][14:12];
+    5117             :         state_vec_402 = _RANDOM[6'h31][17:15];
+    5118             :         state_vec_403 = _RANDOM[6'h31][20:18];
+    5119             :         state_vec_404 = _RANDOM[6'h31][23:21];
+    5120             :         state_vec_405 = _RANDOM[6'h31][26:24];
+    5121             :         state_vec_406 = _RANDOM[6'h31][29:27];
+    5122             :         state_vec_407 = {_RANDOM[6'h31][31:30], _RANDOM[6'h32][0]};
+    5123             :         state_vec_408 = _RANDOM[6'h32][3:1];
+    5124             :         state_vec_409 = _RANDOM[6'h32][6:4];
+    5125             :         state_vec_410 = _RANDOM[6'h32][9:7];
+    5126             :         state_vec_411 = _RANDOM[6'h32][12:10];
+    5127             :         state_vec_412 = _RANDOM[6'h32][15:13];
+    5128             :         state_vec_413 = _RANDOM[6'h32][18:16];
+    5129             :         state_vec_414 = _RANDOM[6'h32][21:19];
+    5130             :         state_vec_415 = _RANDOM[6'h32][24:22];
+    5131             :         state_vec_416 = _RANDOM[6'h32][27:25];
+    5132             :         state_vec_417 = _RANDOM[6'h32][30:28];
+    5133             :         state_vec_418 = {_RANDOM[6'h32][31], _RANDOM[6'h33][1:0]};
+    5134             :         state_vec_419 = _RANDOM[6'h33][4:2];
+    5135             :         state_vec_420 = _RANDOM[6'h33][7:5];
+    5136             :         state_vec_421 = _RANDOM[6'h33][10:8];
+    5137             :         state_vec_422 = _RANDOM[6'h33][13:11];
+    5138             :         state_vec_423 = _RANDOM[6'h33][16:14];
+    5139             :         state_vec_424 = _RANDOM[6'h33][19:17];
+    5140             :         state_vec_425 = _RANDOM[6'h33][22:20];
+    5141             :         state_vec_426 = _RANDOM[6'h33][25:23];
+    5142             :         state_vec_427 = _RANDOM[6'h33][28:26];
+    5143             :         state_vec_428 = _RANDOM[6'h33][31:29];
+    5144             :         state_vec_429 = _RANDOM[6'h34][2:0];
+    5145             :         state_vec_430 = _RANDOM[6'h34][5:3];
+    5146             :         state_vec_431 = _RANDOM[6'h34][8:6];
+    5147             :         state_vec_432 = _RANDOM[6'h34][11:9];
+    5148             :         state_vec_433 = _RANDOM[6'h34][14:12];
+    5149             :         state_vec_434 = _RANDOM[6'h34][17:15];
+    5150             :         state_vec_435 = _RANDOM[6'h34][20:18];
+    5151             :         state_vec_436 = _RANDOM[6'h34][23:21];
+    5152             :         state_vec_437 = _RANDOM[6'h34][26:24];
+    5153             :         state_vec_438 = _RANDOM[6'h34][29:27];
+    5154             :         state_vec_439 = {_RANDOM[6'h34][31:30], _RANDOM[6'h35][0]};
+    5155             :         state_vec_440 = _RANDOM[6'h35][3:1];
+    5156             :         state_vec_441 = _RANDOM[6'h35][6:4];
+    5157             :         state_vec_442 = _RANDOM[6'h35][9:7];
+    5158             :         state_vec_443 = _RANDOM[6'h35][12:10];
+    5159             :         state_vec_444 = _RANDOM[6'h35][15:13];
+    5160             :         state_vec_445 = _RANDOM[6'h35][18:16];
+    5161             :         state_vec_446 = _RANDOM[6'h35][21:19];
+    5162             :         state_vec_447 = _RANDOM[6'h35][24:22];
+    5163             :         state_vec_448 = _RANDOM[6'h35][27:25];
+    5164             :         state_vec_449 = _RANDOM[6'h35][30:28];
+    5165             :         state_vec_450 = {_RANDOM[6'h35][31], _RANDOM[6'h36][1:0]};
+    5166             :         state_vec_451 = _RANDOM[6'h36][4:2];
+    5167             :         state_vec_452 = _RANDOM[6'h36][7:5];
+    5168             :         state_vec_453 = _RANDOM[6'h36][10:8];
+    5169             :         state_vec_454 = _RANDOM[6'h36][13:11];
+    5170             :         state_vec_455 = _RANDOM[6'h36][16:14];
+    5171             :         state_vec_456 = _RANDOM[6'h36][19:17];
+    5172             :         state_vec_457 = _RANDOM[6'h36][22:20];
+    5173             :         state_vec_458 = _RANDOM[6'h36][25:23];
+    5174             :         state_vec_459 = _RANDOM[6'h36][28:26];
+    5175             :         state_vec_460 = _RANDOM[6'h36][31:29];
+    5176             :         state_vec_461 = _RANDOM[6'h37][2:0];
+    5177             :         state_vec_462 = _RANDOM[6'h37][5:3];
+    5178             :         state_vec_463 = _RANDOM[6'h37][8:6];
+    5179             :         state_vec_464 = _RANDOM[6'h37][11:9];
+    5180             :         state_vec_465 = _RANDOM[6'h37][14:12];
+    5181             :         state_vec_466 = _RANDOM[6'h37][17:15];
+    5182             :         state_vec_467 = _RANDOM[6'h37][20:18];
+    5183             :         state_vec_468 = _RANDOM[6'h37][23:21];
+    5184             :         state_vec_469 = _RANDOM[6'h37][26:24];
+    5185             :         state_vec_470 = _RANDOM[6'h37][29:27];
+    5186             :         state_vec_471 = {_RANDOM[6'h37][31:30], _RANDOM[6'h38][0]};
+    5187             :         state_vec_472 = _RANDOM[6'h38][3:1];
+    5188             :         state_vec_473 = _RANDOM[6'h38][6:4];
+    5189             :         state_vec_474 = _RANDOM[6'h38][9:7];
+    5190             :         state_vec_475 = _RANDOM[6'h38][12:10];
+    5191             :         state_vec_476 = _RANDOM[6'h38][15:13];
+    5192             :         state_vec_477 = _RANDOM[6'h38][18:16];
+    5193             :         state_vec_478 = _RANDOM[6'h38][21:19];
+    5194             :         state_vec_479 = _RANDOM[6'h38][24:22];
+    5195             :         state_vec_480 = _RANDOM[6'h38][27:25];
+    5196             :         state_vec_481 = _RANDOM[6'h38][30:28];
+    5197             :         state_vec_482 = {_RANDOM[6'h38][31], _RANDOM[6'h39][1:0]};
+    5198             :         state_vec_483 = _RANDOM[6'h39][4:2];
+    5199             :         state_vec_484 = _RANDOM[6'h39][7:5];
+    5200             :         state_vec_485 = _RANDOM[6'h39][10:8];
+    5201             :         state_vec_486 = _RANDOM[6'h39][13:11];
+    5202             :         state_vec_487 = _RANDOM[6'h39][16:14];
+    5203             :         state_vec_488 = _RANDOM[6'h39][19:17];
+    5204             :         state_vec_489 = _RANDOM[6'h39][22:20];
+    5205             :         state_vec_490 = _RANDOM[6'h39][25:23];
+    5206             :         state_vec_491 = _RANDOM[6'h39][28:26];
+    5207             :         state_vec_492 = _RANDOM[6'h39][31:29];
+    5208             :         state_vec_493 = _RANDOM[6'h3A][2:0];
+    5209             :         state_vec_494 = _RANDOM[6'h3A][5:3];
+    5210             :         state_vec_495 = _RANDOM[6'h3A][8:6];
+    5211             :         state_vec_496 = _RANDOM[6'h3A][11:9];
+    5212             :         state_vec_497 = _RANDOM[6'h3A][14:12];
+    5213             :         state_vec_498 = _RANDOM[6'h3A][17:15];
+    5214             :         state_vec_499 = _RANDOM[6'h3A][20:18];
+    5215             :         state_vec_500 = _RANDOM[6'h3A][23:21];
+    5216             :         state_vec_501 = _RANDOM[6'h3A][26:24];
+    5217             :         state_vec_502 = _RANDOM[6'h3A][29:27];
+    5218             :         state_vec_503 = {_RANDOM[6'h3A][31:30], _RANDOM[6'h3B][0]};
+    5219             :         state_vec_504 = _RANDOM[6'h3B][3:1];
+    5220             :         state_vec_505 = _RANDOM[6'h3B][6:4];
+    5221             :         state_vec_506 = _RANDOM[6'h3B][9:7];
+    5222             :         state_vec_507 = _RANDOM[6'h3B][12:10];
+    5223             :         state_vec_508 = _RANDOM[6'h3B][15:13];
+    5224             :         state_vec_509 = _RANDOM[6'h3B][18:16];
+    5225             :         state_vec_510 = _RANDOM[6'h3B][21:19];
+    5226             :         state_vec_511 = _RANDOM[6'h3B][24:22];
+    5227             :         touch_set_0_REG = {_RANDOM[6'h3B][31:25], _RANDOM[6'h3C][1:0]};
+    5228             :         touch_way_0_valid_REG = _RANDOM[6'h3C][2];
+    5229             :         touch_way_0_bits_REG = _RANDOM[6'h3C][4:3];
+    5230             :         allocWriteWay_REG_0 = _RANDOM[6'h3C][5];
+    5231             :         allocWriteWay_REG_1 = _RANDOM[6'h3C][6];
+    5232             :         allocWriteWay_REG_2 = _RANDOM[6'h3C][7];
+    5233             :         allocWriteWay_REG_3 = _RANDOM[6'h3C][8];
+    5234          17 :       `endif // RANDOMIZE_REG_INIT
+    5235          12 :       if (reset) begin
+    5236          12 :         state_vec_0 = 3'h0;
+    5237          12 :         state_vec_1 = 3'h0;
+    5238          12 :         state_vec_2 = 3'h0;
+    5239          12 :         state_vec_3 = 3'h0;
+    5240          12 :         state_vec_4 = 3'h0;
+    5241          12 :         state_vec_5 = 3'h0;
+    5242          12 :         state_vec_6 = 3'h0;
+    5243          12 :         state_vec_7 = 3'h0;
+    5244          12 :         state_vec_8 = 3'h0;
+    5245          12 :         state_vec_9 = 3'h0;
+    5246          12 :         state_vec_10 = 3'h0;
+    5247          12 :         state_vec_11 = 3'h0;
+    5248          12 :         state_vec_12 = 3'h0;
+    5249          12 :         state_vec_13 = 3'h0;
+    5250          12 :         state_vec_14 = 3'h0;
+    5251          12 :         state_vec_15 = 3'h0;
+    5252          12 :         state_vec_16 = 3'h0;
+    5253          12 :         state_vec_17 = 3'h0;
+    5254          12 :         state_vec_18 = 3'h0;
+    5255          12 :         state_vec_19 = 3'h0;
+    5256          12 :         state_vec_20 = 3'h0;
+    5257          12 :         state_vec_21 = 3'h0;
+    5258          12 :         state_vec_22 = 3'h0;
+    5259          12 :         state_vec_23 = 3'h0;
+    5260          12 :         state_vec_24 = 3'h0;
+    5261          12 :         state_vec_25 = 3'h0;
+    5262          12 :         state_vec_26 = 3'h0;
+    5263          12 :         state_vec_27 = 3'h0;
+    5264          12 :         state_vec_28 = 3'h0;
+    5265          12 :         state_vec_29 = 3'h0;
+    5266          12 :         state_vec_30 = 3'h0;
+    5267          12 :         state_vec_31 = 3'h0;
+    5268          12 :         state_vec_32 = 3'h0;
+    5269          12 :         state_vec_33 = 3'h0;
+    5270          12 :         state_vec_34 = 3'h0;
+    5271          12 :         state_vec_35 = 3'h0;
+    5272          12 :         state_vec_36 = 3'h0;
+    5273          12 :         state_vec_37 = 3'h0;
+    5274          12 :         state_vec_38 = 3'h0;
+    5275          12 :         state_vec_39 = 3'h0;
+    5276          12 :         state_vec_40 = 3'h0;
+    5277          12 :         state_vec_41 = 3'h0;
+    5278          12 :         state_vec_42 = 3'h0;
+    5279          12 :         state_vec_43 = 3'h0;
+    5280          12 :         state_vec_44 = 3'h0;
+    5281          12 :         state_vec_45 = 3'h0;
+    5282          12 :         state_vec_46 = 3'h0;
+    5283          12 :         state_vec_47 = 3'h0;
+    5284          12 :         state_vec_48 = 3'h0;
+    5285          12 :         state_vec_49 = 3'h0;
+    5286          12 :         state_vec_50 = 3'h0;
+    5287          12 :         state_vec_51 = 3'h0;
+    5288          12 :         state_vec_52 = 3'h0;
+    5289          12 :         state_vec_53 = 3'h0;
+    5290          12 :         state_vec_54 = 3'h0;
+    5291          12 :         state_vec_55 = 3'h0;
+    5292          12 :         state_vec_56 = 3'h0;
+    5293          12 :         state_vec_57 = 3'h0;
+    5294          12 :         state_vec_58 = 3'h0;
+    5295          12 :         state_vec_59 = 3'h0;
+    5296          12 :         state_vec_60 = 3'h0;
+    5297          12 :         state_vec_61 = 3'h0;
+    5298          12 :         state_vec_62 = 3'h0;
+    5299          12 :         state_vec_63 = 3'h0;
+    5300          12 :         state_vec_64 = 3'h0;
+    5301          12 :         state_vec_65 = 3'h0;
+    5302          12 :         state_vec_66 = 3'h0;
+    5303          12 :         state_vec_67 = 3'h0;
+    5304          12 :         state_vec_68 = 3'h0;
+    5305          12 :         state_vec_69 = 3'h0;
+    5306          12 :         state_vec_70 = 3'h0;
+    5307          12 :         state_vec_71 = 3'h0;
+    5308          12 :         state_vec_72 = 3'h0;
+    5309          12 :         state_vec_73 = 3'h0;
+    5310          12 :         state_vec_74 = 3'h0;
+    5311          12 :         state_vec_75 = 3'h0;
+    5312          12 :         state_vec_76 = 3'h0;
+    5313          12 :         state_vec_77 = 3'h0;
+    5314          12 :         state_vec_78 = 3'h0;
+    5315          12 :         state_vec_79 = 3'h0;
+    5316          12 :         state_vec_80 = 3'h0;
+    5317          12 :         state_vec_81 = 3'h0;
+    5318          12 :         state_vec_82 = 3'h0;
+    5319          12 :         state_vec_83 = 3'h0;
+    5320          12 :         state_vec_84 = 3'h0;
+    5321          12 :         state_vec_85 = 3'h0;
+    5322          12 :         state_vec_86 = 3'h0;
+    5323          12 :         state_vec_87 = 3'h0;
+    5324          12 :         state_vec_88 = 3'h0;
+    5325          12 :         state_vec_89 = 3'h0;
+    5326          12 :         state_vec_90 = 3'h0;
+    5327          12 :         state_vec_91 = 3'h0;
+    5328          12 :         state_vec_92 = 3'h0;
+    5329          12 :         state_vec_93 = 3'h0;
+    5330          12 :         state_vec_94 = 3'h0;
+    5331          12 :         state_vec_95 = 3'h0;
+    5332          12 :         state_vec_96 = 3'h0;
+    5333          12 :         state_vec_97 = 3'h0;
+    5334          12 :         state_vec_98 = 3'h0;
+    5335          12 :         state_vec_99 = 3'h0;
+    5336          12 :         state_vec_100 = 3'h0;
+    5337          12 :         state_vec_101 = 3'h0;
+    5338          12 :         state_vec_102 = 3'h0;
+    5339          12 :         state_vec_103 = 3'h0;
+    5340          12 :         state_vec_104 = 3'h0;
+    5341          12 :         state_vec_105 = 3'h0;
+    5342          12 :         state_vec_106 = 3'h0;
+    5343          12 :         state_vec_107 = 3'h0;
+    5344          12 :         state_vec_108 = 3'h0;
+    5345          12 :         state_vec_109 = 3'h0;
+    5346          12 :         state_vec_110 = 3'h0;
+    5347          12 :         state_vec_111 = 3'h0;
+    5348          12 :         state_vec_112 = 3'h0;
+    5349          12 :         state_vec_113 = 3'h0;
+    5350          12 :         state_vec_114 = 3'h0;
+    5351          12 :         state_vec_115 = 3'h0;
+    5352          12 :         state_vec_116 = 3'h0;
+    5353          12 :         state_vec_117 = 3'h0;
+    5354          12 :         state_vec_118 = 3'h0;
+    5355          12 :         state_vec_119 = 3'h0;
+    5356          12 :         state_vec_120 = 3'h0;
+    5357          12 :         state_vec_121 = 3'h0;
+    5358          12 :         state_vec_122 = 3'h0;
+    5359          12 :         state_vec_123 = 3'h0;
+    5360          12 :         state_vec_124 = 3'h0;
+    5361          12 :         state_vec_125 = 3'h0;
+    5362          12 :         state_vec_126 = 3'h0;
+    5363          12 :         state_vec_127 = 3'h0;
+    5364          12 :         state_vec_128 = 3'h0;
+    5365          12 :         state_vec_129 = 3'h0;
+    5366          12 :         state_vec_130 = 3'h0;
+    5367          12 :         state_vec_131 = 3'h0;
+    5368          12 :         state_vec_132 = 3'h0;
+    5369          12 :         state_vec_133 = 3'h0;
+    5370          12 :         state_vec_134 = 3'h0;
+    5371          12 :         state_vec_135 = 3'h0;
+    5372          12 :         state_vec_136 = 3'h0;
+    5373          12 :         state_vec_137 = 3'h0;
+    5374          12 :         state_vec_138 = 3'h0;
+    5375          12 :         state_vec_139 = 3'h0;
+    5376          12 :         state_vec_140 = 3'h0;
+    5377          12 :         state_vec_141 = 3'h0;
+    5378          12 :         state_vec_142 = 3'h0;
+    5379          12 :         state_vec_143 = 3'h0;
+    5380          12 :         state_vec_144 = 3'h0;
+    5381          12 :         state_vec_145 = 3'h0;
+    5382          12 :         state_vec_146 = 3'h0;
+    5383          12 :         state_vec_147 = 3'h0;
+    5384          12 :         state_vec_148 = 3'h0;
+    5385          12 :         state_vec_149 = 3'h0;
+    5386          12 :         state_vec_150 = 3'h0;
+    5387          12 :         state_vec_151 = 3'h0;
+    5388          12 :         state_vec_152 = 3'h0;
+    5389          12 :         state_vec_153 = 3'h0;
+    5390          12 :         state_vec_154 = 3'h0;
+    5391          12 :         state_vec_155 = 3'h0;
+    5392          12 :         state_vec_156 = 3'h0;
+    5393          12 :         state_vec_157 = 3'h0;
+    5394          12 :         state_vec_158 = 3'h0;
+    5395          12 :         state_vec_159 = 3'h0;
+    5396          12 :         state_vec_160 = 3'h0;
+    5397          12 :         state_vec_161 = 3'h0;
+    5398          12 :         state_vec_162 = 3'h0;
+    5399          12 :         state_vec_163 = 3'h0;
+    5400          12 :         state_vec_164 = 3'h0;
+    5401          12 :         state_vec_165 = 3'h0;
+    5402          12 :         state_vec_166 = 3'h0;
+    5403          12 :         state_vec_167 = 3'h0;
+    5404          12 :         state_vec_168 = 3'h0;
+    5405          12 :         state_vec_169 = 3'h0;
+    5406          12 :         state_vec_170 = 3'h0;
+    5407          12 :         state_vec_171 = 3'h0;
+    5408          12 :         state_vec_172 = 3'h0;
+    5409          12 :         state_vec_173 = 3'h0;
+    5410          12 :         state_vec_174 = 3'h0;
+    5411          12 :         state_vec_175 = 3'h0;
+    5412          12 :         state_vec_176 = 3'h0;
+    5413          12 :         state_vec_177 = 3'h0;
+    5414          12 :         state_vec_178 = 3'h0;
+    5415          12 :         state_vec_179 = 3'h0;
+    5416          12 :         state_vec_180 = 3'h0;
+    5417          12 :         state_vec_181 = 3'h0;
+    5418          12 :         state_vec_182 = 3'h0;
+    5419          12 :         state_vec_183 = 3'h0;
+    5420          12 :         state_vec_184 = 3'h0;
+    5421          12 :         state_vec_185 = 3'h0;
+    5422          12 :         state_vec_186 = 3'h0;
+    5423          12 :         state_vec_187 = 3'h0;
+    5424          12 :         state_vec_188 = 3'h0;
+    5425          12 :         state_vec_189 = 3'h0;
+    5426          12 :         state_vec_190 = 3'h0;
+    5427          12 :         state_vec_191 = 3'h0;
+    5428          12 :         state_vec_192 = 3'h0;
+    5429          12 :         state_vec_193 = 3'h0;
+    5430          12 :         state_vec_194 = 3'h0;
+    5431          12 :         state_vec_195 = 3'h0;
+    5432          12 :         state_vec_196 = 3'h0;
+    5433          12 :         state_vec_197 = 3'h0;
+    5434          12 :         state_vec_198 = 3'h0;
+    5435          12 :         state_vec_199 = 3'h0;
+    5436          12 :         state_vec_200 = 3'h0;
+    5437          12 :         state_vec_201 = 3'h0;
+    5438          12 :         state_vec_202 = 3'h0;
+    5439          12 :         state_vec_203 = 3'h0;
+    5440          12 :         state_vec_204 = 3'h0;
+    5441          12 :         state_vec_205 = 3'h0;
+    5442          12 :         state_vec_206 = 3'h0;
+    5443          12 :         state_vec_207 = 3'h0;
+    5444          12 :         state_vec_208 = 3'h0;
+    5445          12 :         state_vec_209 = 3'h0;
+    5446          12 :         state_vec_210 = 3'h0;
+    5447          12 :         state_vec_211 = 3'h0;
+    5448          12 :         state_vec_212 = 3'h0;
+    5449          12 :         state_vec_213 = 3'h0;
+    5450          12 :         state_vec_214 = 3'h0;
+    5451          12 :         state_vec_215 = 3'h0;
+    5452          12 :         state_vec_216 = 3'h0;
+    5453          12 :         state_vec_217 = 3'h0;
+    5454          12 :         state_vec_218 = 3'h0;
+    5455          12 :         state_vec_219 = 3'h0;
+    5456          12 :         state_vec_220 = 3'h0;
+    5457          12 :         state_vec_221 = 3'h0;
+    5458          12 :         state_vec_222 = 3'h0;
+    5459          12 :         state_vec_223 = 3'h0;
+    5460          12 :         state_vec_224 = 3'h0;
+    5461          12 :         state_vec_225 = 3'h0;
+    5462          12 :         state_vec_226 = 3'h0;
+    5463          12 :         state_vec_227 = 3'h0;
+    5464          12 :         state_vec_228 = 3'h0;
+    5465          12 :         state_vec_229 = 3'h0;
+    5466          12 :         state_vec_230 = 3'h0;
+    5467          12 :         state_vec_231 = 3'h0;
+    5468          12 :         state_vec_232 = 3'h0;
+    5469          12 :         state_vec_233 = 3'h0;
+    5470          12 :         state_vec_234 = 3'h0;
+    5471          12 :         state_vec_235 = 3'h0;
+    5472          12 :         state_vec_236 = 3'h0;
+    5473          12 :         state_vec_237 = 3'h0;
+    5474          12 :         state_vec_238 = 3'h0;
+    5475          12 :         state_vec_239 = 3'h0;
+    5476          12 :         state_vec_240 = 3'h0;
+    5477          12 :         state_vec_241 = 3'h0;
+    5478          12 :         state_vec_242 = 3'h0;
+    5479          12 :         state_vec_243 = 3'h0;
+    5480          12 :         state_vec_244 = 3'h0;
+    5481          12 :         state_vec_245 = 3'h0;
+    5482          12 :         state_vec_246 = 3'h0;
+    5483          12 :         state_vec_247 = 3'h0;
+    5484          12 :         state_vec_248 = 3'h0;
+    5485          12 :         state_vec_249 = 3'h0;
+    5486          12 :         state_vec_250 = 3'h0;
+    5487          12 :         state_vec_251 = 3'h0;
+    5488          12 :         state_vec_252 = 3'h0;
+    5489          12 :         state_vec_253 = 3'h0;
+    5490          12 :         state_vec_254 = 3'h0;
+    5491          12 :         state_vec_255 = 3'h0;
+    5492          12 :         state_vec_256 = 3'h0;
+    5493          12 :         state_vec_257 = 3'h0;
+    5494          12 :         state_vec_258 = 3'h0;
+    5495          12 :         state_vec_259 = 3'h0;
+    5496          12 :         state_vec_260 = 3'h0;
+    5497          12 :         state_vec_261 = 3'h0;
+    5498          12 :         state_vec_262 = 3'h0;
+    5499          12 :         state_vec_263 = 3'h0;
+    5500          12 :         state_vec_264 = 3'h0;
+    5501          12 :         state_vec_265 = 3'h0;
+    5502          12 :         state_vec_266 = 3'h0;
+    5503          12 :         state_vec_267 = 3'h0;
+    5504          12 :         state_vec_268 = 3'h0;
+    5505          12 :         state_vec_269 = 3'h0;
+    5506          12 :         state_vec_270 = 3'h0;
+    5507          12 :         state_vec_271 = 3'h0;
+    5508          12 :         state_vec_272 = 3'h0;
+    5509          12 :         state_vec_273 = 3'h0;
+    5510          12 :         state_vec_274 = 3'h0;
+    5511          12 :         state_vec_275 = 3'h0;
+    5512          12 :         state_vec_276 = 3'h0;
+    5513          12 :         state_vec_277 = 3'h0;
+    5514          12 :         state_vec_278 = 3'h0;
+    5515          12 :         state_vec_279 = 3'h0;
+    5516          12 :         state_vec_280 = 3'h0;
+    5517          12 :         state_vec_281 = 3'h0;
+    5518          12 :         state_vec_282 = 3'h0;
+    5519          12 :         state_vec_283 = 3'h0;
+    5520          12 :         state_vec_284 = 3'h0;
+    5521          12 :         state_vec_285 = 3'h0;
+    5522          12 :         state_vec_286 = 3'h0;
+    5523          12 :         state_vec_287 = 3'h0;
+    5524          12 :         state_vec_288 = 3'h0;
+    5525          12 :         state_vec_289 = 3'h0;
+    5526          12 :         state_vec_290 = 3'h0;
+    5527          12 :         state_vec_291 = 3'h0;
+    5528          12 :         state_vec_292 = 3'h0;
+    5529          12 :         state_vec_293 = 3'h0;
+    5530          12 :         state_vec_294 = 3'h0;
+    5531          12 :         state_vec_295 = 3'h0;
+    5532          12 :         state_vec_296 = 3'h0;
+    5533          12 :         state_vec_297 = 3'h0;
+    5534          12 :         state_vec_298 = 3'h0;
+    5535          12 :         state_vec_299 = 3'h0;
+    5536          12 :         state_vec_300 = 3'h0;
+    5537          12 :         state_vec_301 = 3'h0;
+    5538          12 :         state_vec_302 = 3'h0;
+    5539          12 :         state_vec_303 = 3'h0;
+    5540          12 :         state_vec_304 = 3'h0;
+    5541          12 :         state_vec_305 = 3'h0;
+    5542          12 :         state_vec_306 = 3'h0;
+    5543          12 :         state_vec_307 = 3'h0;
+    5544          12 :         state_vec_308 = 3'h0;
+    5545          12 :         state_vec_309 = 3'h0;
+    5546          12 :         state_vec_310 = 3'h0;
+    5547          12 :         state_vec_311 = 3'h0;
+    5548          12 :         state_vec_312 = 3'h0;
+    5549          12 :         state_vec_313 = 3'h0;
+    5550          12 :         state_vec_314 = 3'h0;
+    5551          12 :         state_vec_315 = 3'h0;
+    5552          12 :         state_vec_316 = 3'h0;
+    5553          12 :         state_vec_317 = 3'h0;
+    5554          12 :         state_vec_318 = 3'h0;
+    5555          12 :         state_vec_319 = 3'h0;
+    5556          12 :         state_vec_320 = 3'h0;
+    5557          12 :         state_vec_321 = 3'h0;
+    5558          12 :         state_vec_322 = 3'h0;
+    5559          12 :         state_vec_323 = 3'h0;
+    5560          12 :         state_vec_324 = 3'h0;
+    5561          12 :         state_vec_325 = 3'h0;
+    5562          12 :         state_vec_326 = 3'h0;
+    5563          12 :         state_vec_327 = 3'h0;
+    5564          12 :         state_vec_328 = 3'h0;
+    5565          12 :         state_vec_329 = 3'h0;
+    5566          12 :         state_vec_330 = 3'h0;
+    5567          12 :         state_vec_331 = 3'h0;
+    5568          12 :         state_vec_332 = 3'h0;
+    5569          12 :         state_vec_333 = 3'h0;
+    5570          12 :         state_vec_334 = 3'h0;
+    5571          12 :         state_vec_335 = 3'h0;
+    5572          12 :         state_vec_336 = 3'h0;
+    5573          12 :         state_vec_337 = 3'h0;
+    5574          12 :         state_vec_338 = 3'h0;
+    5575          12 :         state_vec_339 = 3'h0;
+    5576          12 :         state_vec_340 = 3'h0;
+    5577          12 :         state_vec_341 = 3'h0;
+    5578          12 :         state_vec_342 = 3'h0;
+    5579          12 :         state_vec_343 = 3'h0;
+    5580          12 :         state_vec_344 = 3'h0;
+    5581          12 :         state_vec_345 = 3'h0;
+    5582          12 :         state_vec_346 = 3'h0;
+    5583          12 :         state_vec_347 = 3'h0;
+    5584          12 :         state_vec_348 = 3'h0;
+    5585          12 :         state_vec_349 = 3'h0;
+    5586          12 :         state_vec_350 = 3'h0;
+    5587          12 :         state_vec_351 = 3'h0;
+    5588          12 :         state_vec_352 = 3'h0;
+    5589          12 :         state_vec_353 = 3'h0;
+    5590          12 :         state_vec_354 = 3'h0;
+    5591          12 :         state_vec_355 = 3'h0;
+    5592          12 :         state_vec_356 = 3'h0;
+    5593          12 :         state_vec_357 = 3'h0;
+    5594          12 :         state_vec_358 = 3'h0;
+    5595          12 :         state_vec_359 = 3'h0;
+    5596          12 :         state_vec_360 = 3'h0;
+    5597          12 :         state_vec_361 = 3'h0;
+    5598          12 :         state_vec_362 = 3'h0;
+    5599          12 :         state_vec_363 = 3'h0;
+    5600          12 :         state_vec_364 = 3'h0;
+    5601          12 :         state_vec_365 = 3'h0;
+    5602          12 :         state_vec_366 = 3'h0;
+    5603          12 :         state_vec_367 = 3'h0;
+    5604          12 :         state_vec_368 = 3'h0;
+    5605          12 :         state_vec_369 = 3'h0;
+    5606          12 :         state_vec_370 = 3'h0;
+    5607          12 :         state_vec_371 = 3'h0;
+    5608          12 :         state_vec_372 = 3'h0;
+    5609          12 :         state_vec_373 = 3'h0;
+    5610          12 :         state_vec_374 = 3'h0;
+    5611          12 :         state_vec_375 = 3'h0;
+    5612          12 :         state_vec_376 = 3'h0;
+    5613          12 :         state_vec_377 = 3'h0;
+    5614          12 :         state_vec_378 = 3'h0;
+    5615          12 :         state_vec_379 = 3'h0;
+    5616          12 :         state_vec_380 = 3'h0;
+    5617          12 :         state_vec_381 = 3'h0;
+    5618          12 :         state_vec_382 = 3'h0;
+    5619          12 :         state_vec_383 = 3'h0;
+    5620          12 :         state_vec_384 = 3'h0;
+    5621          12 :         state_vec_385 = 3'h0;
+    5622          12 :         state_vec_386 = 3'h0;
+    5623          12 :         state_vec_387 = 3'h0;
+    5624          12 :         state_vec_388 = 3'h0;
+    5625          12 :         state_vec_389 = 3'h0;
+    5626          12 :         state_vec_390 = 3'h0;
+    5627          12 :         state_vec_391 = 3'h0;
+    5628          12 :         state_vec_392 = 3'h0;
+    5629          12 :         state_vec_393 = 3'h0;
+    5630          12 :         state_vec_394 = 3'h0;
+    5631          12 :         state_vec_395 = 3'h0;
+    5632          12 :         state_vec_396 = 3'h0;
+    5633          12 :         state_vec_397 = 3'h0;
+    5634          12 :         state_vec_398 = 3'h0;
+    5635          12 :         state_vec_399 = 3'h0;
+    5636          12 :         state_vec_400 = 3'h0;
+    5637          12 :         state_vec_401 = 3'h0;
+    5638          12 :         state_vec_402 = 3'h0;
+    5639          12 :         state_vec_403 = 3'h0;
+    5640          12 :         state_vec_404 = 3'h0;
+    5641          12 :         state_vec_405 = 3'h0;
+    5642          12 :         state_vec_406 = 3'h0;
+    5643          12 :         state_vec_407 = 3'h0;
+    5644          12 :         state_vec_408 = 3'h0;
+    5645          12 :         state_vec_409 = 3'h0;
+    5646          12 :         state_vec_410 = 3'h0;
+    5647          12 :         state_vec_411 = 3'h0;
+    5648          12 :         state_vec_412 = 3'h0;
+    5649          12 :         state_vec_413 = 3'h0;
+    5650          12 :         state_vec_414 = 3'h0;
+    5651          12 :         state_vec_415 = 3'h0;
+    5652          12 :         state_vec_416 = 3'h0;
+    5653          12 :         state_vec_417 = 3'h0;
+    5654          12 :         state_vec_418 = 3'h0;
+    5655          12 :         state_vec_419 = 3'h0;
+    5656          12 :         state_vec_420 = 3'h0;
+    5657          12 :         state_vec_421 = 3'h0;
+    5658          12 :         state_vec_422 = 3'h0;
+    5659          12 :         state_vec_423 = 3'h0;
+    5660          12 :         state_vec_424 = 3'h0;
+    5661          12 :         state_vec_425 = 3'h0;
+    5662          12 :         state_vec_426 = 3'h0;
+    5663          12 :         state_vec_427 = 3'h0;
+    5664          12 :         state_vec_428 = 3'h0;
+    5665          12 :         state_vec_429 = 3'h0;
+    5666          12 :         state_vec_430 = 3'h0;
+    5667          12 :         state_vec_431 = 3'h0;
+    5668          12 :         state_vec_432 = 3'h0;
+    5669          12 :         state_vec_433 = 3'h0;
+    5670          12 :         state_vec_434 = 3'h0;
+    5671          12 :         state_vec_435 = 3'h0;
+    5672          12 :         state_vec_436 = 3'h0;
+    5673          12 :         state_vec_437 = 3'h0;
+    5674          12 :         state_vec_438 = 3'h0;
+    5675          12 :         state_vec_439 = 3'h0;
+    5676          12 :         state_vec_440 = 3'h0;
+    5677          12 :         state_vec_441 = 3'h0;
+    5678          12 :         state_vec_442 = 3'h0;
+    5679          12 :         state_vec_443 = 3'h0;
+    5680          12 :         state_vec_444 = 3'h0;
+    5681          12 :         state_vec_445 = 3'h0;
+    5682          12 :         state_vec_446 = 3'h0;
+    5683          12 :         state_vec_447 = 3'h0;
+    5684          12 :         state_vec_448 = 3'h0;
+    5685          12 :         state_vec_449 = 3'h0;
+    5686          12 :         state_vec_450 = 3'h0;
+    5687          12 :         state_vec_451 = 3'h0;
+    5688          12 :         state_vec_452 = 3'h0;
+    5689          12 :         state_vec_453 = 3'h0;
+    5690          12 :         state_vec_454 = 3'h0;
+    5691          12 :         state_vec_455 = 3'h0;
+    5692          12 :         state_vec_456 = 3'h0;
+    5693          12 :         state_vec_457 = 3'h0;
+    5694          12 :         state_vec_458 = 3'h0;
+    5695          12 :         state_vec_459 = 3'h0;
+    5696          12 :         state_vec_460 = 3'h0;
+    5697          12 :         state_vec_461 = 3'h0;
+    5698          12 :         state_vec_462 = 3'h0;
+    5699          12 :         state_vec_463 = 3'h0;
+    5700          12 :         state_vec_464 = 3'h0;
+    5701          12 :         state_vec_465 = 3'h0;
+    5702          12 :         state_vec_466 = 3'h0;
+    5703          12 :         state_vec_467 = 3'h0;
+    5704          12 :         state_vec_468 = 3'h0;
+    5705          12 :         state_vec_469 = 3'h0;
+    5706          12 :         state_vec_470 = 3'h0;
+    5707          12 :         state_vec_471 = 3'h0;
+    5708          12 :         state_vec_472 = 3'h0;
+    5709          12 :         state_vec_473 = 3'h0;
+    5710          12 :         state_vec_474 = 3'h0;
+    5711          12 :         state_vec_475 = 3'h0;
+    5712          12 :         state_vec_476 = 3'h0;
+    5713          12 :         state_vec_477 = 3'h0;
+    5714          12 :         state_vec_478 = 3'h0;
+    5715          12 :         state_vec_479 = 3'h0;
+    5716          12 :         state_vec_480 = 3'h0;
+    5717          12 :         state_vec_481 = 3'h0;
+    5718          12 :         state_vec_482 = 3'h0;
+    5719          12 :         state_vec_483 = 3'h0;
+    5720          12 :         state_vec_484 = 3'h0;
+    5721          12 :         state_vec_485 = 3'h0;
+    5722          12 :         state_vec_486 = 3'h0;
+    5723          12 :         state_vec_487 = 3'h0;
+    5724          12 :         state_vec_488 = 3'h0;
+    5725          12 :         state_vec_489 = 3'h0;
+    5726          12 :         state_vec_490 = 3'h0;
+    5727          12 :         state_vec_491 = 3'h0;
+    5728          12 :         state_vec_492 = 3'h0;
+    5729          12 :         state_vec_493 = 3'h0;
+    5730          12 :         state_vec_494 = 3'h0;
+    5731          12 :         state_vec_495 = 3'h0;
+    5732          12 :         state_vec_496 = 3'h0;
+    5733          12 :         state_vec_497 = 3'h0;
+    5734          12 :         state_vec_498 = 3'h0;
+    5735          12 :         state_vec_499 = 3'h0;
+    5736          12 :         state_vec_500 = 3'h0;
+    5737          12 :         state_vec_501 = 3'h0;
+    5738          12 :         state_vec_502 = 3'h0;
+    5739          12 :         state_vec_503 = 3'h0;
+    5740          12 :         state_vec_504 = 3'h0;
+    5741          12 :         state_vec_505 = 3'h0;
+    5742          12 :         state_vec_506 = 3'h0;
+    5743          12 :         state_vec_507 = 3'h0;
+    5744          12 :         state_vec_508 = 3'h0;
+    5745          12 :         state_vec_509 = 3'h0;
+    5746          12 :         state_vec_510 = 3'h0;
+    5747             :         state_vec_511 = 3'h0;
+    5748             :       end
+    5749             :     end // initial
+    5750             :     `ifdef FIRRTL_AFTER_INITIAL
+    5751             :       `FIRRTL_AFTER_INITIAL
+    5752             :     `endif // FIRRTL_AFTER_INITIAL
+    5753             :   `endif // ENABLE_INITIAL_REG_
+    5754             :   SRAMTemplate_13 ftb (
+    5755             :     .clock                                           (clock),
+    5756             :     .reset                                           (reset),
+    5757             :     .io_r_req_ready                                  (io_req_pc_ready),
+    5758             :     .io_r_req_valid
+    5759             :       (io_req_pc_valid | io_u_req_pc_valid),
+    5760             :     .io_r_req_bits_setIdx
+    5761             :       (io_u_req_pc_valid ? io_u_req_pc_bits[9:1] : io_req_pc_bits[9:1]),
+    5762             :     .io_r_resp_data_0_entry_valid                    (_ftb_io_r_resp_data_0_entry_valid),
+    5763             :     .io_r_resp_data_0_entry_brSlots_0_offset
+    5764             :       (_ftb_io_r_resp_data_0_entry_brSlots_0_offset),
+    5765             :     .io_r_resp_data_0_entry_brSlots_0_lower
+    5766             :       (_ftb_io_r_resp_data_0_entry_brSlots_0_lower),
+    5767             :     .io_r_resp_data_0_entry_brSlots_0_tarStat
+    5768             :       (_ftb_io_r_resp_data_0_entry_brSlots_0_tarStat),
+    5769             :     .io_r_resp_data_0_entry_brSlots_0_sharing
+    5770             :       (_ftb_io_r_resp_data_0_entry_brSlots_0_sharing),
+    5771             :     .io_r_resp_data_0_entry_brSlots_0_valid
+    5772             :       (_ftb_io_r_resp_data_0_entry_brSlots_0_valid),
+    5773             :     .io_r_resp_data_0_entry_tailSlot_offset
+    5774             :       (_ftb_io_r_resp_data_0_entry_tailSlot_offset),
+    5775             :     .io_r_resp_data_0_entry_tailSlot_lower
+    5776             :       (_ftb_io_r_resp_data_0_entry_tailSlot_lower),
+    5777             :     .io_r_resp_data_0_entry_tailSlot_tarStat
+    5778             :       (_ftb_io_r_resp_data_0_entry_tailSlot_tarStat),
+    5779             :     .io_r_resp_data_0_entry_tailSlot_sharing
+    5780             :       (_ftb_io_r_resp_data_0_entry_tailSlot_sharing),
+    5781             :     .io_r_resp_data_0_entry_tailSlot_valid
+    5782             :       (_ftb_io_r_resp_data_0_entry_tailSlot_valid),
+    5783             :     .io_r_resp_data_0_entry_pftAddr
+    5784             :       (_ftb_io_r_resp_data_0_entry_pftAddr),
+    5785             :     .io_r_resp_data_0_entry_carry                    (_ftb_io_r_resp_data_0_entry_carry),
+    5786             :     .io_r_resp_data_0_entry_isCall                   (_ftb_io_r_resp_data_0_entry_isCall),
+    5787             :     .io_r_resp_data_0_entry_isRet                    (_ftb_io_r_resp_data_0_entry_isRet),
+    5788             :     .io_r_resp_data_0_entry_isJalr                   (_ftb_io_r_resp_data_0_entry_isJalr),
+    5789             :     .io_r_resp_data_0_entry_last_may_be_rvi_call
+    5790             :       (_ftb_io_r_resp_data_0_entry_last_may_be_rvi_call),
+    5791             :     .io_r_resp_data_0_entry_always_taken_0
+    5792             :       (_ftb_io_r_resp_data_0_entry_always_taken_0),
+    5793             :     .io_r_resp_data_0_entry_always_taken_1
+    5794             :       (_ftb_io_r_resp_data_0_entry_always_taken_1),
+    5795             :     .io_r_resp_data_0_tag                            (_ftb_io_r_resp_data_0_tag),
+    5796             :     .io_r_resp_data_1_entry_valid                    (_ftb_io_r_resp_data_1_entry_valid),
+    5797             :     .io_r_resp_data_1_entry_brSlots_0_offset
+    5798             :       (_ftb_io_r_resp_data_1_entry_brSlots_0_offset),
+    5799             :     .io_r_resp_data_1_entry_brSlots_0_lower
+    5800             :       (_ftb_io_r_resp_data_1_entry_brSlots_0_lower),
+    5801             :     .io_r_resp_data_1_entry_brSlots_0_tarStat
+    5802             :       (_ftb_io_r_resp_data_1_entry_brSlots_0_tarStat),
+    5803             :     .io_r_resp_data_1_entry_brSlots_0_sharing
+    5804             :       (_ftb_io_r_resp_data_1_entry_brSlots_0_sharing),
+    5805             :     .io_r_resp_data_1_entry_brSlots_0_valid
+    5806             :       (_ftb_io_r_resp_data_1_entry_brSlots_0_valid),
+    5807             :     .io_r_resp_data_1_entry_tailSlot_offset
+    5808             :       (_ftb_io_r_resp_data_1_entry_tailSlot_offset),
+    5809             :     .io_r_resp_data_1_entry_tailSlot_lower
+    5810             :       (_ftb_io_r_resp_data_1_entry_tailSlot_lower),
+    5811             :     .io_r_resp_data_1_entry_tailSlot_tarStat
+    5812             :       (_ftb_io_r_resp_data_1_entry_tailSlot_tarStat),
+    5813             :     .io_r_resp_data_1_entry_tailSlot_sharing
+    5814             :       (_ftb_io_r_resp_data_1_entry_tailSlot_sharing),
+    5815             :     .io_r_resp_data_1_entry_tailSlot_valid
+    5816             :       (_ftb_io_r_resp_data_1_entry_tailSlot_valid),
+    5817             :     .io_r_resp_data_1_entry_pftAddr
+    5818             :       (_ftb_io_r_resp_data_1_entry_pftAddr),
+    5819             :     .io_r_resp_data_1_entry_carry                    (_ftb_io_r_resp_data_1_entry_carry),
+    5820             :     .io_r_resp_data_1_entry_isCall                   (_ftb_io_r_resp_data_1_entry_isCall),
+    5821             :     .io_r_resp_data_1_entry_isRet                    (_ftb_io_r_resp_data_1_entry_isRet),
+    5822             :     .io_r_resp_data_1_entry_isJalr                   (_ftb_io_r_resp_data_1_entry_isJalr),
+    5823             :     .io_r_resp_data_1_entry_last_may_be_rvi_call
+    5824             :       (_ftb_io_r_resp_data_1_entry_last_may_be_rvi_call),
+    5825             :     .io_r_resp_data_1_entry_always_taken_0
+    5826             :       (_ftb_io_r_resp_data_1_entry_always_taken_0),
+    5827             :     .io_r_resp_data_1_entry_always_taken_1
+    5828             :       (_ftb_io_r_resp_data_1_entry_always_taken_1),
+    5829             :     .io_r_resp_data_1_tag                            (_ftb_io_r_resp_data_1_tag),
+    5830             :     .io_r_resp_data_2_entry_valid                    (_ftb_io_r_resp_data_2_entry_valid),
+    5831             :     .io_r_resp_data_2_entry_brSlots_0_offset
+    5832             :       (_ftb_io_r_resp_data_2_entry_brSlots_0_offset),
+    5833             :     .io_r_resp_data_2_entry_brSlots_0_lower
+    5834             :       (_ftb_io_r_resp_data_2_entry_brSlots_0_lower),
+    5835             :     .io_r_resp_data_2_entry_brSlots_0_tarStat
+    5836             :       (_ftb_io_r_resp_data_2_entry_brSlots_0_tarStat),
+    5837             :     .io_r_resp_data_2_entry_brSlots_0_sharing
+    5838             :       (_ftb_io_r_resp_data_2_entry_brSlots_0_sharing),
+    5839             :     .io_r_resp_data_2_entry_brSlots_0_valid
+    5840             :       (_ftb_io_r_resp_data_2_entry_brSlots_0_valid),
+    5841             :     .io_r_resp_data_2_entry_tailSlot_offset
+    5842             :       (_ftb_io_r_resp_data_2_entry_tailSlot_offset),
+    5843             :     .io_r_resp_data_2_entry_tailSlot_lower
+    5844             :       (_ftb_io_r_resp_data_2_entry_tailSlot_lower),
+    5845             :     .io_r_resp_data_2_entry_tailSlot_tarStat
+    5846             :       (_ftb_io_r_resp_data_2_entry_tailSlot_tarStat),
+    5847             :     .io_r_resp_data_2_entry_tailSlot_sharing
+    5848             :       (_ftb_io_r_resp_data_2_entry_tailSlot_sharing),
+    5849             :     .io_r_resp_data_2_entry_tailSlot_valid
+    5850             :       (_ftb_io_r_resp_data_2_entry_tailSlot_valid),
+    5851             :     .io_r_resp_data_2_entry_pftAddr
+    5852             :       (_ftb_io_r_resp_data_2_entry_pftAddr),
+    5853             :     .io_r_resp_data_2_entry_carry                    (_ftb_io_r_resp_data_2_entry_carry),
+    5854             :     .io_r_resp_data_2_entry_isCall                   (_ftb_io_r_resp_data_2_entry_isCall),
+    5855             :     .io_r_resp_data_2_entry_isRet                    (_ftb_io_r_resp_data_2_entry_isRet),
+    5856             :     .io_r_resp_data_2_entry_isJalr                   (_ftb_io_r_resp_data_2_entry_isJalr),
+    5857             :     .io_r_resp_data_2_entry_last_may_be_rvi_call
+    5858             :       (_ftb_io_r_resp_data_2_entry_last_may_be_rvi_call),
+    5859             :     .io_r_resp_data_2_entry_always_taken_0
+    5860             :       (_ftb_io_r_resp_data_2_entry_always_taken_0),
+    5861             :     .io_r_resp_data_2_entry_always_taken_1
+    5862             :       (_ftb_io_r_resp_data_2_entry_always_taken_1),
+    5863             :     .io_r_resp_data_2_tag                            (_ftb_io_r_resp_data_2_tag),
+    5864             :     .io_r_resp_data_3_entry_valid                    (_ftb_io_r_resp_data_3_entry_valid),
+    5865             :     .io_r_resp_data_3_entry_brSlots_0_offset
+    5866             :       (_ftb_io_r_resp_data_3_entry_brSlots_0_offset),
+    5867             :     .io_r_resp_data_3_entry_brSlots_0_lower
+    5868             :       (_ftb_io_r_resp_data_3_entry_brSlots_0_lower),
+    5869             :     .io_r_resp_data_3_entry_brSlots_0_tarStat
+    5870             :       (_ftb_io_r_resp_data_3_entry_brSlots_0_tarStat),
+    5871             :     .io_r_resp_data_3_entry_brSlots_0_sharing
+    5872             :       (_ftb_io_r_resp_data_3_entry_brSlots_0_sharing),
+    5873             :     .io_r_resp_data_3_entry_brSlots_0_valid
+    5874             :       (_ftb_io_r_resp_data_3_entry_brSlots_0_valid),
+    5875             :     .io_r_resp_data_3_entry_tailSlot_offset
+    5876             :       (_ftb_io_r_resp_data_3_entry_tailSlot_offset),
+    5877             :     .io_r_resp_data_3_entry_tailSlot_lower
+    5878             :       (_ftb_io_r_resp_data_3_entry_tailSlot_lower),
+    5879             :     .io_r_resp_data_3_entry_tailSlot_tarStat
+    5880             :       (_ftb_io_r_resp_data_3_entry_tailSlot_tarStat),
+    5881             :     .io_r_resp_data_3_entry_tailSlot_sharing
+    5882             :       (_ftb_io_r_resp_data_3_entry_tailSlot_sharing),
+    5883             :     .io_r_resp_data_3_entry_tailSlot_valid
+    5884             :       (_ftb_io_r_resp_data_3_entry_tailSlot_valid),
+    5885             :     .io_r_resp_data_3_entry_pftAddr
+    5886             :       (_ftb_io_r_resp_data_3_entry_pftAddr),
+    5887             :     .io_r_resp_data_3_entry_carry                    (_ftb_io_r_resp_data_3_entry_carry),
+    5888             :     .io_r_resp_data_3_entry_isCall                   (_ftb_io_r_resp_data_3_entry_isCall),
+    5889             :     .io_r_resp_data_3_entry_isRet                    (_ftb_io_r_resp_data_3_entry_isRet),
+    5890             :     .io_r_resp_data_3_entry_isJalr                   (_ftb_io_r_resp_data_3_entry_isJalr),
+    5891             :     .io_r_resp_data_3_entry_last_may_be_rvi_call
+    5892             :       (_ftb_io_r_resp_data_3_entry_last_may_be_rvi_call),
+    5893             :     .io_r_resp_data_3_entry_always_taken_0
+    5894             :       (_ftb_io_r_resp_data_3_entry_always_taken_0),
+    5895             :     .io_r_resp_data_3_entry_always_taken_1
+    5896             :       (_ftb_io_r_resp_data_3_entry_always_taken_1),
+    5897             :     .io_r_resp_data_3_tag                            (_ftb_io_r_resp_data_3_tag),
+    5898             :     .io_w_req_valid                                  (io_update_write_data_valid),
+    5899             :     .io_w_req_bits_setIdx                            (io_update_pc[9:1]),
+    5900             :     .io_w_req_bits_data_0_entry_valid
+    5901             :       (io_update_write_data_bits_entry_valid),
+    5902             :     .io_w_req_bits_data_0_entry_brSlots_0_offset
+    5903             :       (io_update_write_data_bits_entry_brSlots_0_offset),
+    5904             :     .io_w_req_bits_data_0_entry_brSlots_0_lower
+    5905             :       (io_update_write_data_bits_entry_brSlots_0_lower),
+    5906             :     .io_w_req_bits_data_0_entry_brSlots_0_tarStat
+    5907             :       (io_update_write_data_bits_entry_brSlots_0_tarStat),
+    5908             :     .io_w_req_bits_data_0_entry_brSlots_0_sharing
+    5909             :       (io_update_write_data_bits_entry_brSlots_0_sharing),
+    5910             :     .io_w_req_bits_data_0_entry_brSlots_0_valid
+    5911             :       (io_update_write_data_bits_entry_brSlots_0_valid),
+    5912             :     .io_w_req_bits_data_0_entry_tailSlot_offset
+    5913             :       (io_update_write_data_bits_entry_tailSlot_offset),
+    5914             :     .io_w_req_bits_data_0_entry_tailSlot_lower
+    5915             :       (io_update_write_data_bits_entry_tailSlot_lower),
+    5916             :     .io_w_req_bits_data_0_entry_tailSlot_tarStat
+    5917             :       (io_update_write_data_bits_entry_tailSlot_tarStat),
+    5918             :     .io_w_req_bits_data_0_entry_tailSlot_sharing
+    5919             :       (io_update_write_data_bits_entry_tailSlot_sharing),
+    5920             :     .io_w_req_bits_data_0_entry_tailSlot_valid
+    5921             :       (io_update_write_data_bits_entry_tailSlot_valid),
+    5922             :     .io_w_req_bits_data_0_entry_pftAddr
+    5923             :       (io_update_write_data_bits_entry_pftAddr),
+    5924             :     .io_w_req_bits_data_0_entry_carry
+    5925             :       (io_update_write_data_bits_entry_carry),
+    5926             :     .io_w_req_bits_data_0_entry_isCall
+    5927             :       (io_update_write_data_bits_entry_isCall),
+    5928             :     .io_w_req_bits_data_0_entry_isRet
+    5929             :       (io_update_write_data_bits_entry_isRet),
+    5930             :     .io_w_req_bits_data_0_entry_isJalr
+    5931             :       (io_update_write_data_bits_entry_isJalr),
+    5932             :     .io_w_req_bits_data_0_entry_last_may_be_rvi_call
+    5933             :       (io_update_write_data_bits_entry_last_may_be_rvi_call),
+    5934             :     .io_w_req_bits_data_0_entry_always_taken_0
+    5935             :       (io_update_write_data_bits_entry_always_taken_0),
+    5936             :     .io_w_req_bits_data_0_entry_always_taken_1
+    5937             :       (io_update_write_data_bits_entry_always_taken_1),
+    5938             :     .io_w_req_bits_data_0_tag                        (io_update_write_data_bits_tag),
+    5939             :     .io_w_req_bits_data_1_entry_valid
+    5940             :       (io_update_write_data_bits_entry_valid),
+    5941             :     .io_w_req_bits_data_1_entry_brSlots_0_offset
+    5942             :       (io_update_write_data_bits_entry_brSlots_0_offset),
+    5943             :     .io_w_req_bits_data_1_entry_brSlots_0_lower
+    5944             :       (io_update_write_data_bits_entry_brSlots_0_lower),
+    5945             :     .io_w_req_bits_data_1_entry_brSlots_0_tarStat
+    5946             :       (io_update_write_data_bits_entry_brSlots_0_tarStat),
+    5947             :     .io_w_req_bits_data_1_entry_brSlots_0_sharing
+    5948             :       (io_update_write_data_bits_entry_brSlots_0_sharing),
+    5949             :     .io_w_req_bits_data_1_entry_brSlots_0_valid
+    5950             :       (io_update_write_data_bits_entry_brSlots_0_valid),
+    5951             :     .io_w_req_bits_data_1_entry_tailSlot_offset
+    5952             :       (io_update_write_data_bits_entry_tailSlot_offset),
+    5953             :     .io_w_req_bits_data_1_entry_tailSlot_lower
+    5954             :       (io_update_write_data_bits_entry_tailSlot_lower),
+    5955             :     .io_w_req_bits_data_1_entry_tailSlot_tarStat
+    5956             :       (io_update_write_data_bits_entry_tailSlot_tarStat),
+    5957             :     .io_w_req_bits_data_1_entry_tailSlot_sharing
+    5958             :       (io_update_write_data_bits_entry_tailSlot_sharing),
+    5959             :     .io_w_req_bits_data_1_entry_tailSlot_valid
+    5960             :       (io_update_write_data_bits_entry_tailSlot_valid),
+    5961             :     .io_w_req_bits_data_1_entry_pftAddr
+    5962             :       (io_update_write_data_bits_entry_pftAddr),
+    5963             :     .io_w_req_bits_data_1_entry_carry
+    5964             :       (io_update_write_data_bits_entry_carry),
+    5965             :     .io_w_req_bits_data_1_entry_isCall
+    5966             :       (io_update_write_data_bits_entry_isCall),
+    5967             :     .io_w_req_bits_data_1_entry_isRet
+    5968             :       (io_update_write_data_bits_entry_isRet),
+    5969             :     .io_w_req_bits_data_1_entry_isJalr
+    5970             :       (io_update_write_data_bits_entry_isJalr),
+    5971             :     .io_w_req_bits_data_1_entry_last_may_be_rvi_call
+    5972             :       (io_update_write_data_bits_entry_last_may_be_rvi_call),
+    5973             :     .io_w_req_bits_data_1_entry_always_taken_0
+    5974             :       (io_update_write_data_bits_entry_always_taken_0),
+    5975             :     .io_w_req_bits_data_1_entry_always_taken_1
+    5976             :       (io_update_write_data_bits_entry_always_taken_1),
+    5977             :     .io_w_req_bits_data_1_tag                        (io_update_write_data_bits_tag),
+    5978             :     .io_w_req_bits_data_2_entry_valid
+    5979             :       (io_update_write_data_bits_entry_valid),
+    5980             :     .io_w_req_bits_data_2_entry_brSlots_0_offset
+    5981             :       (io_update_write_data_bits_entry_brSlots_0_offset),
+    5982             :     .io_w_req_bits_data_2_entry_brSlots_0_lower
+    5983             :       (io_update_write_data_bits_entry_brSlots_0_lower),
+    5984             :     .io_w_req_bits_data_2_entry_brSlots_0_tarStat
+    5985             :       (io_update_write_data_bits_entry_brSlots_0_tarStat),
+    5986             :     .io_w_req_bits_data_2_entry_brSlots_0_sharing
+    5987             :       (io_update_write_data_bits_entry_brSlots_0_sharing),
+    5988             :     .io_w_req_bits_data_2_entry_brSlots_0_valid
+    5989             :       (io_update_write_data_bits_entry_brSlots_0_valid),
+    5990             :     .io_w_req_bits_data_2_entry_tailSlot_offset
+    5991             :       (io_update_write_data_bits_entry_tailSlot_offset),
+    5992             :     .io_w_req_bits_data_2_entry_tailSlot_lower
+    5993             :       (io_update_write_data_bits_entry_tailSlot_lower),
+    5994             :     .io_w_req_bits_data_2_entry_tailSlot_tarStat
+    5995             :       (io_update_write_data_bits_entry_tailSlot_tarStat),
+    5996             :     .io_w_req_bits_data_2_entry_tailSlot_sharing
+    5997             :       (io_update_write_data_bits_entry_tailSlot_sharing),
+    5998             :     .io_w_req_bits_data_2_entry_tailSlot_valid
+    5999             :       (io_update_write_data_bits_entry_tailSlot_valid),
+    6000             :     .io_w_req_bits_data_2_entry_pftAddr
+    6001             :       (io_update_write_data_bits_entry_pftAddr),
+    6002             :     .io_w_req_bits_data_2_entry_carry
+    6003             :       (io_update_write_data_bits_entry_carry),
+    6004             :     .io_w_req_bits_data_2_entry_isCall
+    6005             :       (io_update_write_data_bits_entry_isCall),
+    6006             :     .io_w_req_bits_data_2_entry_isRet
+    6007             :       (io_update_write_data_bits_entry_isRet),
+    6008             :     .io_w_req_bits_data_2_entry_isJalr
+    6009             :       (io_update_write_data_bits_entry_isJalr),
+    6010             :     .io_w_req_bits_data_2_entry_last_may_be_rvi_call
+    6011             :       (io_update_write_data_bits_entry_last_may_be_rvi_call),
+    6012             :     .io_w_req_bits_data_2_entry_always_taken_0
+    6013             :       (io_update_write_data_bits_entry_always_taken_0),
+    6014             :     .io_w_req_bits_data_2_entry_always_taken_1
+    6015             :       (io_update_write_data_bits_entry_always_taken_1),
+    6016             :     .io_w_req_bits_data_2_tag                        (io_update_write_data_bits_tag),
+    6017             :     .io_w_req_bits_data_3_entry_valid
+    6018             :       (io_update_write_data_bits_entry_valid),
+    6019             :     .io_w_req_bits_data_3_entry_brSlots_0_offset
+    6020             :       (io_update_write_data_bits_entry_brSlots_0_offset),
+    6021             :     .io_w_req_bits_data_3_entry_brSlots_0_lower
+    6022             :       (io_update_write_data_bits_entry_brSlots_0_lower),
+    6023             :     .io_w_req_bits_data_3_entry_brSlots_0_tarStat
+    6024             :       (io_update_write_data_bits_entry_brSlots_0_tarStat),
+    6025             :     .io_w_req_bits_data_3_entry_brSlots_0_sharing
+    6026             :       (io_update_write_data_bits_entry_brSlots_0_sharing),
+    6027             :     .io_w_req_bits_data_3_entry_brSlots_0_valid
+    6028             :       (io_update_write_data_bits_entry_brSlots_0_valid),
+    6029             :     .io_w_req_bits_data_3_entry_tailSlot_offset
+    6030             :       (io_update_write_data_bits_entry_tailSlot_offset),
+    6031             :     .io_w_req_bits_data_3_entry_tailSlot_lower
+    6032             :       (io_update_write_data_bits_entry_tailSlot_lower),
+    6033             :     .io_w_req_bits_data_3_entry_tailSlot_tarStat
+    6034             :       (io_update_write_data_bits_entry_tailSlot_tarStat),
+    6035             :     .io_w_req_bits_data_3_entry_tailSlot_sharing
+    6036             :       (io_update_write_data_bits_entry_tailSlot_sharing),
+    6037             :     .io_w_req_bits_data_3_entry_tailSlot_valid
+    6038             :       (io_update_write_data_bits_entry_tailSlot_valid),
+    6039             :     .io_w_req_bits_data_3_entry_pftAddr
+    6040             :       (io_update_write_data_bits_entry_pftAddr),
+    6041             :     .io_w_req_bits_data_3_entry_carry
+    6042             :       (io_update_write_data_bits_entry_carry),
+    6043             :     .io_w_req_bits_data_3_entry_isCall
+    6044             :       (io_update_write_data_bits_entry_isCall),
+    6045             :     .io_w_req_bits_data_3_entry_isRet
+    6046             :       (io_update_write_data_bits_entry_isRet),
+    6047             :     .io_w_req_bits_data_3_entry_isJalr
+    6048             :       (io_update_write_data_bits_entry_isJalr),
+    6049             :     .io_w_req_bits_data_3_entry_last_may_be_rvi_call
+    6050             :       (io_update_write_data_bits_entry_last_may_be_rvi_call),
+    6051             :     .io_w_req_bits_data_3_entry_always_taken_0
+    6052             :       (io_update_write_data_bits_entry_always_taken_0),
+    6053             :     .io_w_req_bits_data_3_entry_always_taken_1
+    6054             :       (io_update_write_data_bits_entry_always_taken_1),
+    6055             :     .io_w_req_bits_data_3_tag                        (io_update_write_data_bits_tag),
+    6056             :     .io_w_req_bits_waymask                           (4'h1 << u_way)
+    6057             :   );
+    6058             :   assign io_read_resp_valid =
+    6059             :     total_hits_0 & pred_rdata_0_entry_valid | total_hits_1 & pred_rdata_1_entry_valid
+    6060             :     | total_hits_2 & pred_rdata_2_entry_valid | total_hits_3 & pred_rdata_3_entry_valid;
+    6061             :   assign io_read_resp_brSlots_0_offset =
+    6062             :     (total_hits_0
+    6063             :        ? (pred_rdata_REG
+    6064             :             ? _ftb_io_r_resp_data_0_entry_brSlots_0_offset
+    6065             :             : pred_rdata_hold_data_0_entry_brSlots_0_offset)
+    6066             :        : 4'h0)
+    6067             :     | (total_hits_1
+    6068             :          ? (pred_rdata_REG
+    6069             :               ? _ftb_io_r_resp_data_1_entry_brSlots_0_offset
+    6070             :               : pred_rdata_hold_data_1_entry_brSlots_0_offset)
+    6071             :          : 4'h0)
+    6072             :     | (total_hits_2
+    6073             :          ? (pred_rdata_REG
+    6074             :               ? _ftb_io_r_resp_data_2_entry_brSlots_0_offset
+    6075             :               : pred_rdata_hold_data_2_entry_brSlots_0_offset)
+    6076             :          : 4'h0)
+    6077             :     | (total_hits_3
+    6078             :          ? (pred_rdata_REG
+    6079             :               ? _ftb_io_r_resp_data_3_entry_brSlots_0_offset
+    6080             :               : pred_rdata_hold_data_3_entry_brSlots_0_offset)
+    6081             :          : 4'h0);
+    6082             :   assign io_read_resp_brSlots_0_lower =
+    6083             :     (total_hits_0
+    6084             :        ? (pred_rdata_REG
+    6085             :             ? _ftb_io_r_resp_data_0_entry_brSlots_0_lower
+    6086             :             : pred_rdata_hold_data_0_entry_brSlots_0_lower)
+    6087             :        : 12'h0)
+    6088             :     | (total_hits_1
+    6089             :          ? (pred_rdata_REG
+    6090             :               ? _ftb_io_r_resp_data_1_entry_brSlots_0_lower
+    6091             :               : pred_rdata_hold_data_1_entry_brSlots_0_lower)
+    6092             :          : 12'h0)
+    6093             :     | (total_hits_2
+    6094             :          ? (pred_rdata_REG
+    6095             :               ? _ftb_io_r_resp_data_2_entry_brSlots_0_lower
+    6096             :               : pred_rdata_hold_data_2_entry_brSlots_0_lower)
+    6097             :          : 12'h0)
+    6098             :     | (total_hits_3
+    6099             :          ? (pred_rdata_REG
+    6100             :               ? _ftb_io_r_resp_data_3_entry_brSlots_0_lower
+    6101             :               : pred_rdata_hold_data_3_entry_brSlots_0_lower)
+    6102             :          : 12'h0);
+    6103             :   assign io_read_resp_brSlots_0_tarStat =
+    6104             :     (total_hits_0
+    6105             :        ? (pred_rdata_REG
+    6106             :             ? _ftb_io_r_resp_data_0_entry_brSlots_0_tarStat
+    6107             :             : pred_rdata_hold_data_0_entry_brSlots_0_tarStat)
+    6108             :        : 2'h0)
+    6109             :     | (total_hits_1
+    6110             :          ? (pred_rdata_REG
+    6111             :               ? _ftb_io_r_resp_data_1_entry_brSlots_0_tarStat
+    6112             :               : pred_rdata_hold_data_1_entry_brSlots_0_tarStat)
+    6113             :          : 2'h0)
+    6114             :     | (total_hits_2
+    6115             :          ? (pred_rdata_REG
+    6116             :               ? _ftb_io_r_resp_data_2_entry_brSlots_0_tarStat
+    6117             :               : pred_rdata_hold_data_2_entry_brSlots_0_tarStat)
+    6118             :          : 2'h0)
+    6119             :     | (total_hits_3
+    6120             :          ? (pred_rdata_REG
+    6121             :               ? _ftb_io_r_resp_data_3_entry_brSlots_0_tarStat
+    6122             :               : pred_rdata_hold_data_3_entry_brSlots_0_tarStat)
+    6123             :          : 2'h0);
+    6124             :   assign io_read_resp_brSlots_0_sharing =
+    6125             :     total_hits_0
+    6126             :     & (pred_rdata_REG
+    6127             :          ? _ftb_io_r_resp_data_0_entry_brSlots_0_sharing
+    6128             :          : pred_rdata_hold_data_0_entry_brSlots_0_sharing) | total_hits_1
+    6129             :     & (pred_rdata_REG
+    6130             :          ? _ftb_io_r_resp_data_1_entry_brSlots_0_sharing
+    6131             :          : pred_rdata_hold_data_1_entry_brSlots_0_sharing) | total_hits_2
+    6132             :     & (pred_rdata_REG
+    6133             :          ? _ftb_io_r_resp_data_2_entry_brSlots_0_sharing
+    6134             :          : pred_rdata_hold_data_2_entry_brSlots_0_sharing) | total_hits_3
+    6135             :     & (pred_rdata_REG
+    6136             :          ? _ftb_io_r_resp_data_3_entry_brSlots_0_sharing
+    6137             :          : pred_rdata_hold_data_3_entry_brSlots_0_sharing);
+    6138             :   assign io_read_resp_brSlots_0_valid =
+    6139             :     total_hits_0
+    6140             :     & (pred_rdata_REG
+    6141             :          ? _ftb_io_r_resp_data_0_entry_brSlots_0_valid
+    6142             :          : pred_rdata_hold_data_0_entry_brSlots_0_valid) | total_hits_1
+    6143             :     & (pred_rdata_REG
+    6144             :          ? _ftb_io_r_resp_data_1_entry_brSlots_0_valid
+    6145             :          : pred_rdata_hold_data_1_entry_brSlots_0_valid) | total_hits_2
+    6146             :     & (pred_rdata_REG
+    6147             :          ? _ftb_io_r_resp_data_2_entry_brSlots_0_valid
+    6148             :          : pred_rdata_hold_data_2_entry_brSlots_0_valid) | total_hits_3
+    6149             :     & (pred_rdata_REG
+    6150             :          ? _ftb_io_r_resp_data_3_entry_brSlots_0_valid
+    6151             :          : pred_rdata_hold_data_3_entry_brSlots_0_valid);
+    6152             :   assign io_read_resp_tailSlot_offset =
+    6153             :     (total_hits_0
+    6154             :        ? (pred_rdata_REG
+    6155             :             ? _ftb_io_r_resp_data_0_entry_tailSlot_offset
+    6156             :             : pred_rdata_hold_data_0_entry_tailSlot_offset)
+    6157             :        : 4'h0)
+    6158             :     | (total_hits_1
+    6159             :          ? (pred_rdata_REG
+    6160             :               ? _ftb_io_r_resp_data_1_entry_tailSlot_offset
+    6161             :               : pred_rdata_hold_data_1_entry_tailSlot_offset)
+    6162             :          : 4'h0)
+    6163             :     | (total_hits_2
+    6164             :          ? (pred_rdata_REG
+    6165             :               ? _ftb_io_r_resp_data_2_entry_tailSlot_offset
+    6166             :               : pred_rdata_hold_data_2_entry_tailSlot_offset)
+    6167             :          : 4'h0)
+    6168             :     | (total_hits_3
+    6169             :          ? (pred_rdata_REG
+    6170             :               ? _ftb_io_r_resp_data_3_entry_tailSlot_offset
+    6171             :               : pred_rdata_hold_data_3_entry_tailSlot_offset)
+    6172             :          : 4'h0);
+    6173             :   assign io_read_resp_tailSlot_lower =
+    6174             :     (total_hits_0
+    6175             :        ? (pred_rdata_REG
+    6176             :             ? _ftb_io_r_resp_data_0_entry_tailSlot_lower
+    6177             :             : pred_rdata_hold_data_0_entry_tailSlot_lower)
+    6178             :        : 20'h0)
+    6179             :     | (total_hits_1
+    6180             :          ? (pred_rdata_REG
+    6181             :               ? _ftb_io_r_resp_data_1_entry_tailSlot_lower
+    6182             :               : pred_rdata_hold_data_1_entry_tailSlot_lower)
+    6183             :          : 20'h0)
+    6184             :     | (total_hits_2
+    6185             :          ? (pred_rdata_REG
+    6186             :               ? _ftb_io_r_resp_data_2_entry_tailSlot_lower
+    6187             :               : pred_rdata_hold_data_2_entry_tailSlot_lower)
+    6188             :          : 20'h0)
+    6189             :     | (total_hits_3
+    6190             :          ? (pred_rdata_REG
+    6191             :               ? _ftb_io_r_resp_data_3_entry_tailSlot_lower
+    6192             :               : pred_rdata_hold_data_3_entry_tailSlot_lower)
+    6193             :          : 20'h0);
+    6194             :   assign io_read_resp_tailSlot_tarStat =
+    6195             :     (total_hits_0
+    6196             :        ? (pred_rdata_REG
+    6197             :             ? _ftb_io_r_resp_data_0_entry_tailSlot_tarStat
+    6198             :             : pred_rdata_hold_data_0_entry_tailSlot_tarStat)
+    6199             :        : 2'h0)
+    6200             :     | (total_hits_1
+    6201             :          ? (pred_rdata_REG
+    6202             :               ? _ftb_io_r_resp_data_1_entry_tailSlot_tarStat
+    6203             :               : pred_rdata_hold_data_1_entry_tailSlot_tarStat)
+    6204             :          : 2'h0)
+    6205             :     | (total_hits_2
+    6206             :          ? (pred_rdata_REG
+    6207             :               ? _ftb_io_r_resp_data_2_entry_tailSlot_tarStat
+    6208             :               : pred_rdata_hold_data_2_entry_tailSlot_tarStat)
+    6209             :          : 2'h0)
+    6210             :     | (total_hits_3
+    6211             :          ? (pred_rdata_REG
+    6212             :               ? _ftb_io_r_resp_data_3_entry_tailSlot_tarStat
+    6213             :               : pred_rdata_hold_data_3_entry_tailSlot_tarStat)
+    6214             :          : 2'h0);
+    6215             :   assign io_read_resp_tailSlot_sharing =
+    6216             :     total_hits_0
+    6217             :     & (pred_rdata_REG
+    6218             :          ? _ftb_io_r_resp_data_0_entry_tailSlot_sharing
+    6219             :          : pred_rdata_hold_data_0_entry_tailSlot_sharing) | total_hits_1
+    6220             :     & (pred_rdata_REG
+    6221             :          ? _ftb_io_r_resp_data_1_entry_tailSlot_sharing
+    6222             :          : pred_rdata_hold_data_1_entry_tailSlot_sharing) | total_hits_2
+    6223             :     & (pred_rdata_REG
+    6224             :          ? _ftb_io_r_resp_data_2_entry_tailSlot_sharing
+    6225             :          : pred_rdata_hold_data_2_entry_tailSlot_sharing) | total_hits_3
+    6226             :     & (pred_rdata_REG
+    6227             :          ? _ftb_io_r_resp_data_3_entry_tailSlot_sharing
+    6228             :          : pred_rdata_hold_data_3_entry_tailSlot_sharing);
+    6229             :   assign io_read_resp_tailSlot_valid =
+    6230             :     total_hits_0
+    6231             :     & (pred_rdata_REG
+    6232             :          ? _ftb_io_r_resp_data_0_entry_tailSlot_valid
+    6233             :          : pred_rdata_hold_data_0_entry_tailSlot_valid) | total_hits_1
+    6234             :     & (pred_rdata_REG
+    6235             :          ? _ftb_io_r_resp_data_1_entry_tailSlot_valid
+    6236             :          : pred_rdata_hold_data_1_entry_tailSlot_valid) | total_hits_2
+    6237             :     & (pred_rdata_REG
+    6238             :          ? _ftb_io_r_resp_data_2_entry_tailSlot_valid
+    6239             :          : pred_rdata_hold_data_2_entry_tailSlot_valid) | total_hits_3
+    6240             :     & (pred_rdata_REG
+    6241             :          ? _ftb_io_r_resp_data_3_entry_tailSlot_valid
+    6242             :          : pred_rdata_hold_data_3_entry_tailSlot_valid);
+    6243             :   assign io_read_resp_pftAddr =
+    6244             :     (total_hits_0
+    6245             :        ? (pred_rdata_REG
+    6246             :             ? _ftb_io_r_resp_data_0_entry_pftAddr
+    6247             :             : pred_rdata_hold_data_0_entry_pftAddr)
+    6248             :        : 4'h0)
+    6249             :     | (total_hits_1
+    6250             :          ? (pred_rdata_REG
+    6251             :               ? _ftb_io_r_resp_data_1_entry_pftAddr
+    6252             :               : pred_rdata_hold_data_1_entry_pftAddr)
+    6253             :          : 4'h0)
+    6254             :     | (total_hits_2
+    6255             :          ? (pred_rdata_REG
+    6256             :               ? _ftb_io_r_resp_data_2_entry_pftAddr
+    6257             :               : pred_rdata_hold_data_2_entry_pftAddr)
+    6258             :          : 4'h0)
+    6259             :     | (total_hits_3
+    6260             :          ? (pred_rdata_REG
+    6261             :               ? _ftb_io_r_resp_data_3_entry_pftAddr
+    6262             :               : pred_rdata_hold_data_3_entry_pftAddr)
+    6263             :          : 4'h0);
+    6264             :   assign io_read_resp_carry =
+    6265             :     total_hits_0
+    6266             :     & (pred_rdata_REG
+    6267             :          ? _ftb_io_r_resp_data_0_entry_carry
+    6268             :          : pred_rdata_hold_data_0_entry_carry) | total_hits_1
+    6269             :     & (pred_rdata_REG
+    6270             :          ? _ftb_io_r_resp_data_1_entry_carry
+    6271             :          : pred_rdata_hold_data_1_entry_carry) | total_hits_2
+    6272             :     & (pred_rdata_REG
+    6273             :          ? _ftb_io_r_resp_data_2_entry_carry
+    6274             :          : pred_rdata_hold_data_2_entry_carry) | total_hits_3
+    6275             :     & (pred_rdata_REG
+    6276             :          ? _ftb_io_r_resp_data_3_entry_carry
+    6277             :          : pred_rdata_hold_data_3_entry_carry);
+    6278             :   assign io_read_resp_isCall =
+    6279             :     total_hits_0
+    6280             :     & (pred_rdata_REG
+    6281             :          ? _ftb_io_r_resp_data_0_entry_isCall
+    6282             :          : pred_rdata_hold_data_0_entry_isCall) | total_hits_1
+    6283             :     & (pred_rdata_REG
+    6284             :          ? _ftb_io_r_resp_data_1_entry_isCall
+    6285             :          : pred_rdata_hold_data_1_entry_isCall) | total_hits_2
+    6286             :     & (pred_rdata_REG
+    6287             :          ? _ftb_io_r_resp_data_2_entry_isCall
+    6288             :          : pred_rdata_hold_data_2_entry_isCall) | total_hits_3
+    6289             :     & (pred_rdata_REG
+    6290             :          ? _ftb_io_r_resp_data_3_entry_isCall
+    6291             :          : pred_rdata_hold_data_3_entry_isCall);
+    6292             :   assign io_read_resp_isRet =
+    6293             :     total_hits_0
+    6294             :     & (pred_rdata_REG
+    6295             :          ? _ftb_io_r_resp_data_0_entry_isRet
+    6296             :          : pred_rdata_hold_data_0_entry_isRet) | total_hits_1
+    6297             :     & (pred_rdata_REG
+    6298             :          ? _ftb_io_r_resp_data_1_entry_isRet
+    6299             :          : pred_rdata_hold_data_1_entry_isRet) | total_hits_2
+    6300             :     & (pred_rdata_REG
+    6301             :          ? _ftb_io_r_resp_data_2_entry_isRet
+    6302             :          : pred_rdata_hold_data_2_entry_isRet) | total_hits_3
+    6303             :     & (pred_rdata_REG
+    6304             :          ? _ftb_io_r_resp_data_3_entry_isRet
+    6305             :          : pred_rdata_hold_data_3_entry_isRet);
+    6306             :   assign io_read_resp_isJalr =
+    6307             :     total_hits_0
+    6308             :     & (pred_rdata_REG
+    6309             :          ? _ftb_io_r_resp_data_0_entry_isJalr
+    6310             :          : pred_rdata_hold_data_0_entry_isJalr) | total_hits_1
+    6311             :     & (pred_rdata_REG
+    6312             :          ? _ftb_io_r_resp_data_1_entry_isJalr
+    6313             :          : pred_rdata_hold_data_1_entry_isJalr) | total_hits_2
+    6314             :     & (pred_rdata_REG
+    6315             :          ? _ftb_io_r_resp_data_2_entry_isJalr
+    6316             :          : pred_rdata_hold_data_2_entry_isJalr) | total_hits_3
+    6317             :     & (pred_rdata_REG
+    6318             :          ? _ftb_io_r_resp_data_3_entry_isJalr
+    6319             :          : pred_rdata_hold_data_3_entry_isJalr);
+    6320             :   assign io_read_resp_last_may_be_rvi_call =
+    6321             :     total_hits_0
+    6322             :     & (pred_rdata_REG
+    6323             :          ? _ftb_io_r_resp_data_0_entry_last_may_be_rvi_call
+    6324             :          : pred_rdata_hold_data_0_entry_last_may_be_rvi_call) | total_hits_1
+    6325             :     & (pred_rdata_REG
+    6326             :          ? _ftb_io_r_resp_data_1_entry_last_may_be_rvi_call
+    6327             :          : pred_rdata_hold_data_1_entry_last_may_be_rvi_call) | total_hits_2
+    6328             :     & (pred_rdata_REG
+    6329             :          ? _ftb_io_r_resp_data_2_entry_last_may_be_rvi_call
+    6330             :          : pred_rdata_hold_data_2_entry_last_may_be_rvi_call) | total_hits_3
+    6331             :     & (pred_rdata_REG
+    6332             :          ? _ftb_io_r_resp_data_3_entry_last_may_be_rvi_call
+    6333             :          : pred_rdata_hold_data_3_entry_last_may_be_rvi_call);
+    6334             :   assign io_read_resp_always_taken_0 =
+    6335             :     total_hits_0
+    6336             :     & (pred_rdata_REG
+    6337             :          ? _ftb_io_r_resp_data_0_entry_always_taken_0
+    6338             :          : pred_rdata_hold_data_0_entry_always_taken_0) | total_hits_1
+    6339             :     & (pred_rdata_REG
+    6340             :          ? _ftb_io_r_resp_data_1_entry_always_taken_0
+    6341             :          : pred_rdata_hold_data_1_entry_always_taken_0) | total_hits_2
+    6342             :     & (pred_rdata_REG
+    6343             :          ? _ftb_io_r_resp_data_2_entry_always_taken_0
+    6344             :          : pred_rdata_hold_data_2_entry_always_taken_0) | total_hits_3
+    6345             :     & (pred_rdata_REG
+    6346             :          ? _ftb_io_r_resp_data_3_entry_always_taken_0
+    6347             :          : pred_rdata_hold_data_3_entry_always_taken_0);
+    6348             :   assign io_read_resp_always_taken_1 =
+    6349             :     total_hits_0
+    6350             :     & (pred_rdata_REG
+    6351             :          ? _ftb_io_r_resp_data_0_entry_always_taken_1
+    6352             :          : pred_rdata_hold_data_0_entry_always_taken_1) | total_hits_1
+    6353             :     & (pred_rdata_REG
+    6354             :          ? _ftb_io_r_resp_data_1_entry_always_taken_1
+    6355             :          : pred_rdata_hold_data_1_entry_always_taken_1) | total_hits_2
+    6356             :     & (pred_rdata_REG
+    6357             :          ? _ftb_io_r_resp_data_2_entry_always_taken_1
+    6358             :          : pred_rdata_hold_data_2_entry_always_taken_1) | total_hits_3
+    6359             :     & (pred_rdata_REG
+    6360             :          ? _ftb_io_r_resp_data_3_entry_always_taken_1
+    6361             :          : pred_rdata_hold_data_3_entry_always_taken_1);
+    6362             :   assign io_read_hits_valid = hit;
+    6363             :   assign io_read_hits_bits = hit_way;
+    6364             :   assign io_update_hits_valid =
+    6365             :     _ftb_io_r_resp_data_0_tag == u_req_tag & _ftb_io_r_resp_data_0_entry_valid
+    6366             :     & u_total_hits_REG | u_total_hits_1 | u_total_hits_2 | u_total_hits_3;
+    6367             :   assign io_update_hits_bits =
+    6368             :     {|{u_total_hits_3, u_total_hits_2}, u_total_hits_3 | u_total_hits_1};
+    6369             : endmodule
+    6370             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.func-sort-c.html new file mode 100644 index 0000000..e620a4c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FauFTB.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FauFTB.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:648105861.2 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.func.html new file mode 100644 index 0000000..c05f544 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FauFTB.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FauFTB.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:648105861.2 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.gcov.html new file mode 100644 index 0000000..d0a7e70 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTB.sv.gcov.html @@ -0,0 +1,4831 @@ + + + + + + + LCOV - merged.info - BPUTop/FauFTB.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FauFTB.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:648105861.2 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FauFTB(
+      59      127786 :   input          clock,
+      60          62 :   input          reset,
+      61        1105 :   input  [35:0]  io_reset_vector,
+      62       10337 :   input  [40:0]  io_in_bits_s0_pc_0,
+      63       10224 :   input  [40:0]  io_in_bits_s0_pc_1,
+      64       10251 :   input  [40:0]  io_in_bits_s0_pc_2,
+      65       35066 :   input  [40:0]  io_in_bits_s0_pc_3,
+      66        9878 :   output [40:0]  io_out_s1_pc_0,
+      67        9918 :   output [40:0]  io_out_s1_pc_1,
+      68        9857 :   output [40:0]  io_out_s1_pc_2,
+      69        9933 :   output [40:0]  io_out_s1_pc_3,
+      70          15 :   output         io_out_s1_full_pred_0_br_taken_mask_0,
+      71          17 :   output         io_out_s1_full_pred_0_br_taken_mask_1,
+      72          12 :   output         io_out_s1_full_pred_0_slot_valids_0,
+      73           9 :   output         io_out_s1_full_pred_0_slot_valids_1,
+      74         615 :   output [40:0]  io_out_s1_full_pred_0_targets_0,
+      75         574 :   output [40:0]  io_out_s1_full_pred_0_targets_1,
+      76          57 :   output [3:0]   io_out_s1_full_pred_0_offsets_0,
+      77          64 :   output [3:0]   io_out_s1_full_pred_0_offsets_1,
+      78         596 :   output [40:0]  io_out_s1_full_pred_0_fallThroughAddr,
+      79          14 :   output         io_out_s1_full_pred_0_is_br_sharing,
+      80          14 :   output         io_out_s1_full_pred_0_hit,
+      81          15 :   output         io_out_s1_full_pred_1_br_taken_mask_0,
+      82          17 :   output         io_out_s1_full_pred_1_br_taken_mask_1,
+      83          12 :   output         io_out_s1_full_pred_1_slot_valids_0,
+      84           9 :   output         io_out_s1_full_pred_1_slot_valids_1,
+      85         615 :   output [40:0]  io_out_s1_full_pred_1_targets_0,
+      86         574 :   output [40:0]  io_out_s1_full_pred_1_targets_1,
+      87          57 :   output [3:0]   io_out_s1_full_pred_1_offsets_0,
+      88          64 :   output [3:0]   io_out_s1_full_pred_1_offsets_1,
+      89         596 :   output [40:0]  io_out_s1_full_pred_1_fallThroughAddr,
+      90          14 :   output         io_out_s1_full_pred_1_is_br_sharing,
+      91          14 :   output         io_out_s1_full_pred_1_hit,
+      92          15 :   output         io_out_s1_full_pred_2_br_taken_mask_0,
+      93          17 :   output         io_out_s1_full_pred_2_br_taken_mask_1,
+      94          12 :   output         io_out_s1_full_pred_2_slot_valids_0,
+      95           9 :   output         io_out_s1_full_pred_2_slot_valids_1,
+      96         615 :   output [40:0]  io_out_s1_full_pred_2_targets_0,
+      97         574 :   output [40:0]  io_out_s1_full_pred_2_targets_1,
+      98          57 :   output [3:0]   io_out_s1_full_pred_2_offsets_0,
+      99          64 :   output [3:0]   io_out_s1_full_pred_2_offsets_1,
+     100         596 :   output [40:0]  io_out_s1_full_pred_2_fallThroughAddr,
+     101          14 :   output         io_out_s1_full_pred_2_is_br_sharing,
+     102          14 :   output         io_out_s1_full_pred_2_hit,
+     103          15 :   output         io_out_s1_full_pred_3_br_taken_mask_0,
+     104          17 :   output         io_out_s1_full_pred_3_br_taken_mask_1,
+     105          12 :   output         io_out_s1_full_pred_3_slot_valids_0,
+     106           9 :   output         io_out_s1_full_pred_3_slot_valids_1,
+     107         615 :   output [40:0]  io_out_s1_full_pred_3_targets_0,
+     108         574 :   output [40:0]  io_out_s1_full_pred_3_targets_1,
+     109          57 :   output [3:0]   io_out_s1_full_pred_3_offsets_0,
+     110          64 :   output [3:0]   io_out_s1_full_pred_3_offsets_1,
+     111         596 :   output [40:0]  io_out_s1_full_pred_3_fallThroughAddr,
+     112          10 :   output         io_out_s1_full_pred_3_fallThroughErr,
+     113          14 :   output         io_out_s1_full_pred_3_is_br_sharing,
+     114          14 :   output         io_out_s1_full_pred_3_hit,
+     115        3291 :   output [222:0] io_out_last_stage_meta,
+     116          84 :   input          io_ctrl_ubtb_enable,
+     117          75 :   input          io_s0_fire_0,
+     118          76 :   input          io_s0_fire_1,
+     119          76 :   input          io_s0_fire_2,
+     120          73 :   input          io_s0_fire_3,
+     121         133 :   input          io_s1_fire_0,
+     122         127 :   input          io_s2_fire_0,
+     123         105 :   input          io_update_valid,
+     124        1143 :   input  [40:0]  io_update_bits_pc,
+     125         122 :   input  [3:0]   io_update_bits_ftb_entry_brSlots_0_offset,
+     126         337 :   input  [11:0]  io_update_bits_ftb_entry_brSlots_0_lower,
+     127          65 :   input  [1:0]   io_update_bits_ftb_entry_brSlots_0_tarStat,
+     128          35 :   input          io_update_bits_ftb_entry_brSlots_0_valid,
+     129         137 :   input  [3:0]   io_update_bits_ftb_entry_tailSlot_offset,
+     130         552 :   input  [19:0]  io_update_bits_ftb_entry_tailSlot_lower,
+     131          63 :   input  [1:0]   io_update_bits_ftb_entry_tailSlot_tarStat,
+     132          33 :   input          io_update_bits_ftb_entry_tailSlot_sharing,
+     133          28 :   input          io_update_bits_ftb_entry_tailSlot_valid,
+     134         152 :   input  [3:0]   io_update_bits_ftb_entry_pftAddr,
+     135          34 :   input          io_update_bits_ftb_entry_carry,
+     136          32 :   input          io_update_bits_ftb_entry_always_taken_0,
+     137          33 :   input          io_update_bits_ftb_entry_always_taken_1,
+     138          24 :   input          io_update_bits_br_taken_mask_0,
+     139          40 :   input          io_update_bits_br_taken_mask_1,
+     140        3277 :   input  [222:0] io_update_bits_meta,
+     141         124 :   output [5:0]   io_perf_0_value,
+     142         208 :   output [5:0]   io_perf_1_value
+     143             : );
+     144             : 
+     145         158 :   reg  [4:0]  resp_meta_pred_way_r_1;
+     146          37 :   reg         resp_meta_hit_r_1;
+     147             :   wire [3:0]  _ways_31_io_resp_brSlots_0_offset;
+     148             :   wire [11:0] _ways_31_io_resp_brSlots_0_lower;
+     149             :   wire [1:0]  _ways_31_io_resp_brSlots_0_tarStat;
+     150             :   wire        _ways_31_io_resp_brSlots_0_valid;
+     151             :   wire [3:0]  _ways_31_io_resp_tailSlot_offset;
+     152             :   wire [19:0] _ways_31_io_resp_tailSlot_lower;
+     153             :   wire [1:0]  _ways_31_io_resp_tailSlot_tarStat;
+     154             :   wire        _ways_31_io_resp_tailSlot_sharing;
+     155             :   wire        _ways_31_io_resp_tailSlot_valid;
+     156             :   wire [3:0]  _ways_31_io_resp_pftAddr;
+     157             :   wire        _ways_31_io_resp_carry;
+     158             :   wire        _ways_31_io_resp_always_taken_0;
+     159             :   wire        _ways_31_io_resp_always_taken_1;
+     160             :   wire        _ways_31_io_resp_hit;
+     161             :   wire        _ways_31_io_update_hit;
+     162             :   wire [3:0]  _ways_30_io_resp_brSlots_0_offset;
+     163             :   wire [11:0] _ways_30_io_resp_brSlots_0_lower;
+     164             :   wire [1:0]  _ways_30_io_resp_brSlots_0_tarStat;
+     165             :   wire        _ways_30_io_resp_brSlots_0_valid;
+     166             :   wire [3:0]  _ways_30_io_resp_tailSlot_offset;
+     167             :   wire [19:0] _ways_30_io_resp_tailSlot_lower;
+     168             :   wire [1:0]  _ways_30_io_resp_tailSlot_tarStat;
+     169             :   wire        _ways_30_io_resp_tailSlot_sharing;
+     170             :   wire        _ways_30_io_resp_tailSlot_valid;
+     171             :   wire [3:0]  _ways_30_io_resp_pftAddr;
+     172             :   wire        _ways_30_io_resp_carry;
+     173             :   wire        _ways_30_io_resp_always_taken_0;
+     174             :   wire        _ways_30_io_resp_always_taken_1;
+     175             :   wire        _ways_30_io_resp_hit;
+     176             :   wire        _ways_30_io_update_hit;
+     177             :   wire [3:0]  _ways_29_io_resp_brSlots_0_offset;
+     178             :   wire [11:0] _ways_29_io_resp_brSlots_0_lower;
+     179             :   wire [1:0]  _ways_29_io_resp_brSlots_0_tarStat;
+     180             :   wire        _ways_29_io_resp_brSlots_0_valid;
+     181             :   wire [3:0]  _ways_29_io_resp_tailSlot_offset;
+     182             :   wire [19:0] _ways_29_io_resp_tailSlot_lower;
+     183             :   wire [1:0]  _ways_29_io_resp_tailSlot_tarStat;
+     184             :   wire        _ways_29_io_resp_tailSlot_sharing;
+     185             :   wire        _ways_29_io_resp_tailSlot_valid;
+     186             :   wire [3:0]  _ways_29_io_resp_pftAddr;
+     187             :   wire        _ways_29_io_resp_carry;
+     188             :   wire        _ways_29_io_resp_always_taken_0;
+     189             :   wire        _ways_29_io_resp_always_taken_1;
+     190             :   wire        _ways_29_io_resp_hit;
+     191             :   wire        _ways_29_io_update_hit;
+     192             :   wire [3:0]  _ways_28_io_resp_brSlots_0_offset;
+     193             :   wire [11:0] _ways_28_io_resp_brSlots_0_lower;
+     194             :   wire [1:0]  _ways_28_io_resp_brSlots_0_tarStat;
+     195             :   wire        _ways_28_io_resp_brSlots_0_valid;
+     196             :   wire [3:0]  _ways_28_io_resp_tailSlot_offset;
+     197             :   wire [19:0] _ways_28_io_resp_tailSlot_lower;
+     198             :   wire [1:0]  _ways_28_io_resp_tailSlot_tarStat;
+     199             :   wire        _ways_28_io_resp_tailSlot_sharing;
+     200             :   wire        _ways_28_io_resp_tailSlot_valid;
+     201             :   wire [3:0]  _ways_28_io_resp_pftAddr;
+     202             :   wire        _ways_28_io_resp_carry;
+     203             :   wire        _ways_28_io_resp_always_taken_0;
+     204             :   wire        _ways_28_io_resp_always_taken_1;
+     205             :   wire        _ways_28_io_resp_hit;
+     206             :   wire        _ways_28_io_update_hit;
+     207             :   wire [3:0]  _ways_27_io_resp_brSlots_0_offset;
+     208             :   wire [11:0] _ways_27_io_resp_brSlots_0_lower;
+     209             :   wire [1:0]  _ways_27_io_resp_brSlots_0_tarStat;
+     210             :   wire        _ways_27_io_resp_brSlots_0_valid;
+     211             :   wire [3:0]  _ways_27_io_resp_tailSlot_offset;
+     212             :   wire [19:0] _ways_27_io_resp_tailSlot_lower;
+     213             :   wire [1:0]  _ways_27_io_resp_tailSlot_tarStat;
+     214             :   wire        _ways_27_io_resp_tailSlot_sharing;
+     215             :   wire        _ways_27_io_resp_tailSlot_valid;
+     216             :   wire [3:0]  _ways_27_io_resp_pftAddr;
+     217             :   wire        _ways_27_io_resp_carry;
+     218             :   wire        _ways_27_io_resp_always_taken_0;
+     219             :   wire        _ways_27_io_resp_always_taken_1;
+     220             :   wire        _ways_27_io_resp_hit;
+     221             :   wire        _ways_27_io_update_hit;
+     222             :   wire [3:0]  _ways_26_io_resp_brSlots_0_offset;
+     223             :   wire [11:0] _ways_26_io_resp_brSlots_0_lower;
+     224             :   wire [1:0]  _ways_26_io_resp_brSlots_0_tarStat;
+     225             :   wire        _ways_26_io_resp_brSlots_0_valid;
+     226             :   wire [3:0]  _ways_26_io_resp_tailSlot_offset;
+     227             :   wire [19:0] _ways_26_io_resp_tailSlot_lower;
+     228             :   wire [1:0]  _ways_26_io_resp_tailSlot_tarStat;
+     229             :   wire        _ways_26_io_resp_tailSlot_sharing;
+     230             :   wire        _ways_26_io_resp_tailSlot_valid;
+     231             :   wire [3:0]  _ways_26_io_resp_pftAddr;
+     232             :   wire        _ways_26_io_resp_carry;
+     233             :   wire        _ways_26_io_resp_always_taken_0;
+     234             :   wire        _ways_26_io_resp_always_taken_1;
+     235             :   wire        _ways_26_io_resp_hit;
+     236             :   wire        _ways_26_io_update_hit;
+     237             :   wire [3:0]  _ways_25_io_resp_brSlots_0_offset;
+     238             :   wire [11:0] _ways_25_io_resp_brSlots_0_lower;
+     239             :   wire [1:0]  _ways_25_io_resp_brSlots_0_tarStat;
+     240             :   wire        _ways_25_io_resp_brSlots_0_valid;
+     241             :   wire [3:0]  _ways_25_io_resp_tailSlot_offset;
+     242             :   wire [19:0] _ways_25_io_resp_tailSlot_lower;
+     243             :   wire [1:0]  _ways_25_io_resp_tailSlot_tarStat;
+     244             :   wire        _ways_25_io_resp_tailSlot_sharing;
+     245             :   wire        _ways_25_io_resp_tailSlot_valid;
+     246             :   wire [3:0]  _ways_25_io_resp_pftAddr;
+     247             :   wire        _ways_25_io_resp_carry;
+     248             :   wire        _ways_25_io_resp_always_taken_0;
+     249             :   wire        _ways_25_io_resp_always_taken_1;
+     250             :   wire        _ways_25_io_resp_hit;
+     251             :   wire        _ways_25_io_update_hit;
+     252             :   wire [3:0]  _ways_24_io_resp_brSlots_0_offset;
+     253             :   wire [11:0] _ways_24_io_resp_brSlots_0_lower;
+     254             :   wire [1:0]  _ways_24_io_resp_brSlots_0_tarStat;
+     255             :   wire        _ways_24_io_resp_brSlots_0_valid;
+     256             :   wire [3:0]  _ways_24_io_resp_tailSlot_offset;
+     257             :   wire [19:0] _ways_24_io_resp_tailSlot_lower;
+     258             :   wire [1:0]  _ways_24_io_resp_tailSlot_tarStat;
+     259             :   wire        _ways_24_io_resp_tailSlot_sharing;
+     260             :   wire        _ways_24_io_resp_tailSlot_valid;
+     261             :   wire [3:0]  _ways_24_io_resp_pftAddr;
+     262             :   wire        _ways_24_io_resp_carry;
+     263             :   wire        _ways_24_io_resp_always_taken_0;
+     264             :   wire        _ways_24_io_resp_always_taken_1;
+     265             :   wire        _ways_24_io_resp_hit;
+     266             :   wire        _ways_24_io_update_hit;
+     267             :   wire [3:0]  _ways_23_io_resp_brSlots_0_offset;
+     268             :   wire [11:0] _ways_23_io_resp_brSlots_0_lower;
+     269             :   wire [1:0]  _ways_23_io_resp_brSlots_0_tarStat;
+     270             :   wire        _ways_23_io_resp_brSlots_0_valid;
+     271             :   wire [3:0]  _ways_23_io_resp_tailSlot_offset;
+     272             :   wire [19:0] _ways_23_io_resp_tailSlot_lower;
+     273             :   wire [1:0]  _ways_23_io_resp_tailSlot_tarStat;
+     274             :   wire        _ways_23_io_resp_tailSlot_sharing;
+     275             :   wire        _ways_23_io_resp_tailSlot_valid;
+     276             :   wire [3:0]  _ways_23_io_resp_pftAddr;
+     277             :   wire        _ways_23_io_resp_carry;
+     278             :   wire        _ways_23_io_resp_always_taken_0;
+     279             :   wire        _ways_23_io_resp_always_taken_1;
+     280             :   wire        _ways_23_io_resp_hit;
+     281             :   wire        _ways_23_io_update_hit;
+     282             :   wire [3:0]  _ways_22_io_resp_brSlots_0_offset;
+     283             :   wire [11:0] _ways_22_io_resp_brSlots_0_lower;
+     284             :   wire [1:0]  _ways_22_io_resp_brSlots_0_tarStat;
+     285             :   wire        _ways_22_io_resp_brSlots_0_valid;
+     286             :   wire [3:0]  _ways_22_io_resp_tailSlot_offset;
+     287             :   wire [19:0] _ways_22_io_resp_tailSlot_lower;
+     288             :   wire [1:0]  _ways_22_io_resp_tailSlot_tarStat;
+     289             :   wire        _ways_22_io_resp_tailSlot_sharing;
+     290             :   wire        _ways_22_io_resp_tailSlot_valid;
+     291             :   wire [3:0]  _ways_22_io_resp_pftAddr;
+     292             :   wire        _ways_22_io_resp_carry;
+     293             :   wire        _ways_22_io_resp_always_taken_0;
+     294             :   wire        _ways_22_io_resp_always_taken_1;
+     295             :   wire        _ways_22_io_resp_hit;
+     296             :   wire        _ways_22_io_update_hit;
+     297             :   wire [3:0]  _ways_21_io_resp_brSlots_0_offset;
+     298             :   wire [11:0] _ways_21_io_resp_brSlots_0_lower;
+     299             :   wire [1:0]  _ways_21_io_resp_brSlots_0_tarStat;
+     300             :   wire        _ways_21_io_resp_brSlots_0_valid;
+     301             :   wire [3:0]  _ways_21_io_resp_tailSlot_offset;
+     302             :   wire [19:0] _ways_21_io_resp_tailSlot_lower;
+     303             :   wire [1:0]  _ways_21_io_resp_tailSlot_tarStat;
+     304             :   wire        _ways_21_io_resp_tailSlot_sharing;
+     305             :   wire        _ways_21_io_resp_tailSlot_valid;
+     306             :   wire [3:0]  _ways_21_io_resp_pftAddr;
+     307             :   wire        _ways_21_io_resp_carry;
+     308             :   wire        _ways_21_io_resp_always_taken_0;
+     309             :   wire        _ways_21_io_resp_always_taken_1;
+     310             :   wire        _ways_21_io_resp_hit;
+     311             :   wire        _ways_21_io_update_hit;
+     312             :   wire [3:0]  _ways_20_io_resp_brSlots_0_offset;
+     313             :   wire [11:0] _ways_20_io_resp_brSlots_0_lower;
+     314             :   wire [1:0]  _ways_20_io_resp_brSlots_0_tarStat;
+     315             :   wire        _ways_20_io_resp_brSlots_0_valid;
+     316             :   wire [3:0]  _ways_20_io_resp_tailSlot_offset;
+     317             :   wire [19:0] _ways_20_io_resp_tailSlot_lower;
+     318             :   wire [1:0]  _ways_20_io_resp_tailSlot_tarStat;
+     319             :   wire        _ways_20_io_resp_tailSlot_sharing;
+     320             :   wire        _ways_20_io_resp_tailSlot_valid;
+     321             :   wire [3:0]  _ways_20_io_resp_pftAddr;
+     322             :   wire        _ways_20_io_resp_carry;
+     323             :   wire        _ways_20_io_resp_always_taken_0;
+     324             :   wire        _ways_20_io_resp_always_taken_1;
+     325             :   wire        _ways_20_io_resp_hit;
+     326             :   wire        _ways_20_io_update_hit;
+     327             :   wire [3:0]  _ways_19_io_resp_brSlots_0_offset;
+     328             :   wire [11:0] _ways_19_io_resp_brSlots_0_lower;
+     329             :   wire [1:0]  _ways_19_io_resp_brSlots_0_tarStat;
+     330             :   wire        _ways_19_io_resp_brSlots_0_valid;
+     331             :   wire [3:0]  _ways_19_io_resp_tailSlot_offset;
+     332             :   wire [19:0] _ways_19_io_resp_tailSlot_lower;
+     333             :   wire [1:0]  _ways_19_io_resp_tailSlot_tarStat;
+     334             :   wire        _ways_19_io_resp_tailSlot_sharing;
+     335             :   wire        _ways_19_io_resp_tailSlot_valid;
+     336             :   wire [3:0]  _ways_19_io_resp_pftAddr;
+     337             :   wire        _ways_19_io_resp_carry;
+     338             :   wire        _ways_19_io_resp_always_taken_0;
+     339             :   wire        _ways_19_io_resp_always_taken_1;
+     340             :   wire        _ways_19_io_resp_hit;
+     341             :   wire        _ways_19_io_update_hit;
+     342             :   wire [3:0]  _ways_18_io_resp_brSlots_0_offset;
+     343             :   wire [11:0] _ways_18_io_resp_brSlots_0_lower;
+     344             :   wire [1:0]  _ways_18_io_resp_brSlots_0_tarStat;
+     345             :   wire        _ways_18_io_resp_brSlots_0_valid;
+     346             :   wire [3:0]  _ways_18_io_resp_tailSlot_offset;
+     347             :   wire [19:0] _ways_18_io_resp_tailSlot_lower;
+     348             :   wire [1:0]  _ways_18_io_resp_tailSlot_tarStat;
+     349             :   wire        _ways_18_io_resp_tailSlot_sharing;
+     350             :   wire        _ways_18_io_resp_tailSlot_valid;
+     351             :   wire [3:0]  _ways_18_io_resp_pftAddr;
+     352             :   wire        _ways_18_io_resp_carry;
+     353             :   wire        _ways_18_io_resp_always_taken_0;
+     354             :   wire        _ways_18_io_resp_always_taken_1;
+     355             :   wire        _ways_18_io_resp_hit;
+     356             :   wire        _ways_18_io_update_hit;
+     357             :   wire [3:0]  _ways_17_io_resp_brSlots_0_offset;
+     358             :   wire [11:0] _ways_17_io_resp_brSlots_0_lower;
+     359             :   wire [1:0]  _ways_17_io_resp_brSlots_0_tarStat;
+     360             :   wire        _ways_17_io_resp_brSlots_0_valid;
+     361             :   wire [3:0]  _ways_17_io_resp_tailSlot_offset;
+     362             :   wire [19:0] _ways_17_io_resp_tailSlot_lower;
+     363             :   wire [1:0]  _ways_17_io_resp_tailSlot_tarStat;
+     364             :   wire        _ways_17_io_resp_tailSlot_sharing;
+     365             :   wire        _ways_17_io_resp_tailSlot_valid;
+     366             :   wire [3:0]  _ways_17_io_resp_pftAddr;
+     367             :   wire        _ways_17_io_resp_carry;
+     368             :   wire        _ways_17_io_resp_always_taken_0;
+     369             :   wire        _ways_17_io_resp_always_taken_1;
+     370             :   wire        _ways_17_io_resp_hit;
+     371             :   wire        _ways_17_io_update_hit;
+     372             :   wire [3:0]  _ways_16_io_resp_brSlots_0_offset;
+     373             :   wire [11:0] _ways_16_io_resp_brSlots_0_lower;
+     374             :   wire [1:0]  _ways_16_io_resp_brSlots_0_tarStat;
+     375             :   wire        _ways_16_io_resp_brSlots_0_valid;
+     376             :   wire [3:0]  _ways_16_io_resp_tailSlot_offset;
+     377             :   wire [19:0] _ways_16_io_resp_tailSlot_lower;
+     378             :   wire [1:0]  _ways_16_io_resp_tailSlot_tarStat;
+     379             :   wire        _ways_16_io_resp_tailSlot_sharing;
+     380             :   wire        _ways_16_io_resp_tailSlot_valid;
+     381             :   wire [3:0]  _ways_16_io_resp_pftAddr;
+     382             :   wire        _ways_16_io_resp_carry;
+     383             :   wire        _ways_16_io_resp_always_taken_0;
+     384             :   wire        _ways_16_io_resp_always_taken_1;
+     385             :   wire        _ways_16_io_resp_hit;
+     386             :   wire        _ways_16_io_update_hit;
+     387             :   wire [3:0]  _ways_15_io_resp_brSlots_0_offset;
+     388             :   wire [11:0] _ways_15_io_resp_brSlots_0_lower;
+     389             :   wire [1:0]  _ways_15_io_resp_brSlots_0_tarStat;
+     390             :   wire        _ways_15_io_resp_brSlots_0_valid;
+     391             :   wire [3:0]  _ways_15_io_resp_tailSlot_offset;
+     392             :   wire [19:0] _ways_15_io_resp_tailSlot_lower;
+     393             :   wire [1:0]  _ways_15_io_resp_tailSlot_tarStat;
+     394             :   wire        _ways_15_io_resp_tailSlot_sharing;
+     395             :   wire        _ways_15_io_resp_tailSlot_valid;
+     396             :   wire [3:0]  _ways_15_io_resp_pftAddr;
+     397             :   wire        _ways_15_io_resp_carry;
+     398             :   wire        _ways_15_io_resp_always_taken_0;
+     399             :   wire        _ways_15_io_resp_always_taken_1;
+     400             :   wire        _ways_15_io_resp_hit;
+     401             :   wire        _ways_15_io_update_hit;
+     402             :   wire [3:0]  _ways_14_io_resp_brSlots_0_offset;
+     403             :   wire [11:0] _ways_14_io_resp_brSlots_0_lower;
+     404             :   wire [1:0]  _ways_14_io_resp_brSlots_0_tarStat;
+     405             :   wire        _ways_14_io_resp_brSlots_0_valid;
+     406             :   wire [3:0]  _ways_14_io_resp_tailSlot_offset;
+     407             :   wire [19:0] _ways_14_io_resp_tailSlot_lower;
+     408             :   wire [1:0]  _ways_14_io_resp_tailSlot_tarStat;
+     409             :   wire        _ways_14_io_resp_tailSlot_sharing;
+     410             :   wire        _ways_14_io_resp_tailSlot_valid;
+     411             :   wire [3:0]  _ways_14_io_resp_pftAddr;
+     412             :   wire        _ways_14_io_resp_carry;
+     413             :   wire        _ways_14_io_resp_always_taken_0;
+     414             :   wire        _ways_14_io_resp_always_taken_1;
+     415             :   wire        _ways_14_io_resp_hit;
+     416             :   wire        _ways_14_io_update_hit;
+     417             :   wire [3:0]  _ways_13_io_resp_brSlots_0_offset;
+     418             :   wire [11:0] _ways_13_io_resp_brSlots_0_lower;
+     419             :   wire [1:0]  _ways_13_io_resp_brSlots_0_tarStat;
+     420             :   wire        _ways_13_io_resp_brSlots_0_valid;
+     421             :   wire [3:0]  _ways_13_io_resp_tailSlot_offset;
+     422             :   wire [19:0] _ways_13_io_resp_tailSlot_lower;
+     423             :   wire [1:0]  _ways_13_io_resp_tailSlot_tarStat;
+     424             :   wire        _ways_13_io_resp_tailSlot_sharing;
+     425             :   wire        _ways_13_io_resp_tailSlot_valid;
+     426             :   wire [3:0]  _ways_13_io_resp_pftAddr;
+     427             :   wire        _ways_13_io_resp_carry;
+     428             :   wire        _ways_13_io_resp_always_taken_0;
+     429             :   wire        _ways_13_io_resp_always_taken_1;
+     430             :   wire        _ways_13_io_resp_hit;
+     431             :   wire        _ways_13_io_update_hit;
+     432             :   wire [3:0]  _ways_12_io_resp_brSlots_0_offset;
+     433             :   wire [11:0] _ways_12_io_resp_brSlots_0_lower;
+     434             :   wire [1:0]  _ways_12_io_resp_brSlots_0_tarStat;
+     435             :   wire        _ways_12_io_resp_brSlots_0_valid;
+     436             :   wire [3:0]  _ways_12_io_resp_tailSlot_offset;
+     437             :   wire [19:0] _ways_12_io_resp_tailSlot_lower;
+     438             :   wire [1:0]  _ways_12_io_resp_tailSlot_tarStat;
+     439             :   wire        _ways_12_io_resp_tailSlot_sharing;
+     440             :   wire        _ways_12_io_resp_tailSlot_valid;
+     441             :   wire [3:0]  _ways_12_io_resp_pftAddr;
+     442             :   wire        _ways_12_io_resp_carry;
+     443             :   wire        _ways_12_io_resp_always_taken_0;
+     444             :   wire        _ways_12_io_resp_always_taken_1;
+     445             :   wire        _ways_12_io_resp_hit;
+     446             :   wire        _ways_12_io_update_hit;
+     447             :   wire [3:0]  _ways_11_io_resp_brSlots_0_offset;
+     448             :   wire [11:0] _ways_11_io_resp_brSlots_0_lower;
+     449             :   wire [1:0]  _ways_11_io_resp_brSlots_0_tarStat;
+     450             :   wire        _ways_11_io_resp_brSlots_0_valid;
+     451             :   wire [3:0]  _ways_11_io_resp_tailSlot_offset;
+     452             :   wire [19:0] _ways_11_io_resp_tailSlot_lower;
+     453             :   wire [1:0]  _ways_11_io_resp_tailSlot_tarStat;
+     454             :   wire        _ways_11_io_resp_tailSlot_sharing;
+     455             :   wire        _ways_11_io_resp_tailSlot_valid;
+     456             :   wire [3:0]  _ways_11_io_resp_pftAddr;
+     457             :   wire        _ways_11_io_resp_carry;
+     458             :   wire        _ways_11_io_resp_always_taken_0;
+     459             :   wire        _ways_11_io_resp_always_taken_1;
+     460             :   wire        _ways_11_io_resp_hit;
+     461             :   wire        _ways_11_io_update_hit;
+     462             :   wire [3:0]  _ways_10_io_resp_brSlots_0_offset;
+     463             :   wire [11:0] _ways_10_io_resp_brSlots_0_lower;
+     464             :   wire [1:0]  _ways_10_io_resp_brSlots_0_tarStat;
+     465             :   wire        _ways_10_io_resp_brSlots_0_valid;
+     466             :   wire [3:0]  _ways_10_io_resp_tailSlot_offset;
+     467             :   wire [19:0] _ways_10_io_resp_tailSlot_lower;
+     468             :   wire [1:0]  _ways_10_io_resp_tailSlot_tarStat;
+     469             :   wire        _ways_10_io_resp_tailSlot_sharing;
+     470             :   wire        _ways_10_io_resp_tailSlot_valid;
+     471             :   wire [3:0]  _ways_10_io_resp_pftAddr;
+     472             :   wire        _ways_10_io_resp_carry;
+     473             :   wire        _ways_10_io_resp_always_taken_0;
+     474             :   wire        _ways_10_io_resp_always_taken_1;
+     475             :   wire        _ways_10_io_resp_hit;
+     476             :   wire        _ways_10_io_update_hit;
+     477             :   wire [3:0]  _ways_9_io_resp_brSlots_0_offset;
+     478             :   wire [11:0] _ways_9_io_resp_brSlots_0_lower;
+     479             :   wire [1:0]  _ways_9_io_resp_brSlots_0_tarStat;
+     480             :   wire        _ways_9_io_resp_brSlots_0_valid;
+     481             :   wire [3:0]  _ways_9_io_resp_tailSlot_offset;
+     482             :   wire [19:0] _ways_9_io_resp_tailSlot_lower;
+     483             :   wire [1:0]  _ways_9_io_resp_tailSlot_tarStat;
+     484             :   wire        _ways_9_io_resp_tailSlot_sharing;
+     485             :   wire        _ways_9_io_resp_tailSlot_valid;
+     486             :   wire [3:0]  _ways_9_io_resp_pftAddr;
+     487             :   wire        _ways_9_io_resp_carry;
+     488             :   wire        _ways_9_io_resp_always_taken_0;
+     489             :   wire        _ways_9_io_resp_always_taken_1;
+     490             :   wire        _ways_9_io_resp_hit;
+     491             :   wire        _ways_9_io_update_hit;
+     492             :   wire [3:0]  _ways_8_io_resp_brSlots_0_offset;
+     493             :   wire [11:0] _ways_8_io_resp_brSlots_0_lower;
+     494             :   wire [1:0]  _ways_8_io_resp_brSlots_0_tarStat;
+     495             :   wire        _ways_8_io_resp_brSlots_0_valid;
+     496             :   wire [3:0]  _ways_8_io_resp_tailSlot_offset;
+     497             :   wire [19:0] _ways_8_io_resp_tailSlot_lower;
+     498             :   wire [1:0]  _ways_8_io_resp_tailSlot_tarStat;
+     499             :   wire        _ways_8_io_resp_tailSlot_sharing;
+     500             :   wire        _ways_8_io_resp_tailSlot_valid;
+     501             :   wire [3:0]  _ways_8_io_resp_pftAddr;
+     502             :   wire        _ways_8_io_resp_carry;
+     503             :   wire        _ways_8_io_resp_always_taken_0;
+     504             :   wire        _ways_8_io_resp_always_taken_1;
+     505             :   wire        _ways_8_io_resp_hit;
+     506             :   wire        _ways_8_io_update_hit;
+     507             :   wire [3:0]  _ways_7_io_resp_brSlots_0_offset;
+     508             :   wire [11:0] _ways_7_io_resp_brSlots_0_lower;
+     509             :   wire [1:0]  _ways_7_io_resp_brSlots_0_tarStat;
+     510             :   wire        _ways_7_io_resp_brSlots_0_valid;
+     511             :   wire [3:0]  _ways_7_io_resp_tailSlot_offset;
+     512             :   wire [19:0] _ways_7_io_resp_tailSlot_lower;
+     513             :   wire [1:0]  _ways_7_io_resp_tailSlot_tarStat;
+     514             :   wire        _ways_7_io_resp_tailSlot_sharing;
+     515             :   wire        _ways_7_io_resp_tailSlot_valid;
+     516             :   wire [3:0]  _ways_7_io_resp_pftAddr;
+     517             :   wire        _ways_7_io_resp_carry;
+     518             :   wire        _ways_7_io_resp_always_taken_0;
+     519             :   wire        _ways_7_io_resp_always_taken_1;
+     520             :   wire        _ways_7_io_resp_hit;
+     521             :   wire        _ways_7_io_update_hit;
+     522             :   wire [3:0]  _ways_6_io_resp_brSlots_0_offset;
+     523             :   wire [11:0] _ways_6_io_resp_brSlots_0_lower;
+     524             :   wire [1:0]  _ways_6_io_resp_brSlots_0_tarStat;
+     525             :   wire        _ways_6_io_resp_brSlots_0_valid;
+     526             :   wire [3:0]  _ways_6_io_resp_tailSlot_offset;
+     527             :   wire [19:0] _ways_6_io_resp_tailSlot_lower;
+     528             :   wire [1:0]  _ways_6_io_resp_tailSlot_tarStat;
+     529             :   wire        _ways_6_io_resp_tailSlot_sharing;
+     530             :   wire        _ways_6_io_resp_tailSlot_valid;
+     531             :   wire [3:0]  _ways_6_io_resp_pftAddr;
+     532             :   wire        _ways_6_io_resp_carry;
+     533             :   wire        _ways_6_io_resp_always_taken_0;
+     534             :   wire        _ways_6_io_resp_always_taken_1;
+     535             :   wire        _ways_6_io_resp_hit;
+     536             :   wire        _ways_6_io_update_hit;
+     537             :   wire [3:0]  _ways_5_io_resp_brSlots_0_offset;
+     538             :   wire [11:0] _ways_5_io_resp_brSlots_0_lower;
+     539             :   wire [1:0]  _ways_5_io_resp_brSlots_0_tarStat;
+     540             :   wire        _ways_5_io_resp_brSlots_0_valid;
+     541             :   wire [3:0]  _ways_5_io_resp_tailSlot_offset;
+     542             :   wire [19:0] _ways_5_io_resp_tailSlot_lower;
+     543             :   wire [1:0]  _ways_5_io_resp_tailSlot_tarStat;
+     544             :   wire        _ways_5_io_resp_tailSlot_sharing;
+     545             :   wire        _ways_5_io_resp_tailSlot_valid;
+     546             :   wire [3:0]  _ways_5_io_resp_pftAddr;
+     547             :   wire        _ways_5_io_resp_carry;
+     548             :   wire        _ways_5_io_resp_always_taken_0;
+     549             :   wire        _ways_5_io_resp_always_taken_1;
+     550             :   wire        _ways_5_io_resp_hit;
+     551             :   wire        _ways_5_io_update_hit;
+     552             :   wire [3:0]  _ways_4_io_resp_brSlots_0_offset;
+     553             :   wire [11:0] _ways_4_io_resp_brSlots_0_lower;
+     554             :   wire [1:0]  _ways_4_io_resp_brSlots_0_tarStat;
+     555             :   wire        _ways_4_io_resp_brSlots_0_valid;
+     556             :   wire [3:0]  _ways_4_io_resp_tailSlot_offset;
+     557             :   wire [19:0] _ways_4_io_resp_tailSlot_lower;
+     558             :   wire [1:0]  _ways_4_io_resp_tailSlot_tarStat;
+     559             :   wire        _ways_4_io_resp_tailSlot_sharing;
+     560             :   wire        _ways_4_io_resp_tailSlot_valid;
+     561             :   wire [3:0]  _ways_4_io_resp_pftAddr;
+     562             :   wire        _ways_4_io_resp_carry;
+     563             :   wire        _ways_4_io_resp_always_taken_0;
+     564             :   wire        _ways_4_io_resp_always_taken_1;
+     565             :   wire        _ways_4_io_resp_hit;
+     566             :   wire        _ways_4_io_update_hit;
+     567             :   wire [3:0]  _ways_3_io_resp_brSlots_0_offset;
+     568             :   wire [11:0] _ways_3_io_resp_brSlots_0_lower;
+     569             :   wire [1:0]  _ways_3_io_resp_brSlots_0_tarStat;
+     570             :   wire        _ways_3_io_resp_brSlots_0_valid;
+     571             :   wire [3:0]  _ways_3_io_resp_tailSlot_offset;
+     572             :   wire [19:0] _ways_3_io_resp_tailSlot_lower;
+     573             :   wire [1:0]  _ways_3_io_resp_tailSlot_tarStat;
+     574             :   wire        _ways_3_io_resp_tailSlot_sharing;
+     575             :   wire        _ways_3_io_resp_tailSlot_valid;
+     576             :   wire [3:0]  _ways_3_io_resp_pftAddr;
+     577             :   wire        _ways_3_io_resp_carry;
+     578             :   wire        _ways_3_io_resp_always_taken_0;
+     579             :   wire        _ways_3_io_resp_always_taken_1;
+     580             :   wire        _ways_3_io_resp_hit;
+     581             :   wire        _ways_3_io_update_hit;
+     582             :   wire [3:0]  _ways_2_io_resp_brSlots_0_offset;
+     583             :   wire [11:0] _ways_2_io_resp_brSlots_0_lower;
+     584             :   wire [1:0]  _ways_2_io_resp_brSlots_0_tarStat;
+     585             :   wire        _ways_2_io_resp_brSlots_0_valid;
+     586             :   wire [3:0]  _ways_2_io_resp_tailSlot_offset;
+     587             :   wire [19:0] _ways_2_io_resp_tailSlot_lower;
+     588             :   wire [1:0]  _ways_2_io_resp_tailSlot_tarStat;
+     589             :   wire        _ways_2_io_resp_tailSlot_sharing;
+     590             :   wire        _ways_2_io_resp_tailSlot_valid;
+     591             :   wire [3:0]  _ways_2_io_resp_pftAddr;
+     592             :   wire        _ways_2_io_resp_carry;
+     593             :   wire        _ways_2_io_resp_always_taken_0;
+     594             :   wire        _ways_2_io_resp_always_taken_1;
+     595             :   wire        _ways_2_io_resp_hit;
+     596             :   wire        _ways_2_io_update_hit;
+     597             :   wire [3:0]  _ways_1_io_resp_brSlots_0_offset;
+     598             :   wire [11:0] _ways_1_io_resp_brSlots_0_lower;
+     599             :   wire [1:0]  _ways_1_io_resp_brSlots_0_tarStat;
+     600             :   wire        _ways_1_io_resp_brSlots_0_valid;
+     601             :   wire [3:0]  _ways_1_io_resp_tailSlot_offset;
+     602             :   wire [19:0] _ways_1_io_resp_tailSlot_lower;
+     603             :   wire [1:0]  _ways_1_io_resp_tailSlot_tarStat;
+     604             :   wire        _ways_1_io_resp_tailSlot_sharing;
+     605             :   wire        _ways_1_io_resp_tailSlot_valid;
+     606             :   wire [3:0]  _ways_1_io_resp_pftAddr;
+     607             :   wire        _ways_1_io_resp_carry;
+     608             :   wire        _ways_1_io_resp_always_taken_0;
+     609             :   wire        _ways_1_io_resp_always_taken_1;
+     610             :   wire        _ways_1_io_resp_hit;
+     611             :   wire        _ways_1_io_update_hit;
+     612             :   wire [3:0]  _ways_0_io_resp_brSlots_0_offset;
+     613             :   wire [11:0] _ways_0_io_resp_brSlots_0_lower;
+     614             :   wire [1:0]  _ways_0_io_resp_brSlots_0_tarStat;
+     615             :   wire        _ways_0_io_resp_brSlots_0_valid;
+     616             :   wire [3:0]  _ways_0_io_resp_tailSlot_offset;
+     617             :   wire [19:0] _ways_0_io_resp_tailSlot_lower;
+     618             :   wire [1:0]  _ways_0_io_resp_tailSlot_tarStat;
+     619             :   wire        _ways_0_io_resp_tailSlot_sharing;
+     620             :   wire        _ways_0_io_resp_tailSlot_valid;
+     621             :   wire [3:0]  _ways_0_io_resp_pftAddr;
+     622             :   wire        _ways_0_io_resp_carry;
+     623             :   wire        _ways_0_io_resp_always_taken_0;
+     624             :   wire        _ways_0_io_resp_always_taken_1;
+     625             :   wire        _ways_0_io_resp_hit;
+     626             :   wire        _ways_0_io_update_hit;
+     627             :   wire [35:0] _reset_vector_delay_io_out;
+     628        9878 :   reg  [40:0] s1_pc_dup_0;
+     629        9918 :   reg  [40:0] s1_pc_dup_1;
+     630        9857 :   reg  [40:0] s1_pc_dup_2;
+     631        9933 :   reg  [40:0] s1_pc_dup_3;
+     632          77 :   reg         REG;
+     633          98 :   reg         REG_1;
+     634          50 :   reg  [1:0]  ctrs_0_0;
+     635          47 :   reg  [1:0]  ctrs_0_1;
+     636          44 :   reg  [1:0]  ctrs_1_0;
+     637          48 :   reg  [1:0]  ctrs_1_1;
+     638          43 :   reg  [1:0]  ctrs_2_0;
+     639          40 :   reg  [1:0]  ctrs_2_1;
+     640          43 :   reg  [1:0]  ctrs_3_0;
+     641          53 :   reg  [1:0]  ctrs_3_1;
+     642          48 :   reg  [1:0]  ctrs_4_0;
+     643          42 :   reg  [1:0]  ctrs_4_1;
+     644          57 :   reg  [1:0]  ctrs_5_0;
+     645          45 :   reg  [1:0]  ctrs_5_1;
+     646          47 :   reg  [1:0]  ctrs_6_0;
+     647          37 :   reg  [1:0]  ctrs_6_1;
+     648          51 :   reg  [1:0]  ctrs_7_0;
+     649          38 :   reg  [1:0]  ctrs_7_1;
+     650          52 :   reg  [1:0]  ctrs_8_0;
+     651          41 :   reg  [1:0]  ctrs_8_1;
+     652          47 :   reg  [1:0]  ctrs_9_0;
+     653          47 :   reg  [1:0]  ctrs_9_1;
+     654          60 :   reg  [1:0]  ctrs_10_0;
+     655          40 :   reg  [1:0]  ctrs_10_1;
+     656          41 :   reg  [1:0]  ctrs_11_0;
+     657          42 :   reg  [1:0]  ctrs_11_1;
+     658          57 :   reg  [1:0]  ctrs_12_0;
+     659          46 :   reg  [1:0]  ctrs_12_1;
+     660          47 :   reg  [1:0]  ctrs_13_0;
+     661          51 :   reg  [1:0]  ctrs_13_1;
+     662          51 :   reg  [1:0]  ctrs_14_0;
+     663          49 :   reg  [1:0]  ctrs_14_1;
+     664          38 :   reg  [1:0]  ctrs_15_0;
+     665          42 :   reg  [1:0]  ctrs_15_1;
+     666          51 :   reg  [1:0]  ctrs_16_0;
+     667          39 :   reg  [1:0]  ctrs_16_1;
+     668          42 :   reg  [1:0]  ctrs_17_0;
+     669          42 :   reg  [1:0]  ctrs_17_1;
+     670          42 :   reg  [1:0]  ctrs_18_0;
+     671          54 :   reg  [1:0]  ctrs_18_1;
+     672          53 :   reg  [1:0]  ctrs_19_0;
+     673          55 :   reg  [1:0]  ctrs_19_1;
+     674          44 :   reg  [1:0]  ctrs_20_0;
+     675          42 :   reg  [1:0]  ctrs_20_1;
+     676          45 :   reg  [1:0]  ctrs_21_0;
+     677          52 :   reg  [1:0]  ctrs_21_1;
+     678          50 :   reg  [1:0]  ctrs_22_0;
+     679          50 :   reg  [1:0]  ctrs_22_1;
+     680          49 :   reg  [1:0]  ctrs_23_0;
+     681          47 :   reg  [1:0]  ctrs_23_1;
+     682          44 :   reg  [1:0]  ctrs_24_0;
+     683          43 :   reg  [1:0]  ctrs_24_1;
+     684          56 :   reg  [1:0]  ctrs_25_0;
+     685          46 :   reg  [1:0]  ctrs_25_1;
+     686          45 :   reg  [1:0]  ctrs_26_0;
+     687          45 :   reg  [1:0]  ctrs_26_1;
+     688          42 :   reg  [1:0]  ctrs_27_0;
+     689          41 :   reg  [1:0]  ctrs_27_1;
+     690          37 :   reg  [1:0]  ctrs_28_0;
+     691          49 :   reg  [1:0]  ctrs_28_1;
+     692          42 :   reg  [1:0]  ctrs_29_0;
+     693          49 :   reg  [1:0]  ctrs_29_1;
+     694          57 :   reg  [1:0]  ctrs_30_0;
+     695          52 :   reg  [1:0]  ctrs_30_1;
+     696          43 :   reg  [1:0]  ctrs_31_0;
+     697          46 :   reg  [1:0]  ctrs_31_1;
+     698         784 :   reg  [30:0] state_reg;
+     699         480 :   wire [31:0] s1_hit_oh =
+     700             :     {_ways_31_io_resp_hit,
+     701             :      _ways_30_io_resp_hit,
+     702             :      _ways_29_io_resp_hit,
+     703             :      _ways_28_io_resp_hit,
+     704             :      _ways_27_io_resp_hit,
+     705             :      _ways_26_io_resp_hit,
+     706             :      _ways_25_io_resp_hit,
+     707             :      _ways_24_io_resp_hit,
+     708             :      _ways_23_io_resp_hit,
+     709             :      _ways_22_io_resp_hit,
+     710             :      _ways_21_io_resp_hit,
+     711             :      _ways_20_io_resp_hit,
+     712             :      _ways_19_io_resp_hit,
+     713             :      _ways_18_io_resp_hit,
+     714             :      _ways_17_io_resp_hit,
+     715             :      _ways_16_io_resp_hit,
+     716             :      _ways_15_io_resp_hit,
+     717             :      _ways_14_io_resp_hit,
+     718             :      _ways_13_io_resp_hit,
+     719             :      _ways_12_io_resp_hit,
+     720             :      _ways_11_io_resp_hit,
+     721             :      _ways_10_io_resp_hit,
+     722             :      _ways_9_io_resp_hit,
+     723             :      _ways_8_io_resp_hit,
+     724             :      _ways_7_io_resp_hit,
+     725             :      _ways_6_io_resp_hit,
+     726             :      _ways_5_io_resp_hit,
+     727             :      _ways_4_io_resp_hit,
+     728             :      _ways_3_io_resp_hit,
+     729             :      _ways_2_io_resp_hit,
+     730             :      _ways_1_io_resp_hit,
+     731             :      _ways_0_io_resp_hit};
+     732             :   wire        _target_T_18 = _ways_0_io_resp_tailSlot_tarStat == 2'h1;
+     733             :   wire        _target_T_19 = _ways_0_io_resp_tailSlot_tarStat == 2'h2;
+     734             :   wire        _target_T_20 = _ways_0_io_resp_tailSlot_tarStat == 2'h0;
+     735          26 :   wire        s1_possible_full_preds_0_fallThroughErr =
+     736             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_0_io_resp_carry, _ways_0_io_resp_pftAddr};
+     737             :   wire [40:0] _s1_possible_full_preds_31_fallThroughAddr_T = 41'(s1_pc_dup_0 + 41'h20);
+     738             :   wire        _target_T_45 = _ways_1_io_resp_tailSlot_tarStat == 2'h1;
+     739             :   wire        _target_T_46 = _ways_1_io_resp_tailSlot_tarStat == 2'h2;
+     740             :   wire        _target_T_47 = _ways_1_io_resp_tailSlot_tarStat == 2'h0;
+     741          23 :   wire        s1_possible_full_preds_1_fallThroughErr =
+     742             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_1_io_resp_carry, _ways_1_io_resp_pftAddr};
+     743             :   wire        _target_T_72 = _ways_2_io_resp_tailSlot_tarStat == 2'h1;
+     744             :   wire        _target_T_73 = _ways_2_io_resp_tailSlot_tarStat == 2'h2;
+     745             :   wire        _target_T_74 = _ways_2_io_resp_tailSlot_tarStat == 2'h0;
+     746          29 :   wire        s1_possible_full_preds_2_fallThroughErr =
+     747             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_2_io_resp_carry, _ways_2_io_resp_pftAddr};
+     748             :   wire        _target_T_99 = _ways_3_io_resp_tailSlot_tarStat == 2'h1;
+     749             :   wire        _target_T_100 = _ways_3_io_resp_tailSlot_tarStat == 2'h2;
+     750             :   wire        _target_T_101 = _ways_3_io_resp_tailSlot_tarStat == 2'h0;
+     751          26 :   wire        s1_possible_full_preds_3_fallThroughErr =
+     752             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_3_io_resp_carry, _ways_3_io_resp_pftAddr};
+     753             :   wire        _target_T_126 = _ways_4_io_resp_tailSlot_tarStat == 2'h1;
+     754             :   wire        _target_T_127 = _ways_4_io_resp_tailSlot_tarStat == 2'h2;
+     755             :   wire        _target_T_128 = _ways_4_io_resp_tailSlot_tarStat == 2'h0;
+     756          26 :   wire        s1_possible_full_preds_4_fallThroughErr =
+     757             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_4_io_resp_carry, _ways_4_io_resp_pftAddr};
+     758             :   wire        _target_T_153 = _ways_5_io_resp_tailSlot_tarStat == 2'h1;
+     759             :   wire        _target_T_154 = _ways_5_io_resp_tailSlot_tarStat == 2'h2;
+     760             :   wire        _target_T_155 = _ways_5_io_resp_tailSlot_tarStat == 2'h0;
+     761          31 :   wire        s1_possible_full_preds_5_fallThroughErr =
+     762             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_5_io_resp_carry, _ways_5_io_resp_pftAddr};
+     763             :   wire        _target_T_180 = _ways_6_io_resp_tailSlot_tarStat == 2'h1;
+     764             :   wire        _target_T_181 = _ways_6_io_resp_tailSlot_tarStat == 2'h2;
+     765             :   wire        _target_T_182 = _ways_6_io_resp_tailSlot_tarStat == 2'h0;
+     766          34 :   wire        s1_possible_full_preds_6_fallThroughErr =
+     767             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_6_io_resp_carry, _ways_6_io_resp_pftAddr};
+     768             :   wire        _target_T_207 = _ways_7_io_resp_tailSlot_tarStat == 2'h1;
+     769             :   wire        _target_T_208 = _ways_7_io_resp_tailSlot_tarStat == 2'h2;
+     770             :   wire        _target_T_209 = _ways_7_io_resp_tailSlot_tarStat == 2'h0;
+     771          23 :   wire        s1_possible_full_preds_7_fallThroughErr =
+     772             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_7_io_resp_carry, _ways_7_io_resp_pftAddr};
+     773             :   wire        _target_T_234 = _ways_8_io_resp_tailSlot_tarStat == 2'h1;
+     774             :   wire        _target_T_235 = _ways_8_io_resp_tailSlot_tarStat == 2'h2;
+     775             :   wire        _target_T_236 = _ways_8_io_resp_tailSlot_tarStat == 2'h0;
+     776          25 :   wire        s1_possible_full_preds_8_fallThroughErr =
+     777             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_8_io_resp_carry, _ways_8_io_resp_pftAddr};
+     778             :   wire        _target_T_261 = _ways_9_io_resp_tailSlot_tarStat == 2'h1;
+     779             :   wire        _target_T_262 = _ways_9_io_resp_tailSlot_tarStat == 2'h2;
+     780             :   wire        _target_T_263 = _ways_9_io_resp_tailSlot_tarStat == 2'h0;
+     781          22 :   wire        s1_possible_full_preds_9_fallThroughErr =
+     782             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_9_io_resp_carry, _ways_9_io_resp_pftAddr};
+     783             :   wire        _target_T_288 = _ways_10_io_resp_tailSlot_tarStat == 2'h1;
+     784             :   wire        _target_T_289 = _ways_10_io_resp_tailSlot_tarStat == 2'h2;
+     785             :   wire        _target_T_290 = _ways_10_io_resp_tailSlot_tarStat == 2'h0;
+     786          24 :   wire        s1_possible_full_preds_10_fallThroughErr =
+     787             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_10_io_resp_carry, _ways_10_io_resp_pftAddr};
+     788             :   wire        _target_T_315 = _ways_11_io_resp_tailSlot_tarStat == 2'h1;
+     789             :   wire        _target_T_316 = _ways_11_io_resp_tailSlot_tarStat == 2'h2;
+     790             :   wire        _target_T_317 = _ways_11_io_resp_tailSlot_tarStat == 2'h0;
+     791          18 :   wire        s1_possible_full_preds_11_fallThroughErr =
+     792             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_11_io_resp_carry, _ways_11_io_resp_pftAddr};
+     793             :   wire        _target_T_342 = _ways_12_io_resp_tailSlot_tarStat == 2'h1;
+     794             :   wire        _target_T_343 = _ways_12_io_resp_tailSlot_tarStat == 2'h2;
+     795             :   wire        _target_T_344 = _ways_12_io_resp_tailSlot_tarStat == 2'h0;
+     796          25 :   wire        s1_possible_full_preds_12_fallThroughErr =
+     797             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_12_io_resp_carry, _ways_12_io_resp_pftAddr};
+     798             :   wire        _target_T_369 = _ways_13_io_resp_tailSlot_tarStat == 2'h1;
+     799             :   wire        _target_T_370 = _ways_13_io_resp_tailSlot_tarStat == 2'h2;
+     800             :   wire        _target_T_371 = _ways_13_io_resp_tailSlot_tarStat == 2'h0;
+     801          29 :   wire        s1_possible_full_preds_13_fallThroughErr =
+     802             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_13_io_resp_carry, _ways_13_io_resp_pftAddr};
+     803             :   wire        _target_T_396 = _ways_14_io_resp_tailSlot_tarStat == 2'h1;
+     804             :   wire        _target_T_397 = _ways_14_io_resp_tailSlot_tarStat == 2'h2;
+     805             :   wire        _target_T_398 = _ways_14_io_resp_tailSlot_tarStat == 2'h0;
+     806          25 :   wire        s1_possible_full_preds_14_fallThroughErr =
+     807             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_14_io_resp_carry, _ways_14_io_resp_pftAddr};
+     808             :   wire        _target_T_423 = _ways_15_io_resp_tailSlot_tarStat == 2'h1;
+     809             :   wire        _target_T_424 = _ways_15_io_resp_tailSlot_tarStat == 2'h2;
+     810             :   wire        _target_T_425 = _ways_15_io_resp_tailSlot_tarStat == 2'h0;
+     811          23 :   wire        s1_possible_full_preds_15_fallThroughErr =
+     812             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_15_io_resp_carry, _ways_15_io_resp_pftAddr};
+     813             :   wire        _target_T_450 = _ways_16_io_resp_tailSlot_tarStat == 2'h1;
+     814             :   wire        _target_T_451 = _ways_16_io_resp_tailSlot_tarStat == 2'h2;
+     815             :   wire        _target_T_452 = _ways_16_io_resp_tailSlot_tarStat == 2'h0;
+     816          18 :   wire        s1_possible_full_preds_16_fallThroughErr =
+     817             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_16_io_resp_carry, _ways_16_io_resp_pftAddr};
+     818             :   wire        _target_T_477 = _ways_17_io_resp_tailSlot_tarStat == 2'h1;
+     819             :   wire        _target_T_478 = _ways_17_io_resp_tailSlot_tarStat == 2'h2;
+     820             :   wire        _target_T_479 = _ways_17_io_resp_tailSlot_tarStat == 2'h0;
+     821          22 :   wire        s1_possible_full_preds_17_fallThroughErr =
+     822             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_17_io_resp_carry, _ways_17_io_resp_pftAddr};
+     823             :   wire        _target_T_504 = _ways_18_io_resp_tailSlot_tarStat == 2'h1;
+     824             :   wire        _target_T_505 = _ways_18_io_resp_tailSlot_tarStat == 2'h2;
+     825             :   wire        _target_T_506 = _ways_18_io_resp_tailSlot_tarStat == 2'h0;
+     826          25 :   wire        s1_possible_full_preds_18_fallThroughErr =
+     827             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_18_io_resp_carry, _ways_18_io_resp_pftAddr};
+     828             :   wire        _target_T_531 = _ways_19_io_resp_tailSlot_tarStat == 2'h1;
+     829             :   wire        _target_T_532 = _ways_19_io_resp_tailSlot_tarStat == 2'h2;
+     830             :   wire        _target_T_533 = _ways_19_io_resp_tailSlot_tarStat == 2'h0;
+     831          25 :   wire        s1_possible_full_preds_19_fallThroughErr =
+     832             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_19_io_resp_carry, _ways_19_io_resp_pftAddr};
+     833             :   wire        _target_T_558 = _ways_20_io_resp_tailSlot_tarStat == 2'h1;
+     834             :   wire        _target_T_559 = _ways_20_io_resp_tailSlot_tarStat == 2'h2;
+     835             :   wire        _target_T_560 = _ways_20_io_resp_tailSlot_tarStat == 2'h0;
+     836          21 :   wire        s1_possible_full_preds_20_fallThroughErr =
+     837             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_20_io_resp_carry, _ways_20_io_resp_pftAddr};
+     838             :   wire        _target_T_585 = _ways_21_io_resp_tailSlot_tarStat == 2'h1;
+     839             :   wire        _target_T_586 = _ways_21_io_resp_tailSlot_tarStat == 2'h2;
+     840             :   wire        _target_T_587 = _ways_21_io_resp_tailSlot_tarStat == 2'h0;
+     841          22 :   wire        s1_possible_full_preds_21_fallThroughErr =
+     842             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_21_io_resp_carry, _ways_21_io_resp_pftAddr};
+     843             :   wire        _target_T_612 = _ways_22_io_resp_tailSlot_tarStat == 2'h1;
+     844             :   wire        _target_T_613 = _ways_22_io_resp_tailSlot_tarStat == 2'h2;
+     845             :   wire        _target_T_614 = _ways_22_io_resp_tailSlot_tarStat == 2'h0;
+     846          22 :   wire        s1_possible_full_preds_22_fallThroughErr =
+     847             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_22_io_resp_carry, _ways_22_io_resp_pftAddr};
+     848             :   wire        _target_T_639 = _ways_23_io_resp_tailSlot_tarStat == 2'h1;
+     849             :   wire        _target_T_640 = _ways_23_io_resp_tailSlot_tarStat == 2'h2;
+     850             :   wire        _target_T_641 = _ways_23_io_resp_tailSlot_tarStat == 2'h0;
+     851          29 :   wire        s1_possible_full_preds_23_fallThroughErr =
+     852             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_23_io_resp_carry, _ways_23_io_resp_pftAddr};
+     853             :   wire        _target_T_666 = _ways_24_io_resp_tailSlot_tarStat == 2'h1;
+     854             :   wire        _target_T_667 = _ways_24_io_resp_tailSlot_tarStat == 2'h2;
+     855             :   wire        _target_T_668 = _ways_24_io_resp_tailSlot_tarStat == 2'h0;
+     856          25 :   wire        s1_possible_full_preds_24_fallThroughErr =
+     857             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_24_io_resp_carry, _ways_24_io_resp_pftAddr};
+     858             :   wire        _target_T_693 = _ways_25_io_resp_tailSlot_tarStat == 2'h1;
+     859             :   wire        _target_T_694 = _ways_25_io_resp_tailSlot_tarStat == 2'h2;
+     860             :   wire        _target_T_695 = _ways_25_io_resp_tailSlot_tarStat == 2'h0;
+     861          27 :   wire        s1_possible_full_preds_25_fallThroughErr =
+     862             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_25_io_resp_carry, _ways_25_io_resp_pftAddr};
+     863             :   wire        _target_T_720 = _ways_26_io_resp_tailSlot_tarStat == 2'h1;
+     864             :   wire        _target_T_721 = _ways_26_io_resp_tailSlot_tarStat == 2'h2;
+     865             :   wire        _target_T_722 = _ways_26_io_resp_tailSlot_tarStat == 2'h0;
+     866          26 :   wire        s1_possible_full_preds_26_fallThroughErr =
+     867             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_26_io_resp_carry, _ways_26_io_resp_pftAddr};
+     868             :   wire        _target_T_747 = _ways_27_io_resp_tailSlot_tarStat == 2'h1;
+     869             :   wire        _target_T_748 = _ways_27_io_resp_tailSlot_tarStat == 2'h2;
+     870             :   wire        _target_T_749 = _ways_27_io_resp_tailSlot_tarStat == 2'h0;
+     871          28 :   wire        s1_possible_full_preds_27_fallThroughErr =
+     872             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_27_io_resp_carry, _ways_27_io_resp_pftAddr};
+     873             :   wire        _target_T_774 = _ways_28_io_resp_tailSlot_tarStat == 2'h1;
+     874             :   wire        _target_T_775 = _ways_28_io_resp_tailSlot_tarStat == 2'h2;
+     875             :   wire        _target_T_776 = _ways_28_io_resp_tailSlot_tarStat == 2'h0;
+     876          21 :   wire        s1_possible_full_preds_28_fallThroughErr =
+     877             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_28_io_resp_carry, _ways_28_io_resp_pftAddr};
+     878             :   wire        _target_T_801 = _ways_29_io_resp_tailSlot_tarStat == 2'h1;
+     879             :   wire        _target_T_802 = _ways_29_io_resp_tailSlot_tarStat == 2'h2;
+     880             :   wire        _target_T_803 = _ways_29_io_resp_tailSlot_tarStat == 2'h0;
+     881          17 :   wire        s1_possible_full_preds_29_fallThroughErr =
+     882             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_29_io_resp_carry, _ways_29_io_resp_pftAddr};
+     883             :   wire        _target_T_828 = _ways_30_io_resp_tailSlot_tarStat == 2'h1;
+     884             :   wire        _target_T_829 = _ways_30_io_resp_tailSlot_tarStat == 2'h2;
+     885             :   wire        _target_T_830 = _ways_30_io_resp_tailSlot_tarStat == 2'h0;
+     886          26 :   wire        s1_possible_full_preds_30_fallThroughErr =
+     887             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_30_io_resp_carry, _ways_30_io_resp_pftAddr};
+     888             :   wire        _target_T_855 = _ways_31_io_resp_tailSlot_tarStat == 2'h1;
+     889             :   wire        _target_T_856 = _ways_31_io_resp_tailSlot_tarStat == 2'h2;
+     890             :   wire        _target_T_857 = _ways_31_io_resp_tailSlot_tarStat == 2'h0;
+     891          31 :   wire        s1_possible_full_preds_31_fallThroughErr =
+     892             :     {1'h0, s1_pc_dup_0[4:1]} >= {_ways_31_io_resp_carry, _ways_31_io_resp_pftAddr};
+     893             :   wire        _s1_hit_full_pred_T_157 =
+     894             :     _ways_0_io_resp_hit & _ways_0_io_resp_tailSlot_valid
+     895             :     & _ways_0_io_resp_tailSlot_sharing | _ways_1_io_resp_hit
+     896             :     & _ways_1_io_resp_tailSlot_valid & _ways_1_io_resp_tailSlot_sharing
+     897             :     | _ways_2_io_resp_hit & _ways_2_io_resp_tailSlot_valid
+     898             :     & _ways_2_io_resp_tailSlot_sharing | _ways_3_io_resp_hit
+     899             :     & _ways_3_io_resp_tailSlot_valid & _ways_3_io_resp_tailSlot_sharing
+     900             :     | _ways_4_io_resp_hit & _ways_4_io_resp_tailSlot_valid
+     901             :     & _ways_4_io_resp_tailSlot_sharing | _ways_5_io_resp_hit
+     902             :     & _ways_5_io_resp_tailSlot_valid & _ways_5_io_resp_tailSlot_sharing
+     903             :     | _ways_6_io_resp_hit & _ways_6_io_resp_tailSlot_valid
+     904             :     & _ways_6_io_resp_tailSlot_sharing | _ways_7_io_resp_hit
+     905             :     & _ways_7_io_resp_tailSlot_valid & _ways_7_io_resp_tailSlot_sharing
+     906             :     | _ways_8_io_resp_hit & _ways_8_io_resp_tailSlot_valid
+     907             :     & _ways_8_io_resp_tailSlot_sharing | _ways_9_io_resp_hit
+     908             :     & _ways_9_io_resp_tailSlot_valid & _ways_9_io_resp_tailSlot_sharing
+     909             :     | _ways_10_io_resp_hit & _ways_10_io_resp_tailSlot_valid
+     910             :     & _ways_10_io_resp_tailSlot_sharing | _ways_11_io_resp_hit
+     911             :     & _ways_11_io_resp_tailSlot_valid & _ways_11_io_resp_tailSlot_sharing
+     912             :     | _ways_12_io_resp_hit & _ways_12_io_resp_tailSlot_valid
+     913             :     & _ways_12_io_resp_tailSlot_sharing | _ways_13_io_resp_hit
+     914             :     & _ways_13_io_resp_tailSlot_valid & _ways_13_io_resp_tailSlot_sharing
+     915             :     | _ways_14_io_resp_hit & _ways_14_io_resp_tailSlot_valid
+     916             :     & _ways_14_io_resp_tailSlot_sharing | _ways_15_io_resp_hit
+     917             :     & _ways_15_io_resp_tailSlot_valid & _ways_15_io_resp_tailSlot_sharing
+     918             :     | _ways_16_io_resp_hit & _ways_16_io_resp_tailSlot_valid
+     919             :     & _ways_16_io_resp_tailSlot_sharing | _ways_17_io_resp_hit
+     920             :     & _ways_17_io_resp_tailSlot_valid & _ways_17_io_resp_tailSlot_sharing
+     921             :     | _ways_18_io_resp_hit & _ways_18_io_resp_tailSlot_valid
+     922             :     & _ways_18_io_resp_tailSlot_sharing | _ways_19_io_resp_hit
+     923             :     & _ways_19_io_resp_tailSlot_valid & _ways_19_io_resp_tailSlot_sharing
+     924             :     | _ways_20_io_resp_hit & _ways_20_io_resp_tailSlot_valid
+     925             :     & _ways_20_io_resp_tailSlot_sharing | _ways_21_io_resp_hit
+     926             :     & _ways_21_io_resp_tailSlot_valid & _ways_21_io_resp_tailSlot_sharing
+     927             :     | _ways_22_io_resp_hit & _ways_22_io_resp_tailSlot_valid
+     928             :     & _ways_22_io_resp_tailSlot_sharing | _ways_23_io_resp_hit
+     929             :     & _ways_23_io_resp_tailSlot_valid & _ways_23_io_resp_tailSlot_sharing
+     930             :     | _ways_24_io_resp_hit & _ways_24_io_resp_tailSlot_valid
+     931             :     & _ways_24_io_resp_tailSlot_sharing | _ways_25_io_resp_hit
+     932             :     & _ways_25_io_resp_tailSlot_valid & _ways_25_io_resp_tailSlot_sharing
+     933             :     | _ways_26_io_resp_hit & _ways_26_io_resp_tailSlot_valid
+     934             :     & _ways_26_io_resp_tailSlot_sharing | _ways_27_io_resp_hit
+     935             :     & _ways_27_io_resp_tailSlot_valid & _ways_27_io_resp_tailSlot_sharing
+     936             :     | _ways_28_io_resp_hit & _ways_28_io_resp_tailSlot_valid
+     937             :     & _ways_28_io_resp_tailSlot_sharing | _ways_29_io_resp_hit
+     938             :     & _ways_29_io_resp_tailSlot_valid & _ways_29_io_resp_tailSlot_sharing
+     939             :     | _ways_30_io_resp_hit & _ways_30_io_resp_tailSlot_valid
+     940             :     & _ways_30_io_resp_tailSlot_sharing | _ways_31_io_resp_hit
+     941             :     & _ways_31_io_resp_tailSlot_valid & _ways_31_io_resp_tailSlot_sharing;
+     942             :   wire [40:0] _s1_hit_full_pred_T_598 =
+     943             :     (_ways_0_io_resp_hit
+     944             :        ? (s1_possible_full_preds_0_fallThroughErr
+     945             :             ? _s1_possible_full_preds_31_fallThroughAddr_T
+     946             :             : {_ways_0_io_resp_carry ? 36'(s1_pc_dup_0[40:5] + 36'h1) : s1_pc_dup_0[40:5],
+     947             :                _ways_0_io_resp_pftAddr,
+     948             :                1'h0})
+     949             :        : 41'h0)
+     950             :     | (_ways_1_io_resp_hit
+     951             :          ? (s1_possible_full_preds_1_fallThroughErr
+     952             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+     953             :               : {_ways_1_io_resp_carry
+     954             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+     955             :                    : s1_pc_dup_0[40:5],
+     956             :                  _ways_1_io_resp_pftAddr,
+     957             :                  1'h0})
+     958             :          : 41'h0)
+     959             :     | (_ways_2_io_resp_hit
+     960             :          ? (s1_possible_full_preds_2_fallThroughErr
+     961             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+     962             :               : {_ways_2_io_resp_carry
+     963             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+     964             :                    : s1_pc_dup_0[40:5],
+     965             :                  _ways_2_io_resp_pftAddr,
+     966             :                  1'h0})
+     967             :          : 41'h0)
+     968             :     | (_ways_3_io_resp_hit
+     969             :          ? (s1_possible_full_preds_3_fallThroughErr
+     970             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+     971             :               : {_ways_3_io_resp_carry
+     972             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+     973             :                    : s1_pc_dup_0[40:5],
+     974             :                  _ways_3_io_resp_pftAddr,
+     975             :                  1'h0})
+     976             :          : 41'h0)
+     977             :     | (_ways_4_io_resp_hit
+     978             :          ? (s1_possible_full_preds_4_fallThroughErr
+     979             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+     980             :               : {_ways_4_io_resp_carry
+     981             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+     982             :                    : s1_pc_dup_0[40:5],
+     983             :                  _ways_4_io_resp_pftAddr,
+     984             :                  1'h0})
+     985             :          : 41'h0)
+     986             :     | (_ways_5_io_resp_hit
+     987             :          ? (s1_possible_full_preds_5_fallThroughErr
+     988             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+     989             :               : {_ways_5_io_resp_carry
+     990             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+     991             :                    : s1_pc_dup_0[40:5],
+     992             :                  _ways_5_io_resp_pftAddr,
+     993             :                  1'h0})
+     994             :          : 41'h0)
+     995             :     | (_ways_6_io_resp_hit
+     996             :          ? (s1_possible_full_preds_6_fallThroughErr
+     997             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+     998             :               : {_ways_6_io_resp_carry
+     999             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1000             :                    : s1_pc_dup_0[40:5],
+    1001             :                  _ways_6_io_resp_pftAddr,
+    1002             :                  1'h0})
+    1003             :          : 41'h0)
+    1004             :     | (_ways_7_io_resp_hit
+    1005             :          ? (s1_possible_full_preds_7_fallThroughErr
+    1006             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1007             :               : {_ways_7_io_resp_carry
+    1008             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1009             :                    : s1_pc_dup_0[40:5],
+    1010             :                  _ways_7_io_resp_pftAddr,
+    1011             :                  1'h0})
+    1012             :          : 41'h0)
+    1013             :     | (_ways_8_io_resp_hit
+    1014             :          ? (s1_possible_full_preds_8_fallThroughErr
+    1015             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1016             :               : {_ways_8_io_resp_carry
+    1017             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1018             :                    : s1_pc_dup_0[40:5],
+    1019             :                  _ways_8_io_resp_pftAddr,
+    1020             :                  1'h0})
+    1021             :          : 41'h0)
+    1022             :     | (_ways_9_io_resp_hit
+    1023             :          ? (s1_possible_full_preds_9_fallThroughErr
+    1024             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1025             :               : {_ways_9_io_resp_carry
+    1026             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1027             :                    : s1_pc_dup_0[40:5],
+    1028             :                  _ways_9_io_resp_pftAddr,
+    1029             :                  1'h0})
+    1030             :          : 41'h0)
+    1031             :     | (_ways_10_io_resp_hit
+    1032             :          ? (s1_possible_full_preds_10_fallThroughErr
+    1033             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1034             :               : {_ways_10_io_resp_carry
+    1035             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1036             :                    : s1_pc_dup_0[40:5],
+    1037             :                  _ways_10_io_resp_pftAddr,
+    1038             :                  1'h0})
+    1039             :          : 41'h0)
+    1040             :     | (_ways_11_io_resp_hit
+    1041             :          ? (s1_possible_full_preds_11_fallThroughErr
+    1042             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1043             :               : {_ways_11_io_resp_carry
+    1044             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1045             :                    : s1_pc_dup_0[40:5],
+    1046             :                  _ways_11_io_resp_pftAddr,
+    1047             :                  1'h0})
+    1048             :          : 41'h0)
+    1049             :     | (_ways_12_io_resp_hit
+    1050             :          ? (s1_possible_full_preds_12_fallThroughErr
+    1051             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1052             :               : {_ways_12_io_resp_carry
+    1053             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1054             :                    : s1_pc_dup_0[40:5],
+    1055             :                  _ways_12_io_resp_pftAddr,
+    1056             :                  1'h0})
+    1057             :          : 41'h0)
+    1058             :     | (_ways_13_io_resp_hit
+    1059             :          ? (s1_possible_full_preds_13_fallThroughErr
+    1060             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1061             :               : {_ways_13_io_resp_carry
+    1062             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1063             :                    : s1_pc_dup_0[40:5],
+    1064             :                  _ways_13_io_resp_pftAddr,
+    1065             :                  1'h0})
+    1066             :          : 41'h0)
+    1067             :     | (_ways_14_io_resp_hit
+    1068             :          ? (s1_possible_full_preds_14_fallThroughErr
+    1069             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1070             :               : {_ways_14_io_resp_carry
+    1071             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1072             :                    : s1_pc_dup_0[40:5],
+    1073             :                  _ways_14_io_resp_pftAddr,
+    1074             :                  1'h0})
+    1075             :          : 41'h0)
+    1076             :     | (_ways_15_io_resp_hit
+    1077             :          ? (s1_possible_full_preds_15_fallThroughErr
+    1078             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1079             :               : {_ways_15_io_resp_carry
+    1080             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1081             :                    : s1_pc_dup_0[40:5],
+    1082             :                  _ways_15_io_resp_pftAddr,
+    1083             :                  1'h0})
+    1084             :          : 41'h0)
+    1085             :     | (_ways_16_io_resp_hit
+    1086             :          ? (s1_possible_full_preds_16_fallThroughErr
+    1087             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1088             :               : {_ways_16_io_resp_carry
+    1089             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1090             :                    : s1_pc_dup_0[40:5],
+    1091             :                  _ways_16_io_resp_pftAddr,
+    1092             :                  1'h0})
+    1093             :          : 41'h0)
+    1094             :     | (_ways_17_io_resp_hit
+    1095             :          ? (s1_possible_full_preds_17_fallThroughErr
+    1096             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1097             :               : {_ways_17_io_resp_carry
+    1098             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1099             :                    : s1_pc_dup_0[40:5],
+    1100             :                  _ways_17_io_resp_pftAddr,
+    1101             :                  1'h0})
+    1102             :          : 41'h0)
+    1103             :     | (_ways_18_io_resp_hit
+    1104             :          ? (s1_possible_full_preds_18_fallThroughErr
+    1105             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1106             :               : {_ways_18_io_resp_carry
+    1107             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1108             :                    : s1_pc_dup_0[40:5],
+    1109             :                  _ways_18_io_resp_pftAddr,
+    1110             :                  1'h0})
+    1111             :          : 41'h0)
+    1112             :     | (_ways_19_io_resp_hit
+    1113             :          ? (s1_possible_full_preds_19_fallThroughErr
+    1114             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1115             :               : {_ways_19_io_resp_carry
+    1116             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1117             :                    : s1_pc_dup_0[40:5],
+    1118             :                  _ways_19_io_resp_pftAddr,
+    1119             :                  1'h0})
+    1120             :          : 41'h0)
+    1121             :     | (_ways_20_io_resp_hit
+    1122             :          ? (s1_possible_full_preds_20_fallThroughErr
+    1123             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1124             :               : {_ways_20_io_resp_carry
+    1125             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1126             :                    : s1_pc_dup_0[40:5],
+    1127             :                  _ways_20_io_resp_pftAddr,
+    1128             :                  1'h0})
+    1129             :          : 41'h0)
+    1130             :     | (_ways_21_io_resp_hit
+    1131             :          ? (s1_possible_full_preds_21_fallThroughErr
+    1132             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1133             :               : {_ways_21_io_resp_carry
+    1134             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1135             :                    : s1_pc_dup_0[40:5],
+    1136             :                  _ways_21_io_resp_pftAddr,
+    1137             :                  1'h0})
+    1138             :          : 41'h0)
+    1139             :     | (_ways_22_io_resp_hit
+    1140             :          ? (s1_possible_full_preds_22_fallThroughErr
+    1141             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1142             :               : {_ways_22_io_resp_carry
+    1143             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1144             :                    : s1_pc_dup_0[40:5],
+    1145             :                  _ways_22_io_resp_pftAddr,
+    1146             :                  1'h0})
+    1147             :          : 41'h0)
+    1148             :     | (_ways_23_io_resp_hit
+    1149             :          ? (s1_possible_full_preds_23_fallThroughErr
+    1150             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1151             :               : {_ways_23_io_resp_carry
+    1152             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1153             :                    : s1_pc_dup_0[40:5],
+    1154             :                  _ways_23_io_resp_pftAddr,
+    1155             :                  1'h0})
+    1156             :          : 41'h0)
+    1157             :     | (_ways_24_io_resp_hit
+    1158             :          ? (s1_possible_full_preds_24_fallThroughErr
+    1159             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1160             :               : {_ways_24_io_resp_carry
+    1161             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1162             :                    : s1_pc_dup_0[40:5],
+    1163             :                  _ways_24_io_resp_pftAddr,
+    1164             :                  1'h0})
+    1165             :          : 41'h0)
+    1166             :     | (_ways_25_io_resp_hit
+    1167             :          ? (s1_possible_full_preds_25_fallThroughErr
+    1168             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1169             :               : {_ways_25_io_resp_carry
+    1170             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1171             :                    : s1_pc_dup_0[40:5],
+    1172             :                  _ways_25_io_resp_pftAddr,
+    1173             :                  1'h0})
+    1174             :          : 41'h0)
+    1175             :     | (_ways_26_io_resp_hit
+    1176             :          ? (s1_possible_full_preds_26_fallThroughErr
+    1177             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1178             :               : {_ways_26_io_resp_carry
+    1179             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1180             :                    : s1_pc_dup_0[40:5],
+    1181             :                  _ways_26_io_resp_pftAddr,
+    1182             :                  1'h0})
+    1183             :          : 41'h0)
+    1184             :     | (_ways_27_io_resp_hit
+    1185             :          ? (s1_possible_full_preds_27_fallThroughErr
+    1186             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1187             :               : {_ways_27_io_resp_carry
+    1188             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1189             :                    : s1_pc_dup_0[40:5],
+    1190             :                  _ways_27_io_resp_pftAddr,
+    1191             :                  1'h0})
+    1192             :          : 41'h0)
+    1193             :     | (_ways_28_io_resp_hit
+    1194             :          ? (s1_possible_full_preds_28_fallThroughErr
+    1195             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1196             :               : {_ways_28_io_resp_carry
+    1197             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1198             :                    : s1_pc_dup_0[40:5],
+    1199             :                  _ways_28_io_resp_pftAddr,
+    1200             :                  1'h0})
+    1201             :          : 41'h0)
+    1202             :     | (_ways_29_io_resp_hit
+    1203             :          ? (s1_possible_full_preds_29_fallThroughErr
+    1204             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1205             :               : {_ways_29_io_resp_carry
+    1206             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1207             :                    : s1_pc_dup_0[40:5],
+    1208             :                  _ways_29_io_resp_pftAddr,
+    1209             :                  1'h0})
+    1210             :          : 41'h0)
+    1211             :     | (_ways_30_io_resp_hit
+    1212             :          ? (s1_possible_full_preds_30_fallThroughErr
+    1213             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1214             :               : {_ways_30_io_resp_carry
+    1215             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1216             :                    : s1_pc_dup_0[40:5],
+    1217             :                  _ways_30_io_resp_pftAddr,
+    1218             :                  1'h0})
+    1219             :          : 41'h0)
+    1220             :     | (_ways_31_io_resp_hit
+    1221             :          ? (s1_possible_full_preds_31_fallThroughErr
+    1222             :               ? _s1_possible_full_preds_31_fallThroughAddr_T
+    1223             :               : {_ways_31_io_resp_carry
+    1224             :                    ? 36'(s1_pc_dup_0[40:5] + 36'h1)
+    1225             :                    : s1_pc_dup_0[40:5],
+    1226             :                  _ways_31_io_resp_pftAddr,
+    1227             :                  1'h0})
+    1228             :          : 41'h0);
+    1229             :   wire [3:0]  _s1_hit_full_pred_T_661 =
+    1230             :     (_ways_0_io_resp_hit ? _ways_0_io_resp_brSlots_0_offset : 4'h0)
+    1231             :     | (_ways_1_io_resp_hit ? _ways_1_io_resp_brSlots_0_offset : 4'h0)
+    1232             :     | (_ways_2_io_resp_hit ? _ways_2_io_resp_brSlots_0_offset : 4'h0)
+    1233             :     | (_ways_3_io_resp_hit ? _ways_3_io_resp_brSlots_0_offset : 4'h0)
+    1234             :     | (_ways_4_io_resp_hit ? _ways_4_io_resp_brSlots_0_offset : 4'h0)
+    1235             :     | (_ways_5_io_resp_hit ? _ways_5_io_resp_brSlots_0_offset : 4'h0)
+    1236             :     | (_ways_6_io_resp_hit ? _ways_6_io_resp_brSlots_0_offset : 4'h0)
+    1237             :     | (_ways_7_io_resp_hit ? _ways_7_io_resp_brSlots_0_offset : 4'h0)
+    1238             :     | (_ways_8_io_resp_hit ? _ways_8_io_resp_brSlots_0_offset : 4'h0)
+    1239             :     | (_ways_9_io_resp_hit ? _ways_9_io_resp_brSlots_0_offset : 4'h0)
+    1240             :     | (_ways_10_io_resp_hit ? _ways_10_io_resp_brSlots_0_offset : 4'h0)
+    1241             :     | (_ways_11_io_resp_hit ? _ways_11_io_resp_brSlots_0_offset : 4'h0)
+    1242             :     | (_ways_12_io_resp_hit ? _ways_12_io_resp_brSlots_0_offset : 4'h0)
+    1243             :     | (_ways_13_io_resp_hit ? _ways_13_io_resp_brSlots_0_offset : 4'h0)
+    1244             :     | (_ways_14_io_resp_hit ? _ways_14_io_resp_brSlots_0_offset : 4'h0)
+    1245             :     | (_ways_15_io_resp_hit ? _ways_15_io_resp_brSlots_0_offset : 4'h0)
+    1246             :     | (_ways_16_io_resp_hit ? _ways_16_io_resp_brSlots_0_offset : 4'h0)
+    1247             :     | (_ways_17_io_resp_hit ? _ways_17_io_resp_brSlots_0_offset : 4'h0)
+    1248             :     | (_ways_18_io_resp_hit ? _ways_18_io_resp_brSlots_0_offset : 4'h0)
+    1249             :     | (_ways_19_io_resp_hit ? _ways_19_io_resp_brSlots_0_offset : 4'h0)
+    1250             :     | (_ways_20_io_resp_hit ? _ways_20_io_resp_brSlots_0_offset : 4'h0)
+    1251             :     | (_ways_21_io_resp_hit ? _ways_21_io_resp_brSlots_0_offset : 4'h0)
+    1252             :     | (_ways_22_io_resp_hit ? _ways_22_io_resp_brSlots_0_offset : 4'h0)
+    1253             :     | (_ways_23_io_resp_hit ? _ways_23_io_resp_brSlots_0_offset : 4'h0)
+    1254             :     | (_ways_24_io_resp_hit ? _ways_24_io_resp_brSlots_0_offset : 4'h0)
+    1255             :     | (_ways_25_io_resp_hit ? _ways_25_io_resp_brSlots_0_offset : 4'h0)
+    1256             :     | (_ways_26_io_resp_hit ? _ways_26_io_resp_brSlots_0_offset : 4'h0)
+    1257             :     | (_ways_27_io_resp_hit ? _ways_27_io_resp_brSlots_0_offset : 4'h0)
+    1258             :     | (_ways_28_io_resp_hit ? _ways_28_io_resp_brSlots_0_offset : 4'h0)
+    1259             :     | (_ways_29_io_resp_hit ? _ways_29_io_resp_brSlots_0_offset : 4'h0)
+    1260             :     | (_ways_30_io_resp_hit ? _ways_30_io_resp_brSlots_0_offset : 4'h0)
+    1261             :     | (_ways_31_io_resp_hit ? _ways_31_io_resp_brSlots_0_offset : 4'h0);
+    1262             :   wire [3:0]  _s1_hit_full_pred_T_724 =
+    1263             :     (_ways_0_io_resp_hit ? _ways_0_io_resp_tailSlot_offset : 4'h0)
+    1264             :     | (_ways_1_io_resp_hit ? _ways_1_io_resp_tailSlot_offset : 4'h0)
+    1265             :     | (_ways_2_io_resp_hit ? _ways_2_io_resp_tailSlot_offset : 4'h0)
+    1266             :     | (_ways_3_io_resp_hit ? _ways_3_io_resp_tailSlot_offset : 4'h0)
+    1267             :     | (_ways_4_io_resp_hit ? _ways_4_io_resp_tailSlot_offset : 4'h0)
+    1268             :     | (_ways_5_io_resp_hit ? _ways_5_io_resp_tailSlot_offset : 4'h0)
+    1269             :     | (_ways_6_io_resp_hit ? _ways_6_io_resp_tailSlot_offset : 4'h0)
+    1270             :     | (_ways_7_io_resp_hit ? _ways_7_io_resp_tailSlot_offset : 4'h0)
+    1271             :     | (_ways_8_io_resp_hit ? _ways_8_io_resp_tailSlot_offset : 4'h0)
+    1272             :     | (_ways_9_io_resp_hit ? _ways_9_io_resp_tailSlot_offset : 4'h0)
+    1273             :     | (_ways_10_io_resp_hit ? _ways_10_io_resp_tailSlot_offset : 4'h0)
+    1274             :     | (_ways_11_io_resp_hit ? _ways_11_io_resp_tailSlot_offset : 4'h0)
+    1275             :     | (_ways_12_io_resp_hit ? _ways_12_io_resp_tailSlot_offset : 4'h0)
+    1276             :     | (_ways_13_io_resp_hit ? _ways_13_io_resp_tailSlot_offset : 4'h0)
+    1277             :     | (_ways_14_io_resp_hit ? _ways_14_io_resp_tailSlot_offset : 4'h0)
+    1278             :     | (_ways_15_io_resp_hit ? _ways_15_io_resp_tailSlot_offset : 4'h0)
+    1279             :     | (_ways_16_io_resp_hit ? _ways_16_io_resp_tailSlot_offset : 4'h0)
+    1280             :     | (_ways_17_io_resp_hit ? _ways_17_io_resp_tailSlot_offset : 4'h0)
+    1281             :     | (_ways_18_io_resp_hit ? _ways_18_io_resp_tailSlot_offset : 4'h0)
+    1282             :     | (_ways_19_io_resp_hit ? _ways_19_io_resp_tailSlot_offset : 4'h0)
+    1283             :     | (_ways_20_io_resp_hit ? _ways_20_io_resp_tailSlot_offset : 4'h0)
+    1284             :     | (_ways_21_io_resp_hit ? _ways_21_io_resp_tailSlot_offset : 4'h0)
+    1285             :     | (_ways_22_io_resp_hit ? _ways_22_io_resp_tailSlot_offset : 4'h0)
+    1286             :     | (_ways_23_io_resp_hit ? _ways_23_io_resp_tailSlot_offset : 4'h0)
+    1287             :     | (_ways_24_io_resp_hit ? _ways_24_io_resp_tailSlot_offset : 4'h0)
+    1288             :     | (_ways_25_io_resp_hit ? _ways_25_io_resp_tailSlot_offset : 4'h0)
+    1289             :     | (_ways_26_io_resp_hit ? _ways_26_io_resp_tailSlot_offset : 4'h0)
+    1290             :     | (_ways_27_io_resp_hit ? _ways_27_io_resp_tailSlot_offset : 4'h0)
+    1291             :     | (_ways_28_io_resp_hit ? _ways_28_io_resp_tailSlot_offset : 4'h0)
+    1292             :     | (_ways_29_io_resp_hit ? _ways_29_io_resp_tailSlot_offset : 4'h0)
+    1293             :     | (_ways_30_io_resp_hit ? _ways_30_io_resp_tailSlot_offset : 4'h0)
+    1294             :     | (_ways_31_io_resp_hit ? _ways_31_io_resp_tailSlot_offset : 4'h0);
+    1295             :   wire [40:0] _GEN =
+    1296             :     (_ways_0_io_resp_hit
+    1297             :        ? {(_ways_0_io_resp_brSlots_0_tarStat == 2'h1
+    1298             :              ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1299             :              : 28'h0)
+    1300             :             | (_ways_0_io_resp_brSlots_0_tarStat == 2'h2
+    1301             :                  ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1302             :                  : 28'h0)
+    1303             :             | (_ways_0_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1304             :           _ways_0_io_resp_brSlots_0_lower,
+    1305             :           1'h0}
+    1306             :        : 41'h0)
+    1307             :     | (_ways_1_io_resp_hit
+    1308             :          ? {(_ways_1_io_resp_brSlots_0_tarStat == 2'h1
+    1309             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1310             :                : 28'h0)
+    1311             :               | (_ways_1_io_resp_brSlots_0_tarStat == 2'h2
+    1312             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1313             :                    : 28'h0)
+    1314             :               | (_ways_1_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1315             :             _ways_1_io_resp_brSlots_0_lower,
+    1316             :             1'h0}
+    1317             :          : 41'h0)
+    1318             :     | (_ways_2_io_resp_hit
+    1319             :          ? {(_ways_2_io_resp_brSlots_0_tarStat == 2'h1
+    1320             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1321             :                : 28'h0)
+    1322             :               | (_ways_2_io_resp_brSlots_0_tarStat == 2'h2
+    1323             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1324             :                    : 28'h0)
+    1325             :               | (_ways_2_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1326             :             _ways_2_io_resp_brSlots_0_lower,
+    1327             :             1'h0}
+    1328             :          : 41'h0)
+    1329             :     | (_ways_3_io_resp_hit
+    1330             :          ? {(_ways_3_io_resp_brSlots_0_tarStat == 2'h1
+    1331             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1332             :                : 28'h0)
+    1333             :               | (_ways_3_io_resp_brSlots_0_tarStat == 2'h2
+    1334             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1335             :                    : 28'h0)
+    1336             :               | (_ways_3_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1337             :             _ways_3_io_resp_brSlots_0_lower,
+    1338             :             1'h0}
+    1339             :          : 41'h0)
+    1340             :     | (_ways_4_io_resp_hit
+    1341             :          ? {(_ways_4_io_resp_brSlots_0_tarStat == 2'h1
+    1342             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1343             :                : 28'h0)
+    1344             :               | (_ways_4_io_resp_brSlots_0_tarStat == 2'h2
+    1345             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1346             :                    : 28'h0)
+    1347             :               | (_ways_4_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1348             :             _ways_4_io_resp_brSlots_0_lower,
+    1349             :             1'h0}
+    1350             :          : 41'h0)
+    1351             :     | (_ways_5_io_resp_hit
+    1352             :          ? {(_ways_5_io_resp_brSlots_0_tarStat == 2'h1
+    1353             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1354             :                : 28'h0)
+    1355             :               | (_ways_5_io_resp_brSlots_0_tarStat == 2'h2
+    1356             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1357             :                    : 28'h0)
+    1358             :               | (_ways_5_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1359             :             _ways_5_io_resp_brSlots_0_lower,
+    1360             :             1'h0}
+    1361             :          : 41'h0)
+    1362             :     | (_ways_6_io_resp_hit
+    1363             :          ? {(_ways_6_io_resp_brSlots_0_tarStat == 2'h1
+    1364             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1365             :                : 28'h0)
+    1366             :               | (_ways_6_io_resp_brSlots_0_tarStat == 2'h2
+    1367             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1368             :                    : 28'h0)
+    1369             :               | (_ways_6_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1370             :             _ways_6_io_resp_brSlots_0_lower,
+    1371             :             1'h0}
+    1372             :          : 41'h0)
+    1373             :     | (_ways_7_io_resp_hit
+    1374             :          ? {(_ways_7_io_resp_brSlots_0_tarStat == 2'h1
+    1375             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1376             :                : 28'h0)
+    1377             :               | (_ways_7_io_resp_brSlots_0_tarStat == 2'h2
+    1378             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1379             :                    : 28'h0)
+    1380             :               | (_ways_7_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1381             :             _ways_7_io_resp_brSlots_0_lower,
+    1382             :             1'h0}
+    1383             :          : 41'h0)
+    1384             :     | (_ways_8_io_resp_hit
+    1385             :          ? {(_ways_8_io_resp_brSlots_0_tarStat == 2'h1
+    1386             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1387             :                : 28'h0)
+    1388             :               | (_ways_8_io_resp_brSlots_0_tarStat == 2'h2
+    1389             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1390             :                    : 28'h0)
+    1391             :               | (_ways_8_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1392             :             _ways_8_io_resp_brSlots_0_lower,
+    1393             :             1'h0}
+    1394             :          : 41'h0)
+    1395             :     | (_ways_9_io_resp_hit
+    1396             :          ? {(_ways_9_io_resp_brSlots_0_tarStat == 2'h1
+    1397             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1398             :                : 28'h0)
+    1399             :               | (_ways_9_io_resp_brSlots_0_tarStat == 2'h2
+    1400             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1401             :                    : 28'h0)
+    1402             :               | (_ways_9_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1403             :             _ways_9_io_resp_brSlots_0_lower,
+    1404             :             1'h0}
+    1405             :          : 41'h0)
+    1406             :     | (_ways_10_io_resp_hit
+    1407             :          ? {(_ways_10_io_resp_brSlots_0_tarStat == 2'h1
+    1408             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1409             :                : 28'h0)
+    1410             :               | (_ways_10_io_resp_brSlots_0_tarStat == 2'h2
+    1411             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1412             :                    : 28'h0)
+    1413             :               | (_ways_10_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1414             :             _ways_10_io_resp_brSlots_0_lower,
+    1415             :             1'h0}
+    1416             :          : 41'h0)
+    1417             :     | (_ways_11_io_resp_hit
+    1418             :          ? {(_ways_11_io_resp_brSlots_0_tarStat == 2'h1
+    1419             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1420             :                : 28'h0)
+    1421             :               | (_ways_11_io_resp_brSlots_0_tarStat == 2'h2
+    1422             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1423             :                    : 28'h0)
+    1424             :               | (_ways_11_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1425             :             _ways_11_io_resp_brSlots_0_lower,
+    1426             :             1'h0}
+    1427             :          : 41'h0)
+    1428             :     | (_ways_12_io_resp_hit
+    1429             :          ? {(_ways_12_io_resp_brSlots_0_tarStat == 2'h1
+    1430             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1431             :                : 28'h0)
+    1432             :               | (_ways_12_io_resp_brSlots_0_tarStat == 2'h2
+    1433             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1434             :                    : 28'h0)
+    1435             :               | (_ways_12_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1436             :             _ways_12_io_resp_brSlots_0_lower,
+    1437             :             1'h0}
+    1438             :          : 41'h0)
+    1439             :     | (_ways_13_io_resp_hit
+    1440             :          ? {(_ways_13_io_resp_brSlots_0_tarStat == 2'h1
+    1441             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1442             :                : 28'h0)
+    1443             :               | (_ways_13_io_resp_brSlots_0_tarStat == 2'h2
+    1444             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1445             :                    : 28'h0)
+    1446             :               | (_ways_13_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1447             :             _ways_13_io_resp_brSlots_0_lower,
+    1448             :             1'h0}
+    1449             :          : 41'h0)
+    1450             :     | (_ways_14_io_resp_hit
+    1451             :          ? {(_ways_14_io_resp_brSlots_0_tarStat == 2'h1
+    1452             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1453             :                : 28'h0)
+    1454             :               | (_ways_14_io_resp_brSlots_0_tarStat == 2'h2
+    1455             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1456             :                    : 28'h0)
+    1457             :               | (_ways_14_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1458             :             _ways_14_io_resp_brSlots_0_lower,
+    1459             :             1'h0}
+    1460             :          : 41'h0)
+    1461             :     | (_ways_15_io_resp_hit
+    1462             :          ? {(_ways_15_io_resp_brSlots_0_tarStat == 2'h1
+    1463             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1464             :                : 28'h0)
+    1465             :               | (_ways_15_io_resp_brSlots_0_tarStat == 2'h2
+    1466             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1467             :                    : 28'h0)
+    1468             :               | (_ways_15_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1469             :             _ways_15_io_resp_brSlots_0_lower,
+    1470             :             1'h0}
+    1471             :          : 41'h0);
+    1472             :   wire [40:0] _GEN_0 =
+    1473             :     (_ways_16_io_resp_hit
+    1474             :        ? {(_ways_16_io_resp_brSlots_0_tarStat == 2'h1
+    1475             :              ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1476             :              : 28'h0)
+    1477             :             | (_ways_16_io_resp_brSlots_0_tarStat == 2'h2
+    1478             :                  ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1479             :                  : 28'h0)
+    1480             :             | (_ways_16_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1481             :           _ways_16_io_resp_brSlots_0_lower,
+    1482             :           1'h0}
+    1483             :        : 41'h0)
+    1484             :     | (_ways_17_io_resp_hit
+    1485             :          ? {(_ways_17_io_resp_brSlots_0_tarStat == 2'h1
+    1486             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1487             :                : 28'h0)
+    1488             :               | (_ways_17_io_resp_brSlots_0_tarStat == 2'h2
+    1489             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1490             :                    : 28'h0)
+    1491             :               | (_ways_17_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1492             :             _ways_17_io_resp_brSlots_0_lower,
+    1493             :             1'h0}
+    1494             :          : 41'h0)
+    1495             :     | (_ways_18_io_resp_hit
+    1496             :          ? {(_ways_18_io_resp_brSlots_0_tarStat == 2'h1
+    1497             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1498             :                : 28'h0)
+    1499             :               | (_ways_18_io_resp_brSlots_0_tarStat == 2'h2
+    1500             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1501             :                    : 28'h0)
+    1502             :               | (_ways_18_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1503             :             _ways_18_io_resp_brSlots_0_lower,
+    1504             :             1'h0}
+    1505             :          : 41'h0)
+    1506             :     | (_ways_19_io_resp_hit
+    1507             :          ? {(_ways_19_io_resp_brSlots_0_tarStat == 2'h1
+    1508             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1509             :                : 28'h0)
+    1510             :               | (_ways_19_io_resp_brSlots_0_tarStat == 2'h2
+    1511             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1512             :                    : 28'h0)
+    1513             :               | (_ways_19_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1514             :             _ways_19_io_resp_brSlots_0_lower,
+    1515             :             1'h0}
+    1516             :          : 41'h0)
+    1517             :     | (_ways_20_io_resp_hit
+    1518             :          ? {(_ways_20_io_resp_brSlots_0_tarStat == 2'h1
+    1519             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1520             :                : 28'h0)
+    1521             :               | (_ways_20_io_resp_brSlots_0_tarStat == 2'h2
+    1522             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1523             :                    : 28'h0)
+    1524             :               | (_ways_20_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1525             :             _ways_20_io_resp_brSlots_0_lower,
+    1526             :             1'h0}
+    1527             :          : 41'h0)
+    1528             :     | (_ways_21_io_resp_hit
+    1529             :          ? {(_ways_21_io_resp_brSlots_0_tarStat == 2'h1
+    1530             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1531             :                : 28'h0)
+    1532             :               | (_ways_21_io_resp_brSlots_0_tarStat == 2'h2
+    1533             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1534             :                    : 28'h0)
+    1535             :               | (_ways_21_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1536             :             _ways_21_io_resp_brSlots_0_lower,
+    1537             :             1'h0}
+    1538             :          : 41'h0)
+    1539             :     | (_ways_22_io_resp_hit
+    1540             :          ? {(_ways_22_io_resp_brSlots_0_tarStat == 2'h1
+    1541             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1542             :                : 28'h0)
+    1543             :               | (_ways_22_io_resp_brSlots_0_tarStat == 2'h2
+    1544             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1545             :                    : 28'h0)
+    1546             :               | (_ways_22_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1547             :             _ways_22_io_resp_brSlots_0_lower,
+    1548             :             1'h0}
+    1549             :          : 41'h0)
+    1550             :     | (_ways_23_io_resp_hit
+    1551             :          ? {(_ways_23_io_resp_brSlots_0_tarStat == 2'h1
+    1552             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1553             :                : 28'h0)
+    1554             :               | (_ways_23_io_resp_brSlots_0_tarStat == 2'h2
+    1555             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1556             :                    : 28'h0)
+    1557             :               | (_ways_23_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1558             :             _ways_23_io_resp_brSlots_0_lower,
+    1559             :             1'h0}
+    1560             :          : 41'h0)
+    1561             :     | (_ways_24_io_resp_hit
+    1562             :          ? {(_ways_24_io_resp_brSlots_0_tarStat == 2'h1
+    1563             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1564             :                : 28'h0)
+    1565             :               | (_ways_24_io_resp_brSlots_0_tarStat == 2'h2
+    1566             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1567             :                    : 28'h0)
+    1568             :               | (_ways_24_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1569             :             _ways_24_io_resp_brSlots_0_lower,
+    1570             :             1'h0}
+    1571             :          : 41'h0)
+    1572             :     | (_ways_25_io_resp_hit
+    1573             :          ? {(_ways_25_io_resp_brSlots_0_tarStat == 2'h1
+    1574             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1575             :                : 28'h0)
+    1576             :               | (_ways_25_io_resp_brSlots_0_tarStat == 2'h2
+    1577             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1578             :                    : 28'h0)
+    1579             :               | (_ways_25_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1580             :             _ways_25_io_resp_brSlots_0_lower,
+    1581             :             1'h0}
+    1582             :          : 41'h0)
+    1583             :     | (_ways_26_io_resp_hit
+    1584             :          ? {(_ways_26_io_resp_brSlots_0_tarStat == 2'h1
+    1585             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1586             :                : 28'h0)
+    1587             :               | (_ways_26_io_resp_brSlots_0_tarStat == 2'h2
+    1588             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1589             :                    : 28'h0)
+    1590             :               | (_ways_26_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1591             :             _ways_26_io_resp_brSlots_0_lower,
+    1592             :             1'h0}
+    1593             :          : 41'h0)
+    1594             :     | (_ways_27_io_resp_hit
+    1595             :          ? {(_ways_27_io_resp_brSlots_0_tarStat == 2'h1
+    1596             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1597             :                : 28'h0)
+    1598             :               | (_ways_27_io_resp_brSlots_0_tarStat == 2'h2
+    1599             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1600             :                    : 28'h0)
+    1601             :               | (_ways_27_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1602             :             _ways_27_io_resp_brSlots_0_lower,
+    1603             :             1'h0}
+    1604             :          : 41'h0)
+    1605             :     | (_ways_28_io_resp_hit
+    1606             :          ? {(_ways_28_io_resp_brSlots_0_tarStat == 2'h1
+    1607             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1608             :                : 28'h0)
+    1609             :               | (_ways_28_io_resp_brSlots_0_tarStat == 2'h2
+    1610             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1611             :                    : 28'h0)
+    1612             :               | (_ways_28_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1613             :             _ways_28_io_resp_brSlots_0_lower,
+    1614             :             1'h0}
+    1615             :          : 41'h0)
+    1616             :     | (_ways_29_io_resp_hit
+    1617             :          ? {(_ways_29_io_resp_brSlots_0_tarStat == 2'h1
+    1618             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1619             :                : 28'h0)
+    1620             :               | (_ways_29_io_resp_brSlots_0_tarStat == 2'h2
+    1621             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1622             :                    : 28'h0)
+    1623             :               | (_ways_29_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1624             :             _ways_29_io_resp_brSlots_0_lower,
+    1625             :             1'h0}
+    1626             :          : 41'h0)
+    1627             :     | (_ways_30_io_resp_hit
+    1628             :          ? {(_ways_30_io_resp_brSlots_0_tarStat == 2'h1
+    1629             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1630             :                : 28'h0)
+    1631             :               | (_ways_30_io_resp_brSlots_0_tarStat == 2'h2
+    1632             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1633             :                    : 28'h0)
+    1634             :               | (_ways_30_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1635             :             _ways_30_io_resp_brSlots_0_lower,
+    1636             :             1'h0}
+    1637             :          : 41'h0)
+    1638             :     | (_ways_31_io_resp_hit
+    1639             :          ? {(_ways_31_io_resp_brSlots_0_tarStat == 2'h1
+    1640             :                ? 28'(s1_pc_dup_0[40:13] + 28'h1)
+    1641             :                : 28'h0)
+    1642             :               | (_ways_31_io_resp_brSlots_0_tarStat == 2'h2
+    1643             :                    ? 28'(s1_pc_dup_0[40:13] - 28'h1)
+    1644             :                    : 28'h0)
+    1645             :               | (_ways_31_io_resp_brSlots_0_tarStat == 2'h0 ? s1_pc_dup_0[40:13] : 28'h0),
+    1646             :             _ways_31_io_resp_brSlots_0_lower,
+    1647             :             1'h0}
+    1648             :          : 41'h0);
+    1649             :   wire [40:0] _s1_hit_full_pred_T_850 = _GEN | _GEN_0;
+    1650             :   wire [40:0] _GEN_1 =
+    1651             :     (_ways_0_io_resp_hit
+    1652             :        ? {_ways_0_io_resp_tailSlot_sharing
+    1653             :             ? {(_target_T_18 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1654             :                  | (_target_T_19 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1655             :                  | (_target_T_20 ? s1_pc_dup_0[40:13] : 28'h0),
+    1656             :                _ways_0_io_resp_tailSlot_lower[11:0]}
+    1657             :             : {(_target_T_18 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1658             :                  | (_target_T_19 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1659             :                  | (_target_T_20 ? s1_pc_dup_0[40:21] : 20'h0),
+    1660             :                _ways_0_io_resp_tailSlot_lower},
+    1661             :           1'h0}
+    1662             :        : 41'h0)
+    1663             :     | (_ways_1_io_resp_hit
+    1664             :          ? {_ways_1_io_resp_tailSlot_sharing
+    1665             :               ? {(_target_T_45 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1666             :                    | (_target_T_46 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1667             :                    | (_target_T_47 ? s1_pc_dup_0[40:13] : 28'h0),
+    1668             :                  _ways_1_io_resp_tailSlot_lower[11:0]}
+    1669             :               : {(_target_T_45 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1670             :                    | (_target_T_46 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1671             :                    | (_target_T_47 ? s1_pc_dup_0[40:21] : 20'h0),
+    1672             :                  _ways_1_io_resp_tailSlot_lower},
+    1673             :             1'h0}
+    1674             :          : 41'h0)
+    1675             :     | (_ways_2_io_resp_hit
+    1676             :          ? {_ways_2_io_resp_tailSlot_sharing
+    1677             :               ? {(_target_T_72 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1678             :                    | (_target_T_73 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1679             :                    | (_target_T_74 ? s1_pc_dup_0[40:13] : 28'h0),
+    1680             :                  _ways_2_io_resp_tailSlot_lower[11:0]}
+    1681             :               : {(_target_T_72 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1682             :                    | (_target_T_73 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1683             :                    | (_target_T_74 ? s1_pc_dup_0[40:21] : 20'h0),
+    1684             :                  _ways_2_io_resp_tailSlot_lower},
+    1685             :             1'h0}
+    1686             :          : 41'h0)
+    1687             :     | (_ways_3_io_resp_hit
+    1688             :          ? {_ways_3_io_resp_tailSlot_sharing
+    1689             :               ? {(_target_T_99 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1690             :                    | (_target_T_100 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1691             :                    | (_target_T_101 ? s1_pc_dup_0[40:13] : 28'h0),
+    1692             :                  _ways_3_io_resp_tailSlot_lower[11:0]}
+    1693             :               : {(_target_T_99 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1694             :                    | (_target_T_100 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1695             :                    | (_target_T_101 ? s1_pc_dup_0[40:21] : 20'h0),
+    1696             :                  _ways_3_io_resp_tailSlot_lower},
+    1697             :             1'h0}
+    1698             :          : 41'h0)
+    1699             :     | (_ways_4_io_resp_hit
+    1700             :          ? {_ways_4_io_resp_tailSlot_sharing
+    1701             :               ? {(_target_T_126 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1702             :                    | (_target_T_127 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1703             :                    | (_target_T_128 ? s1_pc_dup_0[40:13] : 28'h0),
+    1704             :                  _ways_4_io_resp_tailSlot_lower[11:0]}
+    1705             :               : {(_target_T_126 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1706             :                    | (_target_T_127 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1707             :                    | (_target_T_128 ? s1_pc_dup_0[40:21] : 20'h0),
+    1708             :                  _ways_4_io_resp_tailSlot_lower},
+    1709             :             1'h0}
+    1710             :          : 41'h0)
+    1711             :     | (_ways_5_io_resp_hit
+    1712             :          ? {_ways_5_io_resp_tailSlot_sharing
+    1713             :               ? {(_target_T_153 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1714             :                    | (_target_T_154 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1715             :                    | (_target_T_155 ? s1_pc_dup_0[40:13] : 28'h0),
+    1716             :                  _ways_5_io_resp_tailSlot_lower[11:0]}
+    1717             :               : {(_target_T_153 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1718             :                    | (_target_T_154 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1719             :                    | (_target_T_155 ? s1_pc_dup_0[40:21] : 20'h0),
+    1720             :                  _ways_5_io_resp_tailSlot_lower},
+    1721             :             1'h0}
+    1722             :          : 41'h0)
+    1723             :     | (_ways_6_io_resp_hit
+    1724             :          ? {_ways_6_io_resp_tailSlot_sharing
+    1725             :               ? {(_target_T_180 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1726             :                    | (_target_T_181 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1727             :                    | (_target_T_182 ? s1_pc_dup_0[40:13] : 28'h0),
+    1728             :                  _ways_6_io_resp_tailSlot_lower[11:0]}
+    1729             :               : {(_target_T_180 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1730             :                    | (_target_T_181 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1731             :                    | (_target_T_182 ? s1_pc_dup_0[40:21] : 20'h0),
+    1732             :                  _ways_6_io_resp_tailSlot_lower},
+    1733             :             1'h0}
+    1734             :          : 41'h0)
+    1735             :     | (_ways_7_io_resp_hit
+    1736             :          ? {_ways_7_io_resp_tailSlot_sharing
+    1737             :               ? {(_target_T_207 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1738             :                    | (_target_T_208 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1739             :                    | (_target_T_209 ? s1_pc_dup_0[40:13] : 28'h0),
+    1740             :                  _ways_7_io_resp_tailSlot_lower[11:0]}
+    1741             :               : {(_target_T_207 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1742             :                    | (_target_T_208 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1743             :                    | (_target_T_209 ? s1_pc_dup_0[40:21] : 20'h0),
+    1744             :                  _ways_7_io_resp_tailSlot_lower},
+    1745             :             1'h0}
+    1746             :          : 41'h0)
+    1747             :     | (_ways_8_io_resp_hit
+    1748             :          ? {_ways_8_io_resp_tailSlot_sharing
+    1749             :               ? {(_target_T_234 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1750             :                    | (_target_T_235 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1751             :                    | (_target_T_236 ? s1_pc_dup_0[40:13] : 28'h0),
+    1752             :                  _ways_8_io_resp_tailSlot_lower[11:0]}
+    1753             :               : {(_target_T_234 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1754             :                    | (_target_T_235 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1755             :                    | (_target_T_236 ? s1_pc_dup_0[40:21] : 20'h0),
+    1756             :                  _ways_8_io_resp_tailSlot_lower},
+    1757             :             1'h0}
+    1758             :          : 41'h0)
+    1759             :     | (_ways_9_io_resp_hit
+    1760             :          ? {_ways_9_io_resp_tailSlot_sharing
+    1761             :               ? {(_target_T_261 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1762             :                    | (_target_T_262 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1763             :                    | (_target_T_263 ? s1_pc_dup_0[40:13] : 28'h0),
+    1764             :                  _ways_9_io_resp_tailSlot_lower[11:0]}
+    1765             :               : {(_target_T_261 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1766             :                    | (_target_T_262 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1767             :                    | (_target_T_263 ? s1_pc_dup_0[40:21] : 20'h0),
+    1768             :                  _ways_9_io_resp_tailSlot_lower},
+    1769             :             1'h0}
+    1770             :          : 41'h0)
+    1771             :     | (_ways_10_io_resp_hit
+    1772             :          ? {_ways_10_io_resp_tailSlot_sharing
+    1773             :               ? {(_target_T_288 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1774             :                    | (_target_T_289 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1775             :                    | (_target_T_290 ? s1_pc_dup_0[40:13] : 28'h0),
+    1776             :                  _ways_10_io_resp_tailSlot_lower[11:0]}
+    1777             :               : {(_target_T_288 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1778             :                    | (_target_T_289 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1779             :                    | (_target_T_290 ? s1_pc_dup_0[40:21] : 20'h0),
+    1780             :                  _ways_10_io_resp_tailSlot_lower},
+    1781             :             1'h0}
+    1782             :          : 41'h0)
+    1783             :     | (_ways_11_io_resp_hit
+    1784             :          ? {_ways_11_io_resp_tailSlot_sharing
+    1785             :               ? {(_target_T_315 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1786             :                    | (_target_T_316 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1787             :                    | (_target_T_317 ? s1_pc_dup_0[40:13] : 28'h0),
+    1788             :                  _ways_11_io_resp_tailSlot_lower[11:0]}
+    1789             :               : {(_target_T_315 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1790             :                    | (_target_T_316 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1791             :                    | (_target_T_317 ? s1_pc_dup_0[40:21] : 20'h0),
+    1792             :                  _ways_11_io_resp_tailSlot_lower},
+    1793             :             1'h0}
+    1794             :          : 41'h0)
+    1795             :     | (_ways_12_io_resp_hit
+    1796             :          ? {_ways_12_io_resp_tailSlot_sharing
+    1797             :               ? {(_target_T_342 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1798             :                    | (_target_T_343 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1799             :                    | (_target_T_344 ? s1_pc_dup_0[40:13] : 28'h0),
+    1800             :                  _ways_12_io_resp_tailSlot_lower[11:0]}
+    1801             :               : {(_target_T_342 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1802             :                    | (_target_T_343 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1803             :                    | (_target_T_344 ? s1_pc_dup_0[40:21] : 20'h0),
+    1804             :                  _ways_12_io_resp_tailSlot_lower},
+    1805             :             1'h0}
+    1806             :          : 41'h0)
+    1807             :     | (_ways_13_io_resp_hit
+    1808             :          ? {_ways_13_io_resp_tailSlot_sharing
+    1809             :               ? {(_target_T_369 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1810             :                    | (_target_T_370 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1811             :                    | (_target_T_371 ? s1_pc_dup_0[40:13] : 28'h0),
+    1812             :                  _ways_13_io_resp_tailSlot_lower[11:0]}
+    1813             :               : {(_target_T_369 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1814             :                    | (_target_T_370 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1815             :                    | (_target_T_371 ? s1_pc_dup_0[40:21] : 20'h0),
+    1816             :                  _ways_13_io_resp_tailSlot_lower},
+    1817             :             1'h0}
+    1818             :          : 41'h0)
+    1819             :     | (_ways_14_io_resp_hit
+    1820             :          ? {_ways_14_io_resp_tailSlot_sharing
+    1821             :               ? {(_target_T_396 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1822             :                    | (_target_T_397 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1823             :                    | (_target_T_398 ? s1_pc_dup_0[40:13] : 28'h0),
+    1824             :                  _ways_14_io_resp_tailSlot_lower[11:0]}
+    1825             :               : {(_target_T_396 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1826             :                    | (_target_T_397 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1827             :                    | (_target_T_398 ? s1_pc_dup_0[40:21] : 20'h0),
+    1828             :                  _ways_14_io_resp_tailSlot_lower},
+    1829             :             1'h0}
+    1830             :          : 41'h0)
+    1831             :     | (_ways_15_io_resp_hit
+    1832             :          ? {_ways_15_io_resp_tailSlot_sharing
+    1833             :               ? {(_target_T_423 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1834             :                    | (_target_T_424 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1835             :                    | (_target_T_425 ? s1_pc_dup_0[40:13] : 28'h0),
+    1836             :                  _ways_15_io_resp_tailSlot_lower[11:0]}
+    1837             :               : {(_target_T_423 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1838             :                    | (_target_T_424 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1839             :                    | (_target_T_425 ? s1_pc_dup_0[40:21] : 20'h0),
+    1840             :                  _ways_15_io_resp_tailSlot_lower},
+    1841             :             1'h0}
+    1842             :          : 41'h0);
+    1843             :   wire [40:0] _GEN_2 =
+    1844             :     (_ways_16_io_resp_hit
+    1845             :        ? {_ways_16_io_resp_tailSlot_sharing
+    1846             :             ? {(_target_T_450 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1847             :                  | (_target_T_451 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1848             :                  | (_target_T_452 ? s1_pc_dup_0[40:13] : 28'h0),
+    1849             :                _ways_16_io_resp_tailSlot_lower[11:0]}
+    1850             :             : {(_target_T_450 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1851             :                  | (_target_T_451 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1852             :                  | (_target_T_452 ? s1_pc_dup_0[40:21] : 20'h0),
+    1853             :                _ways_16_io_resp_tailSlot_lower},
+    1854             :           1'h0}
+    1855             :        : 41'h0)
+    1856             :     | (_ways_17_io_resp_hit
+    1857             :          ? {_ways_17_io_resp_tailSlot_sharing
+    1858             :               ? {(_target_T_477 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1859             :                    | (_target_T_478 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1860             :                    | (_target_T_479 ? s1_pc_dup_0[40:13] : 28'h0),
+    1861             :                  _ways_17_io_resp_tailSlot_lower[11:0]}
+    1862             :               : {(_target_T_477 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1863             :                    | (_target_T_478 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1864             :                    | (_target_T_479 ? s1_pc_dup_0[40:21] : 20'h0),
+    1865             :                  _ways_17_io_resp_tailSlot_lower},
+    1866             :             1'h0}
+    1867             :          : 41'h0)
+    1868             :     | (_ways_18_io_resp_hit
+    1869             :          ? {_ways_18_io_resp_tailSlot_sharing
+    1870             :               ? {(_target_T_504 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1871             :                    | (_target_T_505 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1872             :                    | (_target_T_506 ? s1_pc_dup_0[40:13] : 28'h0),
+    1873             :                  _ways_18_io_resp_tailSlot_lower[11:0]}
+    1874             :               : {(_target_T_504 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1875             :                    | (_target_T_505 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1876             :                    | (_target_T_506 ? s1_pc_dup_0[40:21] : 20'h0),
+    1877             :                  _ways_18_io_resp_tailSlot_lower},
+    1878             :             1'h0}
+    1879             :          : 41'h0)
+    1880             :     | (_ways_19_io_resp_hit
+    1881             :          ? {_ways_19_io_resp_tailSlot_sharing
+    1882             :               ? {(_target_T_531 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1883             :                    | (_target_T_532 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1884             :                    | (_target_T_533 ? s1_pc_dup_0[40:13] : 28'h0),
+    1885             :                  _ways_19_io_resp_tailSlot_lower[11:0]}
+    1886             :               : {(_target_T_531 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1887             :                    | (_target_T_532 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1888             :                    | (_target_T_533 ? s1_pc_dup_0[40:21] : 20'h0),
+    1889             :                  _ways_19_io_resp_tailSlot_lower},
+    1890             :             1'h0}
+    1891             :          : 41'h0)
+    1892             :     | (_ways_20_io_resp_hit
+    1893             :          ? {_ways_20_io_resp_tailSlot_sharing
+    1894             :               ? {(_target_T_558 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1895             :                    | (_target_T_559 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1896             :                    | (_target_T_560 ? s1_pc_dup_0[40:13] : 28'h0),
+    1897             :                  _ways_20_io_resp_tailSlot_lower[11:0]}
+    1898             :               : {(_target_T_558 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1899             :                    | (_target_T_559 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1900             :                    | (_target_T_560 ? s1_pc_dup_0[40:21] : 20'h0),
+    1901             :                  _ways_20_io_resp_tailSlot_lower},
+    1902             :             1'h0}
+    1903             :          : 41'h0)
+    1904             :     | (_ways_21_io_resp_hit
+    1905             :          ? {_ways_21_io_resp_tailSlot_sharing
+    1906             :               ? {(_target_T_585 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1907             :                    | (_target_T_586 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1908             :                    | (_target_T_587 ? s1_pc_dup_0[40:13] : 28'h0),
+    1909             :                  _ways_21_io_resp_tailSlot_lower[11:0]}
+    1910             :               : {(_target_T_585 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1911             :                    | (_target_T_586 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1912             :                    | (_target_T_587 ? s1_pc_dup_0[40:21] : 20'h0),
+    1913             :                  _ways_21_io_resp_tailSlot_lower},
+    1914             :             1'h0}
+    1915             :          : 41'h0)
+    1916             :     | (_ways_22_io_resp_hit
+    1917             :          ? {_ways_22_io_resp_tailSlot_sharing
+    1918             :               ? {(_target_T_612 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1919             :                    | (_target_T_613 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1920             :                    | (_target_T_614 ? s1_pc_dup_0[40:13] : 28'h0),
+    1921             :                  _ways_22_io_resp_tailSlot_lower[11:0]}
+    1922             :               : {(_target_T_612 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1923             :                    | (_target_T_613 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1924             :                    | (_target_T_614 ? s1_pc_dup_0[40:21] : 20'h0),
+    1925             :                  _ways_22_io_resp_tailSlot_lower},
+    1926             :             1'h0}
+    1927             :          : 41'h0)
+    1928             :     | (_ways_23_io_resp_hit
+    1929             :          ? {_ways_23_io_resp_tailSlot_sharing
+    1930             :               ? {(_target_T_639 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1931             :                    | (_target_T_640 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1932             :                    | (_target_T_641 ? s1_pc_dup_0[40:13] : 28'h0),
+    1933             :                  _ways_23_io_resp_tailSlot_lower[11:0]}
+    1934             :               : {(_target_T_639 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1935             :                    | (_target_T_640 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1936             :                    | (_target_T_641 ? s1_pc_dup_0[40:21] : 20'h0),
+    1937             :                  _ways_23_io_resp_tailSlot_lower},
+    1938             :             1'h0}
+    1939             :          : 41'h0)
+    1940             :     | (_ways_24_io_resp_hit
+    1941             :          ? {_ways_24_io_resp_tailSlot_sharing
+    1942             :               ? {(_target_T_666 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1943             :                    | (_target_T_667 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1944             :                    | (_target_T_668 ? s1_pc_dup_0[40:13] : 28'h0),
+    1945             :                  _ways_24_io_resp_tailSlot_lower[11:0]}
+    1946             :               : {(_target_T_666 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1947             :                    | (_target_T_667 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1948             :                    | (_target_T_668 ? s1_pc_dup_0[40:21] : 20'h0),
+    1949             :                  _ways_24_io_resp_tailSlot_lower},
+    1950             :             1'h0}
+    1951             :          : 41'h0)
+    1952             :     | (_ways_25_io_resp_hit
+    1953             :          ? {_ways_25_io_resp_tailSlot_sharing
+    1954             :               ? {(_target_T_693 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1955             :                    | (_target_T_694 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1956             :                    | (_target_T_695 ? s1_pc_dup_0[40:13] : 28'h0),
+    1957             :                  _ways_25_io_resp_tailSlot_lower[11:0]}
+    1958             :               : {(_target_T_693 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1959             :                    | (_target_T_694 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1960             :                    | (_target_T_695 ? s1_pc_dup_0[40:21] : 20'h0),
+    1961             :                  _ways_25_io_resp_tailSlot_lower},
+    1962             :             1'h0}
+    1963             :          : 41'h0)
+    1964             :     | (_ways_26_io_resp_hit
+    1965             :          ? {_ways_26_io_resp_tailSlot_sharing
+    1966             :               ? {(_target_T_720 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1967             :                    | (_target_T_721 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1968             :                    | (_target_T_722 ? s1_pc_dup_0[40:13] : 28'h0),
+    1969             :                  _ways_26_io_resp_tailSlot_lower[11:0]}
+    1970             :               : {(_target_T_720 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1971             :                    | (_target_T_721 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1972             :                    | (_target_T_722 ? s1_pc_dup_0[40:21] : 20'h0),
+    1973             :                  _ways_26_io_resp_tailSlot_lower},
+    1974             :             1'h0}
+    1975             :          : 41'h0)
+    1976             :     | (_ways_27_io_resp_hit
+    1977             :          ? {_ways_27_io_resp_tailSlot_sharing
+    1978             :               ? {(_target_T_747 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1979             :                    | (_target_T_748 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1980             :                    | (_target_T_749 ? s1_pc_dup_0[40:13] : 28'h0),
+    1981             :                  _ways_27_io_resp_tailSlot_lower[11:0]}
+    1982             :               : {(_target_T_747 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1983             :                    | (_target_T_748 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1984             :                    | (_target_T_749 ? s1_pc_dup_0[40:21] : 20'h0),
+    1985             :                  _ways_27_io_resp_tailSlot_lower},
+    1986             :             1'h0}
+    1987             :          : 41'h0)
+    1988             :     | (_ways_28_io_resp_hit
+    1989             :          ? {_ways_28_io_resp_tailSlot_sharing
+    1990             :               ? {(_target_T_774 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    1991             :                    | (_target_T_775 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    1992             :                    | (_target_T_776 ? s1_pc_dup_0[40:13] : 28'h0),
+    1993             :                  _ways_28_io_resp_tailSlot_lower[11:0]}
+    1994             :               : {(_target_T_774 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    1995             :                    | (_target_T_775 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    1996             :                    | (_target_T_776 ? s1_pc_dup_0[40:21] : 20'h0),
+    1997             :                  _ways_28_io_resp_tailSlot_lower},
+    1998             :             1'h0}
+    1999             :          : 41'h0)
+    2000             :     | (_ways_29_io_resp_hit
+    2001             :          ? {_ways_29_io_resp_tailSlot_sharing
+    2002             :               ? {(_target_T_801 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    2003             :                    | (_target_T_802 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    2004             :                    | (_target_T_803 ? s1_pc_dup_0[40:13] : 28'h0),
+    2005             :                  _ways_29_io_resp_tailSlot_lower[11:0]}
+    2006             :               : {(_target_T_801 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    2007             :                    | (_target_T_802 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    2008             :                    | (_target_T_803 ? s1_pc_dup_0[40:21] : 20'h0),
+    2009             :                  _ways_29_io_resp_tailSlot_lower},
+    2010             :             1'h0}
+    2011             :          : 41'h0)
+    2012             :     | (_ways_30_io_resp_hit
+    2013             :          ? {_ways_30_io_resp_tailSlot_sharing
+    2014             :               ? {(_target_T_828 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    2015             :                    | (_target_T_829 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    2016             :                    | (_target_T_830 ? s1_pc_dup_0[40:13] : 28'h0),
+    2017             :                  _ways_30_io_resp_tailSlot_lower[11:0]}
+    2018             :               : {(_target_T_828 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    2019             :                    | (_target_T_829 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    2020             :                    | (_target_T_830 ? s1_pc_dup_0[40:21] : 20'h0),
+    2021             :                  _ways_30_io_resp_tailSlot_lower},
+    2022             :             1'h0}
+    2023             :          : 41'h0)
+    2024             :     | (_ways_31_io_resp_hit
+    2025             :          ? {_ways_31_io_resp_tailSlot_sharing
+    2026             :               ? {(_target_T_855 ? 28'(s1_pc_dup_0[40:13] + 28'h1) : 28'h0)
+    2027             :                    | (_target_T_856 ? 28'(s1_pc_dup_0[40:13] - 28'h1) : 28'h0)
+    2028             :                    | (_target_T_857 ? s1_pc_dup_0[40:13] : 28'h0),
+    2029             :                  _ways_31_io_resp_tailSlot_lower[11:0]}
+    2030             :               : {(_target_T_855 ? 20'(s1_pc_dup_0[40:21] + 20'h1) : 20'h0)
+    2031             :                    | (_target_T_856 ? 20'(s1_pc_dup_0[40:21] - 20'h1) : 20'h0)
+    2032             :                    | (_target_T_857 ? s1_pc_dup_0[40:21] : 20'h0),
+    2033             :                  _ways_31_io_resp_tailSlot_lower},
+    2034             :             1'h0}
+    2035             :          : 41'h0);
+    2036             :   wire [40:0] _s1_hit_full_pred_T_913 = _GEN_1 | _GEN_2;
+    2037             :   wire        _s1_hit_full_pred_T_976 =
+    2038             :     _ways_0_io_resp_hit & _ways_0_io_resp_brSlots_0_valid | _ways_1_io_resp_hit
+    2039             :     & _ways_1_io_resp_brSlots_0_valid | _ways_2_io_resp_hit
+    2040             :     & _ways_2_io_resp_brSlots_0_valid | _ways_3_io_resp_hit
+    2041             :     & _ways_3_io_resp_brSlots_0_valid | _ways_4_io_resp_hit
+    2042             :     & _ways_4_io_resp_brSlots_0_valid | _ways_5_io_resp_hit
+    2043             :     & _ways_5_io_resp_brSlots_0_valid | _ways_6_io_resp_hit
+    2044             :     & _ways_6_io_resp_brSlots_0_valid | _ways_7_io_resp_hit
+    2045             :     & _ways_7_io_resp_brSlots_0_valid | _ways_8_io_resp_hit
+    2046             :     & _ways_8_io_resp_brSlots_0_valid | _ways_9_io_resp_hit
+    2047             :     & _ways_9_io_resp_brSlots_0_valid | _ways_10_io_resp_hit
+    2048             :     & _ways_10_io_resp_brSlots_0_valid | _ways_11_io_resp_hit
+    2049             :     & _ways_11_io_resp_brSlots_0_valid | _ways_12_io_resp_hit
+    2050             :     & _ways_12_io_resp_brSlots_0_valid | _ways_13_io_resp_hit
+    2051             :     & _ways_13_io_resp_brSlots_0_valid | _ways_14_io_resp_hit
+    2052             :     & _ways_14_io_resp_brSlots_0_valid | _ways_15_io_resp_hit
+    2053             :     & _ways_15_io_resp_brSlots_0_valid | _ways_16_io_resp_hit
+    2054             :     & _ways_16_io_resp_brSlots_0_valid | _ways_17_io_resp_hit
+    2055             :     & _ways_17_io_resp_brSlots_0_valid | _ways_18_io_resp_hit
+    2056             :     & _ways_18_io_resp_brSlots_0_valid | _ways_19_io_resp_hit
+    2057             :     & _ways_19_io_resp_brSlots_0_valid | _ways_20_io_resp_hit
+    2058             :     & _ways_20_io_resp_brSlots_0_valid | _ways_21_io_resp_hit
+    2059             :     & _ways_21_io_resp_brSlots_0_valid | _ways_22_io_resp_hit
+    2060             :     & _ways_22_io_resp_brSlots_0_valid | _ways_23_io_resp_hit
+    2061             :     & _ways_23_io_resp_brSlots_0_valid | _ways_24_io_resp_hit
+    2062             :     & _ways_24_io_resp_brSlots_0_valid | _ways_25_io_resp_hit
+    2063             :     & _ways_25_io_resp_brSlots_0_valid | _ways_26_io_resp_hit
+    2064             :     & _ways_26_io_resp_brSlots_0_valid | _ways_27_io_resp_hit
+    2065             :     & _ways_27_io_resp_brSlots_0_valid | _ways_28_io_resp_hit
+    2066             :     & _ways_28_io_resp_brSlots_0_valid | _ways_29_io_resp_hit
+    2067             :     & _ways_29_io_resp_brSlots_0_valid | _ways_30_io_resp_hit
+    2068             :     & _ways_30_io_resp_brSlots_0_valid | _ways_31_io_resp_hit
+    2069             :     & _ways_31_io_resp_brSlots_0_valid;
+    2070             :   wire        _s1_hit_full_pred_T_1039 =
+    2071             :     _ways_0_io_resp_hit & _ways_0_io_resp_tailSlot_valid | _ways_1_io_resp_hit
+    2072             :     & _ways_1_io_resp_tailSlot_valid | _ways_2_io_resp_hit
+    2073             :     & _ways_2_io_resp_tailSlot_valid | _ways_3_io_resp_hit
+    2074             :     & _ways_3_io_resp_tailSlot_valid | _ways_4_io_resp_hit
+    2075             :     & _ways_4_io_resp_tailSlot_valid | _ways_5_io_resp_hit
+    2076             :     & _ways_5_io_resp_tailSlot_valid | _ways_6_io_resp_hit
+    2077             :     & _ways_6_io_resp_tailSlot_valid | _ways_7_io_resp_hit
+    2078             :     & _ways_7_io_resp_tailSlot_valid | _ways_8_io_resp_hit
+    2079             :     & _ways_8_io_resp_tailSlot_valid | _ways_9_io_resp_hit
+    2080             :     & _ways_9_io_resp_tailSlot_valid | _ways_10_io_resp_hit
+    2081             :     & _ways_10_io_resp_tailSlot_valid | _ways_11_io_resp_hit
+    2082             :     & _ways_11_io_resp_tailSlot_valid | _ways_12_io_resp_hit
+    2083             :     & _ways_12_io_resp_tailSlot_valid | _ways_13_io_resp_hit
+    2084             :     & _ways_13_io_resp_tailSlot_valid | _ways_14_io_resp_hit
+    2085             :     & _ways_14_io_resp_tailSlot_valid | _ways_15_io_resp_hit
+    2086             :     & _ways_15_io_resp_tailSlot_valid | _ways_16_io_resp_hit
+    2087             :     & _ways_16_io_resp_tailSlot_valid | _ways_17_io_resp_hit
+    2088             :     & _ways_17_io_resp_tailSlot_valid | _ways_18_io_resp_hit
+    2089             :     & _ways_18_io_resp_tailSlot_valid | _ways_19_io_resp_hit
+    2090             :     & _ways_19_io_resp_tailSlot_valid | _ways_20_io_resp_hit
+    2091             :     & _ways_20_io_resp_tailSlot_valid | _ways_21_io_resp_hit
+    2092             :     & _ways_21_io_resp_tailSlot_valid | _ways_22_io_resp_hit
+    2093             :     & _ways_22_io_resp_tailSlot_valid | _ways_23_io_resp_hit
+    2094             :     & _ways_23_io_resp_tailSlot_valid | _ways_24_io_resp_hit
+    2095             :     & _ways_24_io_resp_tailSlot_valid | _ways_25_io_resp_hit
+    2096             :     & _ways_25_io_resp_tailSlot_valid | _ways_26_io_resp_hit
+    2097             :     & _ways_26_io_resp_tailSlot_valid | _ways_27_io_resp_hit
+    2098             :     & _ways_27_io_resp_tailSlot_valid | _ways_28_io_resp_hit
+    2099             :     & _ways_28_io_resp_tailSlot_valid | _ways_29_io_resp_hit
+    2100             :     & _ways_29_io_resp_tailSlot_valid | _ways_30_io_resp_hit
+    2101             :     & _ways_30_io_resp_tailSlot_valid | _ways_31_io_resp_hit
+    2102             :     & _ways_31_io_resp_tailSlot_valid;
+    2103             :   wire        _s1_hit_full_pred_T_1102 =
+    2104             :     _ways_0_io_resp_hit & (ctrs_0_0[1] | _ways_0_io_resp_always_taken_0)
+    2105             :     | _ways_1_io_resp_hit & (ctrs_1_0[1] | _ways_1_io_resp_always_taken_0)
+    2106             :     | _ways_2_io_resp_hit & (ctrs_2_0[1] | _ways_2_io_resp_always_taken_0)
+    2107             :     | _ways_3_io_resp_hit & (ctrs_3_0[1] | _ways_3_io_resp_always_taken_0)
+    2108             :     | _ways_4_io_resp_hit & (ctrs_4_0[1] | _ways_4_io_resp_always_taken_0)
+    2109             :     | _ways_5_io_resp_hit & (ctrs_5_0[1] | _ways_5_io_resp_always_taken_0)
+    2110             :     | _ways_6_io_resp_hit & (ctrs_6_0[1] | _ways_6_io_resp_always_taken_0)
+    2111             :     | _ways_7_io_resp_hit & (ctrs_7_0[1] | _ways_7_io_resp_always_taken_0)
+    2112             :     | _ways_8_io_resp_hit & (ctrs_8_0[1] | _ways_8_io_resp_always_taken_0)
+    2113             :     | _ways_9_io_resp_hit & (ctrs_9_0[1] | _ways_9_io_resp_always_taken_0)
+    2114             :     | _ways_10_io_resp_hit & (ctrs_10_0[1] | _ways_10_io_resp_always_taken_0)
+    2115             :     | _ways_11_io_resp_hit & (ctrs_11_0[1] | _ways_11_io_resp_always_taken_0)
+    2116             :     | _ways_12_io_resp_hit & (ctrs_12_0[1] | _ways_12_io_resp_always_taken_0)
+    2117             :     | _ways_13_io_resp_hit & (ctrs_13_0[1] | _ways_13_io_resp_always_taken_0)
+    2118             :     | _ways_14_io_resp_hit & (ctrs_14_0[1] | _ways_14_io_resp_always_taken_0)
+    2119             :     | _ways_15_io_resp_hit & (ctrs_15_0[1] | _ways_15_io_resp_always_taken_0)
+    2120             :     | _ways_16_io_resp_hit & (ctrs_16_0[1] | _ways_16_io_resp_always_taken_0)
+    2121             :     | _ways_17_io_resp_hit & (ctrs_17_0[1] | _ways_17_io_resp_always_taken_0)
+    2122             :     | _ways_18_io_resp_hit & (ctrs_18_0[1] | _ways_18_io_resp_always_taken_0)
+    2123             :     | _ways_19_io_resp_hit & (ctrs_19_0[1] | _ways_19_io_resp_always_taken_0)
+    2124             :     | _ways_20_io_resp_hit & (ctrs_20_0[1] | _ways_20_io_resp_always_taken_0)
+    2125             :     | _ways_21_io_resp_hit & (ctrs_21_0[1] | _ways_21_io_resp_always_taken_0)
+    2126             :     | _ways_22_io_resp_hit & (ctrs_22_0[1] | _ways_22_io_resp_always_taken_0)
+    2127             :     | _ways_23_io_resp_hit & (ctrs_23_0[1] | _ways_23_io_resp_always_taken_0)
+    2128             :     | _ways_24_io_resp_hit & (ctrs_24_0[1] | _ways_24_io_resp_always_taken_0)
+    2129             :     | _ways_25_io_resp_hit & (ctrs_25_0[1] | _ways_25_io_resp_always_taken_0)
+    2130             :     | _ways_26_io_resp_hit & (ctrs_26_0[1] | _ways_26_io_resp_always_taken_0)
+    2131             :     | _ways_27_io_resp_hit & (ctrs_27_0[1] | _ways_27_io_resp_always_taken_0)
+    2132             :     | _ways_28_io_resp_hit & (ctrs_28_0[1] | _ways_28_io_resp_always_taken_0)
+    2133             :     | _ways_29_io_resp_hit & (ctrs_29_0[1] | _ways_29_io_resp_always_taken_0)
+    2134             :     | _ways_30_io_resp_hit & (ctrs_30_0[1] | _ways_30_io_resp_always_taken_0)
+    2135             :     | _ways_31_io_resp_hit & (ctrs_31_0[1] | _ways_31_io_resp_always_taken_0);
+    2136             :   wire        _s1_hit_full_pred_T_1165 =
+    2137             :     _ways_0_io_resp_hit & (ctrs_0_1[1] | _ways_0_io_resp_always_taken_1)
+    2138             :     | _ways_1_io_resp_hit & (ctrs_1_1[1] | _ways_1_io_resp_always_taken_1)
+    2139             :     | _ways_2_io_resp_hit & (ctrs_2_1[1] | _ways_2_io_resp_always_taken_1)
+    2140             :     | _ways_3_io_resp_hit & (ctrs_3_1[1] | _ways_3_io_resp_always_taken_1)
+    2141             :     | _ways_4_io_resp_hit & (ctrs_4_1[1] | _ways_4_io_resp_always_taken_1)
+    2142             :     | _ways_5_io_resp_hit & (ctrs_5_1[1] | _ways_5_io_resp_always_taken_1)
+    2143             :     | _ways_6_io_resp_hit & (ctrs_6_1[1] | _ways_6_io_resp_always_taken_1)
+    2144             :     | _ways_7_io_resp_hit & (ctrs_7_1[1] | _ways_7_io_resp_always_taken_1)
+    2145             :     | _ways_8_io_resp_hit & (ctrs_8_1[1] | _ways_8_io_resp_always_taken_1)
+    2146             :     | _ways_9_io_resp_hit & (ctrs_9_1[1] | _ways_9_io_resp_always_taken_1)
+    2147             :     | _ways_10_io_resp_hit & (ctrs_10_1[1] | _ways_10_io_resp_always_taken_1)
+    2148             :     | _ways_11_io_resp_hit & (ctrs_11_1[1] | _ways_11_io_resp_always_taken_1)
+    2149             :     | _ways_12_io_resp_hit & (ctrs_12_1[1] | _ways_12_io_resp_always_taken_1)
+    2150             :     | _ways_13_io_resp_hit & (ctrs_13_1[1] | _ways_13_io_resp_always_taken_1)
+    2151             :     | _ways_14_io_resp_hit & (ctrs_14_1[1] | _ways_14_io_resp_always_taken_1)
+    2152             :     | _ways_15_io_resp_hit & (ctrs_15_1[1] | _ways_15_io_resp_always_taken_1)
+    2153             :     | _ways_16_io_resp_hit & (ctrs_16_1[1] | _ways_16_io_resp_always_taken_1)
+    2154             :     | _ways_17_io_resp_hit & (ctrs_17_1[1] | _ways_17_io_resp_always_taken_1)
+    2155             :     | _ways_18_io_resp_hit & (ctrs_18_1[1] | _ways_18_io_resp_always_taken_1)
+    2156             :     | _ways_19_io_resp_hit & (ctrs_19_1[1] | _ways_19_io_resp_always_taken_1)
+    2157             :     | _ways_20_io_resp_hit & (ctrs_20_1[1] | _ways_20_io_resp_always_taken_1)
+    2158             :     | _ways_21_io_resp_hit & (ctrs_21_1[1] | _ways_21_io_resp_always_taken_1)
+    2159             :     | _ways_22_io_resp_hit & (ctrs_22_1[1] | _ways_22_io_resp_always_taken_1)
+    2160             :     | _ways_23_io_resp_hit & (ctrs_23_1[1] | _ways_23_io_resp_always_taken_1)
+    2161             :     | _ways_24_io_resp_hit & (ctrs_24_1[1] | _ways_24_io_resp_always_taken_1)
+    2162             :     | _ways_25_io_resp_hit & (ctrs_25_1[1] | _ways_25_io_resp_always_taken_1)
+    2163             :     | _ways_26_io_resp_hit & (ctrs_26_1[1] | _ways_26_io_resp_always_taken_1)
+    2164             :     | _ways_27_io_resp_hit & (ctrs_27_1[1] | _ways_27_io_resp_always_taken_1)
+    2165             :     | _ways_28_io_resp_hit & (ctrs_28_1[1] | _ways_28_io_resp_always_taken_1)
+    2166             :     | _ways_29_io_resp_hit & (ctrs_29_1[1] | _ways_29_io_resp_always_taken_1)
+    2167             :     | _ways_30_io_resp_hit & (ctrs_30_1[1] | _ways_30_io_resp_always_taken_1)
+    2168             :     | _ways_31_io_resp_hit & (ctrs_31_1[1] | _ways_31_io_resp_always_taken_1);
+    2169          97 :   reg         fauftb_enable;
+    2170          14 :   wire        io_out_s1_full_pred_3_hit_0 = (|s1_hit_oh) & fauftb_enable;
+    2171          31 :   reg         resp_meta_hit_r;
+    2172         165 :   reg  [4:0]  resp_meta_pred_way_r;
+    2173          21 :   reg         replacer_touch_ways_0_valid_REG;
+    2174          76 :   reg  [4:0]  replacer_touch_ways_0_bits_r;
+    2175         118 :   reg         u_s1_valid;
+    2176         435 :   reg  [15:0] u_s1_tag;
+    2177         843 :   reg  [31:0] u_s1_hit_oh;
+    2178          31 :   reg         u_s1_hit;
+    2179         680 :   wire [31:0] u_s1_write_way_oh =
+    2180             :     u_s1_hit
+    2181             :       ? u_s1_hit_oh
+    2182             :       : 32'h1
+    2183             :         << {27'h0,
+    2184             :             state_reg[30],
+    2185             :             state_reg[30]
+    2186             :               ? {state_reg[29],
+    2187             :                  state_reg[29]
+    2188             :                    ? {state_reg[28],
+    2189             :                       state_reg[28]
+    2190             :                         ? {state_reg[27], state_reg[27] ? state_reg[26] : state_reg[25]}
+    2191             :                         : {state_reg[24], state_reg[24] ? state_reg[23] : state_reg[22]}}
+    2192             :                    : {state_reg[21],
+    2193             :                       state_reg[21]
+    2194             :                         ? {state_reg[20], state_reg[20] ? state_reg[19] : state_reg[18]}
+    2195             :                         : {state_reg[17], state_reg[17] ? state_reg[16] : state_reg[15]}}}
+    2196             :               : {state_reg[14],
+    2197             :                  state_reg[14]
+    2198             :                    ? {state_reg[13],
+    2199             :                       state_reg[13]
+    2200             :                         ? {state_reg[12], state_reg[12] ? state_reg[11] : state_reg[10]}
+    2201             :                         : {state_reg[9], state_reg[9] ? state_reg[8] : state_reg[7]}}
+    2202             :                    : {state_reg[6],
+    2203             :                       state_reg[6]
+    2204             :                         ? {state_reg[5], state_reg[5] ? state_reg[4] : state_reg[3]}
+    2205             :                         : {state_reg[2], state_reg[2] ? state_reg[1] : state_reg[0]}}}};
+    2206         127 :   reg  [3:0]  u_s1_ftb_entry_brSlots_0_offset;
+    2207         345 :   reg  [11:0] u_s1_ftb_entry_brSlots_0_lower;
+    2208          57 :   reg  [1:0]  u_s1_ftb_entry_brSlots_0_tarStat;
+    2209          43 :   reg         u_s1_ftb_entry_brSlots_0_valid;
+    2210         127 :   reg  [3:0]  u_s1_ftb_entry_tailSlot_offset;
+    2211         573 :   reg  [19:0] u_s1_ftb_entry_tailSlot_lower;
+    2212          70 :   reg  [1:0]  u_s1_ftb_entry_tailSlot_tarStat;
+    2213          33 :   reg         u_s1_ftb_entry_tailSlot_sharing;
+    2214          29 :   reg         u_s1_ftb_entry_tailSlot_valid;
+    2215         135 :   reg  [3:0]  u_s1_ftb_entry_pftAddr;
+    2216          30 :   reg         u_s1_ftb_entry_carry;
+    2217          26 :   reg         u_s1_ftb_entry_always_taken_0;
+    2218          37 :   reg         u_s1_ftb_entry_always_taken_1;
+    2219         114 :   wire        u_s1_ways_write_valid_0 = u_s1_write_way_oh[0] & u_s1_valid;
+    2220          23 :   wire        u_s1_ways_write_valid_1 = u_s1_write_way_oh[1] & u_s1_valid;
+    2221          13 :   wire        u_s1_ways_write_valid_2 = u_s1_write_way_oh[2] & u_s1_valid;
+    2222          24 :   wire        u_s1_ways_write_valid_3 = u_s1_write_way_oh[3] & u_s1_valid;
+    2223          19 :   wire        u_s1_ways_write_valid_4 = u_s1_write_way_oh[4] & u_s1_valid;
+    2224          20 :   wire        u_s1_ways_write_valid_5 = u_s1_write_way_oh[5] & u_s1_valid;
+    2225          17 :   wire        u_s1_ways_write_valid_6 = u_s1_write_way_oh[6] & u_s1_valid;
+    2226          18 :   wire        u_s1_ways_write_valid_7 = u_s1_write_way_oh[7] & u_s1_valid;
+    2227          22 :   wire        u_s1_ways_write_valid_8 = u_s1_write_way_oh[8] & u_s1_valid;
+    2228          16 :   wire        u_s1_ways_write_valid_9 = u_s1_write_way_oh[9] & u_s1_valid;
+    2229          20 :   wire        u_s1_ways_write_valid_10 = u_s1_write_way_oh[10] & u_s1_valid;
+    2230          27 :   wire        u_s1_ways_write_valid_11 = u_s1_write_way_oh[11] & u_s1_valid;
+    2231          19 :   wire        u_s1_ways_write_valid_12 = u_s1_write_way_oh[12] & u_s1_valid;
+    2232          13 :   wire        u_s1_ways_write_valid_13 = u_s1_write_way_oh[13] & u_s1_valid;
+    2233          19 :   wire        u_s1_ways_write_valid_14 = u_s1_write_way_oh[14] & u_s1_valid;
+    2234          18 :   wire        u_s1_ways_write_valid_15 = u_s1_write_way_oh[15] & u_s1_valid;
+    2235          20 :   wire        u_s1_ways_write_valid_16 = u_s1_write_way_oh[16] & u_s1_valid;
+    2236          17 :   wire        u_s1_ways_write_valid_17 = u_s1_write_way_oh[17] & u_s1_valid;
+    2237          23 :   wire        u_s1_ways_write_valid_18 = u_s1_write_way_oh[18] & u_s1_valid;
+    2238          23 :   wire        u_s1_ways_write_valid_19 = u_s1_write_way_oh[19] & u_s1_valid;
+    2239          17 :   wire        u_s1_ways_write_valid_20 = u_s1_write_way_oh[20] & u_s1_valid;
+    2240          19 :   wire        u_s1_ways_write_valid_21 = u_s1_write_way_oh[21] & u_s1_valid;
+    2241          18 :   wire        u_s1_ways_write_valid_22 = u_s1_write_way_oh[22] & u_s1_valid;
+    2242          14 :   wire        u_s1_ways_write_valid_23 = u_s1_write_way_oh[23] & u_s1_valid;
+    2243          23 :   wire        u_s1_ways_write_valid_24 = u_s1_write_way_oh[24] & u_s1_valid;
+    2244          20 :   wire        u_s1_ways_write_valid_25 = u_s1_write_way_oh[25] & u_s1_valid;
+    2245          16 :   wire        u_s1_ways_write_valid_26 = u_s1_write_way_oh[26] & u_s1_valid;
+    2246          21 :   wire        u_s1_ways_write_valid_27 = u_s1_write_way_oh[27] & u_s1_valid;
+    2247          22 :   wire        u_s1_ways_write_valid_28 = u_s1_write_way_oh[28] & u_s1_valid;
+    2248          17 :   wire        u_s1_ways_write_valid_29 = u_s1_write_way_oh[29] & u_s1_valid;
+    2249          13 :   wire        u_s1_ways_write_valid_30 = u_s1_write_way_oh[30] & u_s1_valid;
+    2250          12 :   wire        u_s1_ways_write_valid_31 = u_s1_write_way_oh[31] & u_s1_valid;
+    2251          25 :   reg         u_s1_br_update_valids_0;
+    2252          33 :   reg         u_s1_br_update_valids_1;
+    2253          26 :   reg         u_s1_br_takens_0;
+    2254          42 :   reg         u_s1_br_takens_1;
+    2255          29 :   reg         io_perf_0_value_REG;
+    2256          45 :   reg         io_perf_0_value_REG_1;
+    2257         106 :   reg         io_perf_1_value_REG;
+    2258         126 :   reg         io_perf_1_value_REG_1;
+    2259             :   wire [40:0] _GEN_3 = {5'h0, _reset_vector_delay_io_out};
+    2260         495 :   wire [31:0] u_s0_hit_oh =
+    2261             :     {_ways_31_io_update_hit,
+    2262             :      _ways_30_io_update_hit,
+    2263             :      _ways_29_io_update_hit,
+    2264             :      _ways_28_io_update_hit,
+    2265             :      _ways_27_io_update_hit,
+    2266             :      _ways_26_io_update_hit,
+    2267             :      _ways_25_io_update_hit,
+    2268             :      _ways_24_io_update_hit,
+    2269             :      _ways_23_io_update_hit,
+    2270             :      _ways_22_io_update_hit,
+    2271             :      _ways_21_io_update_hit,
+    2272             :      _ways_20_io_update_hit,
+    2273             :      _ways_19_io_update_hit,
+    2274             :      _ways_18_io_update_hit,
+    2275             :      _ways_17_io_update_hit,
+    2276             :      _ways_16_io_update_hit,
+    2277             :      _ways_15_io_update_hit,
+    2278             :      _ways_14_io_update_hit,
+    2279             :      _ways_13_io_update_hit,
+    2280             :      _ways_12_io_update_hit,
+    2281             :      _ways_11_io_update_hit,
+    2282             :      _ways_10_io_update_hit,
+    2283             :      _ways_9_io_update_hit,
+    2284             :      _ways_8_io_update_hit,
+    2285             :      _ways_7_io_update_hit,
+    2286             :      _ways_6_io_update_hit,
+    2287             :      _ways_5_io_update_hit,
+    2288             :      _ways_4_io_update_hit,
+    2289             :      _ways_3_io_update_hit,
+    2290             :      _ways_2_io_update_hit,
+    2291             :      _ways_1_io_update_hit,
+    2292             :      _ways_0_io_update_hit};
+    2293             :   wire [14:0] _s1_hit_way_T_1 =
+    2294             :     {_ways_31_io_resp_hit,
+    2295             :      _ways_30_io_resp_hit,
+    2296             :      _ways_29_io_resp_hit,
+    2297             :      _ways_28_io_resp_hit,
+    2298             :      _ways_27_io_resp_hit,
+    2299             :      _ways_26_io_resp_hit,
+    2300             :      _ways_25_io_resp_hit,
+    2301             :      _ways_24_io_resp_hit,
+    2302             :      _ways_23_io_resp_hit,
+    2303             :      _ways_22_io_resp_hit,
+    2304             :      _ways_21_io_resp_hit,
+    2305             :      _ways_20_io_resp_hit,
+    2306             :      _ways_19_io_resp_hit,
+    2307             :      _ways_18_io_resp_hit,
+    2308             :      _ways_17_io_resp_hit}
+    2309             :     | {_ways_15_io_resp_hit,
+    2310             :        _ways_14_io_resp_hit,
+    2311             :        _ways_13_io_resp_hit,
+    2312             :        _ways_12_io_resp_hit,
+    2313             :        _ways_11_io_resp_hit,
+    2314             :        _ways_10_io_resp_hit,
+    2315             :        _ways_9_io_resp_hit,
+    2316             :        _ways_8_io_resp_hit,
+    2317             :        _ways_7_io_resp_hit,
+    2318             :        _ways_6_io_resp_hit,
+    2319             :        _ways_5_io_resp_hit,
+    2320             :        _ways_4_io_resp_hit,
+    2321             :        _ways_3_io_resp_hit,
+    2322             :        _ways_2_io_resp_hit,
+    2323             :        _ways_1_io_resp_hit};
+    2324             :   wire [6:0]  _s1_hit_way_T_3 = _s1_hit_way_T_1[14:8] | _s1_hit_way_T_1[6:0];
+    2325             :   wire [2:0]  _s1_hit_way_T_5 = _s1_hit_way_T_3[6:4] | _s1_hit_way_T_3[2:0];
+    2326          80 :   wire [4:0]  s1_hit_way =
+    2327             :     {|{_ways_31_io_resp_hit,
+    2328             :        _ways_30_io_resp_hit,
+    2329             :        _ways_29_io_resp_hit,
+    2330             :        _ways_28_io_resp_hit,
+    2331             :        _ways_27_io_resp_hit,
+    2332             :        _ways_26_io_resp_hit,
+    2333             :        _ways_25_io_resp_hit,
+    2334             :        _ways_24_io_resp_hit,
+    2335             :        _ways_23_io_resp_hit,
+    2336             :        _ways_22_io_resp_hit,
+    2337             :        _ways_21_io_resp_hit,
+    2338             :        _ways_20_io_resp_hit,
+    2339             :        _ways_19_io_resp_hit,
+    2340             :        _ways_18_io_resp_hit,
+    2341             :        _ways_17_io_resp_hit,
+    2342             :        _ways_16_io_resp_hit},
+    2343             :      |(_s1_hit_way_T_1[14:7]),
+    2344             :      |(_s1_hit_way_T_3[6:3]),
+    2345             :      |(_s1_hit_way_T_5[2:1]),
+    2346             :      _s1_hit_way_T_5[2] | _s1_hit_way_T_5[0]};
+    2347      127694 :   always @(posedge clock) begin
+    2348          98 :     if (REG_1) begin
+    2349          49 :       s1_pc_dup_0 <= _GEN_3;
+    2350          49 :       s1_pc_dup_1 <= _GEN_3;
+    2351          49 :       s1_pc_dup_2 <= _GEN_3;
+    2352          49 :       s1_pc_dup_3 <= _GEN_3;
+    2353             :     end
+    2354       63798 :     else begin
+    2355        8350 :       if (io_s0_fire_0)
+    2356        4175 :         s1_pc_dup_0 <= io_in_bits_s0_pc_0;
+    2357        8350 :       if (io_s0_fire_1)
+    2358        4175 :         s1_pc_dup_1 <= io_in_bits_s0_pc_1;
+    2359        8350 :       if (io_s0_fire_2)
+    2360        4175 :         s1_pc_dup_2 <= io_in_bits_s0_pc_2;
+    2361        8350 :       if (io_s0_fire_3)
+    2362        4175 :         s1_pc_dup_3 <= io_in_bits_s0_pc_3;
+    2363             :     end
+    2364       63847 :     REG <= reset;
+    2365       63847 :     REG_1 <= REG & ~reset;
+    2366       63847 :     fauftb_enable <= io_ctrl_ubtb_enable;
+    2367        8252 :     if (io_s1_fire_0) begin
+    2368        4126 :       resp_meta_hit_r <= |s1_hit_oh;
+    2369        4126 :       resp_meta_pred_way_r <= s1_hit_way;
+    2370             :     end
+    2371        8150 :     if (io_s2_fire_0) begin
+    2372        4075 :       resp_meta_hit_r_1 <= resp_meta_hit_r;
+    2373        4075 :       resp_meta_pred_way_r_1 <= resp_meta_pred_way_r;
+    2374             :     end
+    2375       63847 :     replacer_touch_ways_0_valid_REG <= io_s1_fire_0 & (|s1_hit_oh);
+    2376           0 :     if (io_s1_fire_0 & (|s1_hit_oh))
+    2377           0 :       replacer_touch_ways_0_bits_r <= s1_hit_way;
+    2378       63847 :     u_s1_valid <= io_update_valid;
+    2379          94 :     if (io_update_valid) begin
+    2380          47 :       u_s1_tag <= io_update_bits_pc[16:1];
+    2381          47 :       u_s1_hit_oh <= u_s0_hit_oh;
+    2382          47 :       u_s1_hit <= |u_s0_hit_oh;
+    2383          47 :       u_s1_ftb_entry_brSlots_0_offset <= io_update_bits_ftb_entry_brSlots_0_offset;
+    2384          47 :       u_s1_ftb_entry_brSlots_0_lower <= io_update_bits_ftb_entry_brSlots_0_lower;
+    2385          47 :       u_s1_ftb_entry_brSlots_0_tarStat <= io_update_bits_ftb_entry_brSlots_0_tarStat;
+    2386          47 :       u_s1_ftb_entry_brSlots_0_valid <= io_update_bits_ftb_entry_brSlots_0_valid;
+    2387          47 :       u_s1_ftb_entry_tailSlot_offset <= io_update_bits_ftb_entry_tailSlot_offset;
+    2388          47 :       u_s1_ftb_entry_tailSlot_lower <= io_update_bits_ftb_entry_tailSlot_lower;
+    2389          47 :       u_s1_ftb_entry_tailSlot_tarStat <= io_update_bits_ftb_entry_tailSlot_tarStat;
+    2390          47 :       u_s1_ftb_entry_tailSlot_sharing <= io_update_bits_ftb_entry_tailSlot_sharing;
+    2391          47 :       u_s1_ftb_entry_tailSlot_valid <= io_update_bits_ftb_entry_tailSlot_valid;
+    2392          47 :       u_s1_ftb_entry_pftAddr <= io_update_bits_ftb_entry_pftAddr;
+    2393          47 :       u_s1_ftb_entry_carry <= io_update_bits_ftb_entry_carry;
+    2394          47 :       u_s1_ftb_entry_always_taken_0 <= io_update_bits_ftb_entry_always_taken_0;
+    2395          47 :       u_s1_ftb_entry_always_taken_1 <= io_update_bits_ftb_entry_always_taken_1;
+    2396          47 :       u_s1_br_update_valids_0 <=
+    2397          47 :         io_update_bits_ftb_entry_brSlots_0_valid & io_update_valid
+    2398          47 :         & ~io_update_bits_ftb_entry_always_taken_0;
+    2399          47 :       u_s1_br_update_valids_1 <=
+    2400          47 :         io_update_bits_ftb_entry_tailSlot_valid
+    2401          47 :         & io_update_bits_ftb_entry_tailSlot_sharing & io_update_valid
+    2402          47 :         & ~io_update_bits_ftb_entry_always_taken_1 & ~io_update_bits_br_taken_mask_0;
+    2403          47 :       u_s1_br_takens_0 <= io_update_bits_br_taken_mask_0;
+    2404          47 :       u_s1_br_takens_1 <= io_update_bits_br_taken_mask_1;
+    2405             :     end
+    2406       63847 :     io_perf_0_value_REG <= io_update_valid & io_update_bits_meta[0];
+    2407       63847 :     io_perf_0_value_REG_1 <= io_perf_0_value_REG;
+    2408       63847 :     io_perf_1_value_REG <= io_update_valid & ~(io_update_bits_meta[0]);
+    2409       63847 :     io_perf_1_value_REG_1 <= io_perf_1_value_REG;
+    2410             :   end // always @(posedge)
+    2411             :   wire [14:0] _replacer_touch_ways_1_bits_T_1 =
+    2412             :     u_s1_write_way_oh[31:17] | u_s1_write_way_oh[15:1];
+    2413             :   wire [6:0]  _replacer_touch_ways_1_bits_T_3 =
+    2414             :     _replacer_touch_ways_1_bits_T_1[14:8] | _replacer_touch_ways_1_bits_T_1[6:0];
+    2415             :   wire [2:0]  _replacer_touch_ways_1_bits_T_5 =
+    2416             :     _replacer_touch_ways_1_bits_T_3[6:4] | _replacer_touch_ways_1_bits_T_3[2:0];
+    2417             :   wire        _replacer_touch_ways_1_bits_T_7 =
+    2418             :     _replacer_touch_ways_1_bits_T_5[2] | _replacer_touch_ways_1_bits_T_5[0];
+    2419             :   wire [14:0] _state_reg_T_52 =
+    2420             :     replacer_touch_ways_0_bits_r[4]
+    2421             :       ? {~(replacer_touch_ways_0_bits_r[3]),
+    2422             :          replacer_touch_ways_0_bits_r[3]
+    2423             :            ? {~(replacer_touch_ways_0_bits_r[2]),
+    2424             :               replacer_touch_ways_0_bits_r[2]
+    2425             :                 ? {~(replacer_touch_ways_0_bits_r[1]),
+    2426             :                    replacer_touch_ways_0_bits_r[1]
+    2427             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2428             :                      : state_reg[26],
+    2429             :                    replacer_touch_ways_0_bits_r[1]
+    2430             :                      ? state_reg[25]
+    2431             :                      : ~(replacer_touch_ways_0_bits_r[0])}
+    2432             :                 : state_reg[27:25],
+    2433             :               replacer_touch_ways_0_bits_r[2]
+    2434             :                 ? state_reg[24:22]
+    2435             :                 : {~(replacer_touch_ways_0_bits_r[1]),
+    2436             :                    replacer_touch_ways_0_bits_r[1]
+    2437             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2438             :                      : state_reg[23],
+    2439             :                    replacer_touch_ways_0_bits_r[1]
+    2440             :                      ? state_reg[22]
+    2441             :                      : ~(replacer_touch_ways_0_bits_r[0])}}
+    2442             :            : state_reg[28:22],
+    2443             :          replacer_touch_ways_0_bits_r[3]
+    2444             :            ? state_reg[21:15]
+    2445             :            : {~(replacer_touch_ways_0_bits_r[2]),
+    2446             :               replacer_touch_ways_0_bits_r[2]
+    2447             :                 ? {~(replacer_touch_ways_0_bits_r[1]),
+    2448             :                    replacer_touch_ways_0_bits_r[1]
+    2449             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2450             :                      : state_reg[19],
+    2451             :                    replacer_touch_ways_0_bits_r[1]
+    2452             :                      ? state_reg[18]
+    2453             :                      : ~(replacer_touch_ways_0_bits_r[0])}
+    2454             :                 : state_reg[20:18],
+    2455             :               replacer_touch_ways_0_bits_r[2]
+    2456             :                 ? state_reg[17:15]
+    2457             :                 : {~(replacer_touch_ways_0_bits_r[1]),
+    2458             :                    replacer_touch_ways_0_bits_r[1]
+    2459             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2460             :                      : state_reg[16],
+    2461             :                    replacer_touch_ways_0_bits_r[1]
+    2462             :                      ? state_reg[15]
+    2463             :                      : ~(replacer_touch_ways_0_bits_r[0])}}}
+    2464             :       : state_reg[29:15];
+    2465             :   wire [14:0] _state_reg_T_105 =
+    2466             :     replacer_touch_ways_0_bits_r[4]
+    2467             :       ? state_reg[14:0]
+    2468             :       : {~(replacer_touch_ways_0_bits_r[3]),
+    2469             :          replacer_touch_ways_0_bits_r[3]
+    2470             :            ? {~(replacer_touch_ways_0_bits_r[2]),
+    2471             :               replacer_touch_ways_0_bits_r[2]
+    2472             :                 ? {~(replacer_touch_ways_0_bits_r[1]),
+    2473             :                    replacer_touch_ways_0_bits_r[1]
+    2474             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2475             :                      : state_reg[11],
+    2476             :                    replacer_touch_ways_0_bits_r[1]
+    2477             :                      ? state_reg[10]
+    2478             :                      : ~(replacer_touch_ways_0_bits_r[0])}
+    2479             :                 : state_reg[12:10],
+    2480             :               replacer_touch_ways_0_bits_r[2]
+    2481             :                 ? state_reg[9:7]
+    2482             :                 : {~(replacer_touch_ways_0_bits_r[1]),
+    2483             :                    replacer_touch_ways_0_bits_r[1]
+    2484             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2485             :                      : state_reg[8],
+    2486             :                    replacer_touch_ways_0_bits_r[1]
+    2487             :                      ? state_reg[7]
+    2488             :                      : ~(replacer_touch_ways_0_bits_r[0])}}
+    2489             :            : state_reg[13:7],
+    2490             :          replacer_touch_ways_0_bits_r[3]
+    2491             :            ? state_reg[6:0]
+    2492             :            : {~(replacer_touch_ways_0_bits_r[2]),
+    2493             :               replacer_touch_ways_0_bits_r[2]
+    2494             :                 ? {~(replacer_touch_ways_0_bits_r[1]),
+    2495             :                    replacer_touch_ways_0_bits_r[1]
+    2496             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2497             :                      : state_reg[4],
+    2498             :                    replacer_touch_ways_0_bits_r[1]
+    2499             :                      ? state_reg[3]
+    2500             :                      : ~(replacer_touch_ways_0_bits_r[0])}
+    2501             :                 : state_reg[5:3],
+    2502             :               replacer_touch_ways_0_bits_r[2]
+    2503             :                 ? state_reg[2:0]
+    2504             :                 : {~(replacer_touch_ways_0_bits_r[1]),
+    2505             :                    replacer_touch_ways_0_bits_r[1]
+    2506             :                      ? ~(replacer_touch_ways_0_bits_r[0])
+    2507             :                      : state_reg[1],
+    2508             :                    replacer_touch_ways_0_bits_r[1]
+    2509             :                      ? state_reg[0]
+    2510             :                      : ~(replacer_touch_ways_0_bits_r[0])}}};
+    2511             :   wire [29:0] _state_reg_T_107 =
+    2512             :     replacer_touch_ways_0_valid_REG
+    2513             :       ? {_state_reg_T_52, _state_reg_T_105}
+    2514             :       : state_reg[29:0];
+    2515      127730 :   always @(posedge clock or posedge reset) begin
+    2516         272 :     if (reset) begin
+    2517         136 :       ctrs_0_0 <= 2'h2;
+    2518         136 :       ctrs_0_1 <= 2'h2;
+    2519         136 :       ctrs_1_0 <= 2'h2;
+    2520         136 :       ctrs_1_1 <= 2'h2;
+    2521         136 :       ctrs_2_0 <= 2'h2;
+    2522         136 :       ctrs_2_1 <= 2'h2;
+    2523         136 :       ctrs_3_0 <= 2'h2;
+    2524         136 :       ctrs_3_1 <= 2'h2;
+    2525         136 :       ctrs_4_0 <= 2'h2;
+    2526         136 :       ctrs_4_1 <= 2'h2;
+    2527         136 :       ctrs_5_0 <= 2'h2;
+    2528         136 :       ctrs_5_1 <= 2'h2;
+    2529         136 :       ctrs_6_0 <= 2'h2;
+    2530         136 :       ctrs_6_1 <= 2'h2;
+    2531         136 :       ctrs_7_0 <= 2'h2;
+    2532         136 :       ctrs_7_1 <= 2'h2;
+    2533         136 :       ctrs_8_0 <= 2'h2;
+    2534         136 :       ctrs_8_1 <= 2'h2;
+    2535         136 :       ctrs_9_0 <= 2'h2;
+    2536         136 :       ctrs_9_1 <= 2'h2;
+    2537         136 :       ctrs_10_0 <= 2'h2;
+    2538         136 :       ctrs_10_1 <= 2'h2;
+    2539         136 :       ctrs_11_0 <= 2'h2;
+    2540         136 :       ctrs_11_1 <= 2'h2;
+    2541         136 :       ctrs_12_0 <= 2'h2;
+    2542         136 :       ctrs_12_1 <= 2'h2;
+    2543         136 :       ctrs_13_0 <= 2'h2;
+    2544         136 :       ctrs_13_1 <= 2'h2;
+    2545         136 :       ctrs_14_0 <= 2'h2;
+    2546         136 :       ctrs_14_1 <= 2'h2;
+    2547         136 :       ctrs_15_0 <= 2'h2;
+    2548         136 :       ctrs_15_1 <= 2'h2;
+    2549         136 :       ctrs_16_0 <= 2'h2;
+    2550         136 :       ctrs_16_1 <= 2'h2;
+    2551         136 :       ctrs_17_0 <= 2'h2;
+    2552         136 :       ctrs_17_1 <= 2'h2;
+    2553         136 :       ctrs_18_0 <= 2'h2;
+    2554         136 :       ctrs_18_1 <= 2'h2;
+    2555         136 :       ctrs_19_0 <= 2'h2;
+    2556         136 :       ctrs_19_1 <= 2'h2;
+    2557         136 :       ctrs_20_0 <= 2'h2;
+    2558         136 :       ctrs_20_1 <= 2'h2;
+    2559         136 :       ctrs_21_0 <= 2'h2;
+    2560         136 :       ctrs_21_1 <= 2'h2;
+    2561         136 :       ctrs_22_0 <= 2'h2;
+    2562         136 :       ctrs_22_1 <= 2'h2;
+    2563         136 :       ctrs_23_0 <= 2'h2;
+    2564         136 :       ctrs_23_1 <= 2'h2;
+    2565         136 :       ctrs_24_0 <= 2'h2;
+    2566         136 :       ctrs_24_1 <= 2'h2;
+    2567         136 :       ctrs_25_0 <= 2'h2;
+    2568         136 :       ctrs_25_1 <= 2'h2;
+    2569         136 :       ctrs_26_0 <= 2'h2;
+    2570         136 :       ctrs_26_1 <= 2'h2;
+    2571         136 :       ctrs_27_0 <= 2'h2;
+    2572         136 :       ctrs_27_1 <= 2'h2;
+    2573         136 :       ctrs_28_0 <= 2'h2;
+    2574         136 :       ctrs_28_1 <= 2'h2;
+    2575         136 :       ctrs_29_0 <= 2'h2;
+    2576         136 :       ctrs_29_1 <= 2'h2;
+    2577         136 :       ctrs_30_0 <= 2'h2;
+    2578         136 :       ctrs_30_1 <= 2'h2;
+    2579         136 :       ctrs_31_0 <= 2'h2;
+    2580         136 :       ctrs_31_1 <= 2'h2;
+    2581         136 :       state_reg <= 31'h0;
+    2582             :     end
+    2583       63729 :     else begin
+    2584           2 :       if (u_s1_ways_write_valid_0 & u_s1_br_update_valids_0) begin
+    2585           0 :         if ((&ctrs_0_0) & u_s1_br_takens_0)
+    2586           0 :           ctrs_0_0 <= 2'h3;
+    2587           0 :         else if (ctrs_0_0 == 2'h0 & ~u_s1_br_takens_0)
+    2588           0 :           ctrs_0_0 <= 2'h0;
+    2589           0 :         else if (u_s1_br_takens_0)
+    2590           1 :           ctrs_0_0 <= 2'(ctrs_0_0 + 2'h1);
+    2591             :         else
+    2592           0 :           ctrs_0_0 <= 2'(ctrs_0_0 - 2'h1);
+    2593             :       end
+    2594           4 :       if (u_s1_ways_write_valid_0 & u_s1_br_update_valids_1) begin
+    2595           0 :         if ((&ctrs_0_1) & u_s1_br_takens_1)
+    2596           0 :           ctrs_0_1 <= 2'h3;
+    2597           2 :         else if (ctrs_0_1 == 2'h0 & ~u_s1_br_takens_1)
+    2598           1 :           ctrs_0_1 <= 2'h0;
+    2599           0 :         else if (u_s1_br_takens_1)
+    2600           0 :           ctrs_0_1 <= 2'(ctrs_0_1 + 2'h1);
+    2601             :         else
+    2602           1 :           ctrs_0_1 <= 2'(ctrs_0_1 - 2'h1);
+    2603             :       end
+    2604           2 :       if (u_s1_ways_write_valid_1 & u_s1_br_update_valids_0) begin
+    2605           0 :         if ((&ctrs_1_0) & u_s1_br_takens_0)
+    2606           0 :           ctrs_1_0 <= 2'h3;
+    2607           0 :         else if (ctrs_1_0 == 2'h0 & ~u_s1_br_takens_0)
+    2608           0 :           ctrs_1_0 <= 2'h0;
+    2609           0 :         else if (u_s1_br_takens_0)
+    2610           1 :           ctrs_1_0 <= 2'(ctrs_1_0 + 2'h1);
+    2611             :         else
+    2612           0 :           ctrs_1_0 <= 2'(ctrs_1_0 - 2'h1);
+    2613             :       end
+    2614           2 :       if (u_s1_ways_write_valid_1 & u_s1_br_update_valids_1) begin
+    2615           0 :         if ((&ctrs_1_1) & u_s1_br_takens_1)
+    2616           0 :           ctrs_1_1 <= 2'h3;
+    2617           0 :         else if (ctrs_1_1 == 2'h0 & ~u_s1_br_takens_1)
+    2618           0 :           ctrs_1_1 <= 2'h0;
+    2619           0 :         else if (u_s1_br_takens_1)
+    2620           0 :           ctrs_1_1 <= 2'(ctrs_1_1 + 2'h1);
+    2621             :         else
+    2622           1 :           ctrs_1_1 <= 2'(ctrs_1_1 - 2'h1);
+    2623             :       end
+    2624           0 :       if (u_s1_ways_write_valid_2 & u_s1_br_update_valids_0) begin
+    2625           0 :         if ((&ctrs_2_0) & u_s1_br_takens_0)
+    2626           0 :           ctrs_2_0 <= 2'h3;
+    2627           0 :         else if (ctrs_2_0 == 2'h0 & ~u_s1_br_takens_0)
+    2628           0 :           ctrs_2_0 <= 2'h0;
+    2629           0 :         else if (u_s1_br_takens_0)
+    2630           0 :           ctrs_2_0 <= 2'(ctrs_2_0 + 2'h1);
+    2631             :         else
+    2632           0 :           ctrs_2_0 <= 2'(ctrs_2_0 - 2'h1);
+    2633             :       end
+    2634           0 :       if (u_s1_ways_write_valid_2 & u_s1_br_update_valids_1) begin
+    2635           0 :         if ((&ctrs_2_1) & u_s1_br_takens_1)
+    2636           0 :           ctrs_2_1 <= 2'h3;
+    2637           0 :         else if (ctrs_2_1 == 2'h0 & ~u_s1_br_takens_1)
+    2638           0 :           ctrs_2_1 <= 2'h0;
+    2639           0 :         else if (u_s1_br_takens_1)
+    2640           0 :           ctrs_2_1 <= 2'(ctrs_2_1 + 2'h1);
+    2641             :         else
+    2642           0 :           ctrs_2_1 <= 2'(ctrs_2_1 - 2'h1);
+    2643             :       end
+    2644           0 :       if (u_s1_ways_write_valid_3 & u_s1_br_update_valids_0) begin
+    2645           0 :         if ((&ctrs_3_0) & u_s1_br_takens_0)
+    2646           0 :           ctrs_3_0 <= 2'h3;
+    2647           0 :         else if (ctrs_3_0 == 2'h0 & ~u_s1_br_takens_0)
+    2648           0 :           ctrs_3_0 <= 2'h0;
+    2649           0 :         else if (u_s1_br_takens_0)
+    2650           0 :           ctrs_3_0 <= 2'(ctrs_3_0 + 2'h1);
+    2651             :         else
+    2652           0 :           ctrs_3_0 <= 2'(ctrs_3_0 - 2'h1);
+    2653             :       end
+    2654           4 :       if (u_s1_ways_write_valid_3 & u_s1_br_update_valids_1) begin
+    2655           0 :         if ((&ctrs_3_1) & u_s1_br_takens_1)
+    2656           0 :           ctrs_3_1 <= 2'h3;
+    2657           0 :         else if (ctrs_3_1 == 2'h0 & ~u_s1_br_takens_1)
+    2658           0 :           ctrs_3_1 <= 2'h0;
+    2659           0 :         else if (u_s1_br_takens_1)
+    2660           0 :           ctrs_3_1 <= 2'(ctrs_3_1 + 2'h1);
+    2661             :         else
+    2662           2 :           ctrs_3_1 <= 2'(ctrs_3_1 - 2'h1);
+    2663             :       end
+    2664           2 :       if (u_s1_ways_write_valid_4 & u_s1_br_update_valids_0) begin
+    2665           0 :         if ((&ctrs_4_0) & u_s1_br_takens_0)
+    2666           0 :           ctrs_4_0 <= 2'h3;
+    2667           0 :         else if (ctrs_4_0 == 2'h0 & ~u_s1_br_takens_0)
+    2668           0 :           ctrs_4_0 <= 2'h0;
+    2669           0 :         else if (u_s1_br_takens_0)
+    2670           1 :           ctrs_4_0 <= 2'(ctrs_4_0 + 2'h1);
+    2671             :         else
+    2672           0 :           ctrs_4_0 <= 2'(ctrs_4_0 - 2'h1);
+    2673             :       end
+    2674           0 :       if (u_s1_ways_write_valid_4 & u_s1_br_update_valids_1) begin
+    2675           0 :         if ((&ctrs_4_1) & u_s1_br_takens_1)
+    2676           0 :           ctrs_4_1 <= 2'h3;
+    2677           0 :         else if (ctrs_4_1 == 2'h0 & ~u_s1_br_takens_1)
+    2678           0 :           ctrs_4_1 <= 2'h0;
+    2679           0 :         else if (u_s1_br_takens_1)
+    2680           0 :           ctrs_4_1 <= 2'(ctrs_4_1 + 2'h1);
+    2681             :         else
+    2682           0 :           ctrs_4_1 <= 2'(ctrs_4_1 - 2'h1);
+    2683             :       end
+    2684           2 :       if (u_s1_ways_write_valid_5 & u_s1_br_update_valids_0) begin
+    2685           0 :         if ((&ctrs_5_0) & u_s1_br_takens_0)
+    2686           0 :           ctrs_5_0 <= 2'h3;
+    2687           0 :         else if (ctrs_5_0 == 2'h0 & ~u_s1_br_takens_0)
+    2688           0 :           ctrs_5_0 <= 2'h0;
+    2689           0 :         else if (u_s1_br_takens_0)
+    2690           1 :           ctrs_5_0 <= 2'(ctrs_5_0 + 2'h1);
+    2691             :         else
+    2692           0 :           ctrs_5_0 <= 2'(ctrs_5_0 - 2'h1);
+    2693             :       end
+    2694           4 :       if (u_s1_ways_write_valid_5 & u_s1_br_update_valids_1) begin
+    2695           0 :         if ((&ctrs_5_1) & u_s1_br_takens_1)
+    2696           0 :           ctrs_5_1 <= 2'h3;
+    2697           0 :         else if (ctrs_5_1 == 2'h0 & ~u_s1_br_takens_1)
+    2698           0 :           ctrs_5_1 <= 2'h0;
+    2699           0 :         else if (u_s1_br_takens_1)
+    2700           0 :           ctrs_5_1 <= 2'(ctrs_5_1 + 2'h1);
+    2701             :         else
+    2702           2 :           ctrs_5_1 <= 2'(ctrs_5_1 - 2'h1);
+    2703             :       end
+    2704           0 :       if (u_s1_ways_write_valid_6 & u_s1_br_update_valids_0) begin
+    2705           0 :         if ((&ctrs_6_0) & u_s1_br_takens_0)
+    2706           0 :           ctrs_6_0 <= 2'h3;
+    2707           0 :         else if (ctrs_6_0 == 2'h0 & ~u_s1_br_takens_0)
+    2708           0 :           ctrs_6_0 <= 2'h0;
+    2709           0 :         else if (u_s1_br_takens_0)
+    2710           0 :           ctrs_6_0 <= 2'(ctrs_6_0 + 2'h1);
+    2711             :         else
+    2712           0 :           ctrs_6_0 <= 2'(ctrs_6_0 - 2'h1);
+    2713             :       end
+    2714           2 :       if (u_s1_ways_write_valid_6 & u_s1_br_update_valids_1) begin
+    2715           0 :         if ((&ctrs_6_1) & u_s1_br_takens_1)
+    2716           0 :           ctrs_6_1 <= 2'h3;
+    2717           2 :         else if (ctrs_6_1 == 2'h0 & ~u_s1_br_takens_1)
+    2718           1 :           ctrs_6_1 <= 2'h0;
+    2719           0 :         else if (u_s1_br_takens_1)
+    2720           0 :           ctrs_6_1 <= 2'(ctrs_6_1 + 2'h1);
+    2721             :         else
+    2722           0 :           ctrs_6_1 <= 2'(ctrs_6_1 - 2'h1);
+    2723             :       end
+    2724           2 :       if (u_s1_ways_write_valid_7 & u_s1_br_update_valids_0) begin
+    2725           2 :         if ((&ctrs_7_0) & u_s1_br_takens_0)
+    2726           1 :           ctrs_7_0 <= 2'h3;
+    2727           0 :         else if (ctrs_7_0 == 2'h0 & ~u_s1_br_takens_0)
+    2728           0 :           ctrs_7_0 <= 2'h0;
+    2729           0 :         else if (u_s1_br_takens_0)
+    2730           0 :           ctrs_7_0 <= 2'(ctrs_7_0 + 2'h1);
+    2731             :         else
+    2732           0 :           ctrs_7_0 <= 2'(ctrs_7_0 - 2'h1);
+    2733             :       end
+    2734           2 :       if (u_s1_ways_write_valid_7 & u_s1_br_update_valids_1) begin
+    2735           0 :         if ((&ctrs_7_1) & u_s1_br_takens_1)
+    2736           0 :           ctrs_7_1 <= 2'h3;
+    2737           0 :         else if (ctrs_7_1 == 2'h0 & ~u_s1_br_takens_1)
+    2738           0 :           ctrs_7_1 <= 2'h0;
+    2739           0 :         else if (u_s1_br_takens_1)
+    2740           0 :           ctrs_7_1 <= 2'(ctrs_7_1 + 2'h1);
+    2741             :         else
+    2742           1 :           ctrs_7_1 <= 2'(ctrs_7_1 - 2'h1);
+    2743             :       end
+    2744           0 :       if (u_s1_ways_write_valid_8 & u_s1_br_update_valids_0) begin
+    2745           0 :         if ((&ctrs_8_0) & u_s1_br_takens_0)
+    2746           0 :           ctrs_8_0 <= 2'h3;
+    2747           0 :         else if (ctrs_8_0 == 2'h0 & ~u_s1_br_takens_0)
+    2748           0 :           ctrs_8_0 <= 2'h0;
+    2749           0 :         else if (u_s1_br_takens_0)
+    2750           0 :           ctrs_8_0 <= 2'(ctrs_8_0 + 2'h1);
+    2751             :         else
+    2752           0 :           ctrs_8_0 <= 2'(ctrs_8_0 - 2'h1);
+    2753             :       end
+    2754           0 :       if (u_s1_ways_write_valid_8 & u_s1_br_update_valids_1) begin
+    2755           0 :         if ((&ctrs_8_1) & u_s1_br_takens_1)
+    2756           0 :           ctrs_8_1 <= 2'h3;
+    2757           0 :         else if (ctrs_8_1 == 2'h0 & ~u_s1_br_takens_1)
+    2758           0 :           ctrs_8_1 <= 2'h0;
+    2759           0 :         else if (u_s1_br_takens_1)
+    2760           0 :           ctrs_8_1 <= 2'(ctrs_8_1 + 2'h1);
+    2761             :         else
+    2762           0 :           ctrs_8_1 <= 2'(ctrs_8_1 - 2'h1);
+    2763             :       end
+    2764           0 :       if (u_s1_ways_write_valid_9 & u_s1_br_update_valids_0) begin
+    2765           0 :         if ((&ctrs_9_0) & u_s1_br_takens_0)
+    2766           0 :           ctrs_9_0 <= 2'h3;
+    2767           0 :         else if (ctrs_9_0 == 2'h0 & ~u_s1_br_takens_0)
+    2768           0 :           ctrs_9_0 <= 2'h0;
+    2769           0 :         else if (u_s1_br_takens_0)
+    2770           0 :           ctrs_9_0 <= 2'(ctrs_9_0 + 2'h1);
+    2771             :         else
+    2772           0 :           ctrs_9_0 <= 2'(ctrs_9_0 - 2'h1);
+    2773             :       end
+    2774           4 :       if (u_s1_ways_write_valid_9 & u_s1_br_update_valids_1) begin
+    2775           0 :         if ((&ctrs_9_1) & u_s1_br_takens_1)
+    2776           0 :           ctrs_9_1 <= 2'h3;
+    2777           2 :         else if (ctrs_9_1 == 2'h0 & ~u_s1_br_takens_1)
+    2778           1 :           ctrs_9_1 <= 2'h0;
+    2779           0 :         else if (u_s1_br_takens_1)
+    2780           0 :           ctrs_9_1 <= 2'(ctrs_9_1 + 2'h1);
+    2781             :         else
+    2782           1 :           ctrs_9_1 <= 2'(ctrs_9_1 - 2'h1);
+    2783             :       end
+    2784           2 :       if (u_s1_ways_write_valid_10 & u_s1_br_update_valids_0) begin
+    2785           0 :         if ((&ctrs_10_0) & u_s1_br_takens_0)
+    2786           0 :           ctrs_10_0 <= 2'h3;
+    2787           0 :         else if (ctrs_10_0 == 2'h0 & ~u_s1_br_takens_0)
+    2788           0 :           ctrs_10_0 <= 2'h0;
+    2789           0 :         else if (u_s1_br_takens_0)
+    2790           1 :           ctrs_10_0 <= 2'(ctrs_10_0 + 2'h1);
+    2791             :         else
+    2792           0 :           ctrs_10_0 <= 2'(ctrs_10_0 - 2'h1);
+    2793             :       end
+    2794           2 :       if (u_s1_ways_write_valid_10 & u_s1_br_update_valids_1) begin
+    2795           0 :         if ((&ctrs_10_1) & u_s1_br_takens_1)
+    2796           0 :           ctrs_10_1 <= 2'h3;
+    2797           0 :         else if (ctrs_10_1 == 2'h0 & ~u_s1_br_takens_1)
+    2798           0 :           ctrs_10_1 <= 2'h0;
+    2799           0 :         else if (u_s1_br_takens_1)
+    2800           0 :           ctrs_10_1 <= 2'(ctrs_10_1 + 2'h1);
+    2801             :         else
+    2802           1 :           ctrs_10_1 <= 2'(ctrs_10_1 - 2'h1);
+    2803             :       end
+    2804           2 :       if (u_s1_ways_write_valid_11 & u_s1_br_update_valids_0) begin
+    2805           0 :         if ((&ctrs_11_0) & u_s1_br_takens_0)
+    2806           0 :           ctrs_11_0 <= 2'h3;
+    2807           0 :         else if (ctrs_11_0 == 2'h0 & ~u_s1_br_takens_0)
+    2808           0 :           ctrs_11_0 <= 2'h0;
+    2809           0 :         else if (u_s1_br_takens_0)
+    2810           1 :           ctrs_11_0 <= 2'(ctrs_11_0 + 2'h1);
+    2811             :         else
+    2812           0 :           ctrs_11_0 <= 2'(ctrs_11_0 - 2'h1);
+    2813             :       end
+    2814           4 :       if (u_s1_ways_write_valid_11 & u_s1_br_update_valids_1) begin
+    2815           0 :         if ((&ctrs_11_1) & u_s1_br_takens_1)
+    2816           0 :           ctrs_11_1 <= 2'h3;
+    2817           0 :         else if (ctrs_11_1 == 2'h0 & ~u_s1_br_takens_1)
+    2818           0 :           ctrs_11_1 <= 2'h0;
+    2819           0 :         else if (u_s1_br_takens_1)
+    2820           0 :           ctrs_11_1 <= 2'(ctrs_11_1 + 2'h1);
+    2821             :         else
+    2822           2 :           ctrs_11_1 <= 2'(ctrs_11_1 - 2'h1);
+    2823             :       end
+    2824           2 :       if (u_s1_ways_write_valid_12 & u_s1_br_update_valids_0) begin
+    2825           2 :         if ((&ctrs_12_0) & u_s1_br_takens_0)
+    2826           1 :           ctrs_12_0 <= 2'h3;
+    2827           0 :         else if (ctrs_12_0 == 2'h0 & ~u_s1_br_takens_0)
+    2828           0 :           ctrs_12_0 <= 2'h0;
+    2829           0 :         else if (u_s1_br_takens_0)
+    2830           0 :           ctrs_12_0 <= 2'(ctrs_12_0 + 2'h1);
+    2831             :         else
+    2832           0 :           ctrs_12_0 <= 2'(ctrs_12_0 - 2'h1);
+    2833             :       end
+    2834           4 :       if (u_s1_ways_write_valid_12 & u_s1_br_update_valids_1) begin
+    2835           0 :         if ((&ctrs_12_1) & u_s1_br_takens_1)
+    2836           0 :           ctrs_12_1 <= 2'h3;
+    2837           2 :         else if (ctrs_12_1 == 2'h0 & ~u_s1_br_takens_1)
+    2838           1 :           ctrs_12_1 <= 2'h0;
+    2839           0 :         else if (u_s1_br_takens_1)
+    2840           0 :           ctrs_12_1 <= 2'(ctrs_12_1 + 2'h1);
+    2841             :         else
+    2842           1 :           ctrs_12_1 <= 2'(ctrs_12_1 - 2'h1);
+    2843             :       end
+    2844           0 :       if (u_s1_ways_write_valid_13 & u_s1_br_update_valids_0) begin
+    2845           0 :         if ((&ctrs_13_0) & u_s1_br_takens_0)
+    2846           0 :           ctrs_13_0 <= 2'h3;
+    2847           0 :         else if (ctrs_13_0 == 2'h0 & ~u_s1_br_takens_0)
+    2848           0 :           ctrs_13_0 <= 2'h0;
+    2849           0 :         else if (u_s1_br_takens_0)
+    2850           0 :           ctrs_13_0 <= 2'(ctrs_13_0 + 2'h1);
+    2851             :         else
+    2852           0 :           ctrs_13_0 <= 2'(ctrs_13_0 - 2'h1);
+    2853             :       end
+    2854           2 :       if (u_s1_ways_write_valid_13 & u_s1_br_update_valids_1) begin
+    2855           0 :         if ((&ctrs_13_1) & u_s1_br_takens_1)
+    2856           0 :           ctrs_13_1 <= 2'h3;
+    2857           2 :         else if (ctrs_13_1 == 2'h0 & ~u_s1_br_takens_1)
+    2858           1 :           ctrs_13_1 <= 2'h0;
+    2859           0 :         else if (u_s1_br_takens_1)
+    2860           0 :           ctrs_13_1 <= 2'(ctrs_13_1 + 2'h1);
+    2861             :         else
+    2862           0 :           ctrs_13_1 <= 2'(ctrs_13_1 - 2'h1);
+    2863             :       end
+    2864           0 :       if (u_s1_ways_write_valid_14 & u_s1_br_update_valids_0) begin
+    2865           0 :         if ((&ctrs_14_0) & u_s1_br_takens_0)
+    2866           0 :           ctrs_14_0 <= 2'h3;
+    2867           0 :         else if (ctrs_14_0 == 2'h0 & ~u_s1_br_takens_0)
+    2868           0 :           ctrs_14_0 <= 2'h0;
+    2869           0 :         else if (u_s1_br_takens_0)
+    2870           0 :           ctrs_14_0 <= 2'(ctrs_14_0 + 2'h1);
+    2871             :         else
+    2872           0 :           ctrs_14_0 <= 2'(ctrs_14_0 - 2'h1);
+    2873             :       end
+    2874           2 :       if (u_s1_ways_write_valid_14 & u_s1_br_update_valids_1) begin
+    2875           0 :         if ((&ctrs_14_1) & u_s1_br_takens_1)
+    2876           0 :           ctrs_14_1 <= 2'h3;
+    2877           2 :         else if (ctrs_14_1 == 2'h0 & ~u_s1_br_takens_1)
+    2878           1 :           ctrs_14_1 <= 2'h0;
+    2879           0 :         else if (u_s1_br_takens_1)
+    2880           0 :           ctrs_14_1 <= 2'(ctrs_14_1 + 2'h1);
+    2881             :         else
+    2882           0 :           ctrs_14_1 <= 2'(ctrs_14_1 - 2'h1);
+    2883             :       end
+    2884           0 :       if (u_s1_ways_write_valid_15 & u_s1_br_update_valids_0) begin
+    2885           0 :         if ((&ctrs_15_0) & u_s1_br_takens_0)
+    2886           0 :           ctrs_15_0 <= 2'h3;
+    2887           0 :         else if (ctrs_15_0 == 2'h0 & ~u_s1_br_takens_0)
+    2888           0 :           ctrs_15_0 <= 2'h0;
+    2889           0 :         else if (u_s1_br_takens_0)
+    2890           0 :           ctrs_15_0 <= 2'(ctrs_15_0 + 2'h1);
+    2891             :         else
+    2892           0 :           ctrs_15_0 <= 2'(ctrs_15_0 - 2'h1);
+    2893             :       end
+    2894           2 :       if (u_s1_ways_write_valid_15 & u_s1_br_update_valids_1) begin
+    2895           0 :         if ((&ctrs_15_1) & u_s1_br_takens_1)
+    2896           0 :           ctrs_15_1 <= 2'h3;
+    2897           2 :         else if (ctrs_15_1 == 2'h0 & ~u_s1_br_takens_1)
+    2898           1 :           ctrs_15_1 <= 2'h0;
+    2899           0 :         else if (u_s1_br_takens_1)
+    2900           0 :           ctrs_15_1 <= 2'(ctrs_15_1 + 2'h1);
+    2901             :         else
+    2902           0 :           ctrs_15_1 <= 2'(ctrs_15_1 - 2'h1);
+    2903             :       end
+    2904           0 :       if (u_s1_ways_write_valid_16 & u_s1_br_update_valids_0) begin
+    2905           0 :         if ((&ctrs_16_0) & u_s1_br_takens_0)
+    2906           0 :           ctrs_16_0 <= 2'h3;
+    2907           0 :         else if (ctrs_16_0 == 2'h0 & ~u_s1_br_takens_0)
+    2908           0 :           ctrs_16_0 <= 2'h0;
+    2909           0 :         else if (u_s1_br_takens_0)
+    2910           0 :           ctrs_16_0 <= 2'(ctrs_16_0 + 2'h1);
+    2911             :         else
+    2912           0 :           ctrs_16_0 <= 2'(ctrs_16_0 - 2'h1);
+    2913             :       end
+    2914           2 :       if (u_s1_ways_write_valid_16 & u_s1_br_update_valids_1) begin
+    2915           0 :         if ((&ctrs_16_1) & u_s1_br_takens_1)
+    2916           0 :           ctrs_16_1 <= 2'h3;
+    2917           2 :         else if (ctrs_16_1 == 2'h0 & ~u_s1_br_takens_1)
+    2918           1 :           ctrs_16_1 <= 2'h0;
+    2919           0 :         else if (u_s1_br_takens_1)
+    2920           0 :           ctrs_16_1 <= 2'(ctrs_16_1 + 2'h1);
+    2921             :         else
+    2922           0 :           ctrs_16_1 <= 2'(ctrs_16_1 - 2'h1);
+    2923             :       end
+    2924           0 :       if (u_s1_ways_write_valid_17 & u_s1_br_update_valids_0) begin
+    2925           0 :         if ((&ctrs_17_0) & u_s1_br_takens_0)
+    2926           0 :           ctrs_17_0 <= 2'h3;
+    2927           0 :         else if (ctrs_17_0 == 2'h0 & ~u_s1_br_takens_0)
+    2928           0 :           ctrs_17_0 <= 2'h0;
+    2929           0 :         else if (u_s1_br_takens_0)
+    2930           0 :           ctrs_17_0 <= 2'(ctrs_17_0 + 2'h1);
+    2931             :         else
+    2932           0 :           ctrs_17_0 <= 2'(ctrs_17_0 - 2'h1);
+    2933             :       end
+    2934           2 :       if (u_s1_ways_write_valid_17 & u_s1_br_update_valids_1) begin
+    2935           0 :         if ((&ctrs_17_1) & u_s1_br_takens_1)
+    2936           0 :           ctrs_17_1 <= 2'h3;
+    2937           2 :         else if (ctrs_17_1 == 2'h0 & ~u_s1_br_takens_1)
+    2938           1 :           ctrs_17_1 <= 2'h0;
+    2939           0 :         else if (u_s1_br_takens_1)
+    2940           0 :           ctrs_17_1 <= 2'(ctrs_17_1 + 2'h1);
+    2941             :         else
+    2942           0 :           ctrs_17_1 <= 2'(ctrs_17_1 - 2'h1);
+    2943             :       end
+    2944           0 :       if (u_s1_ways_write_valid_18 & u_s1_br_update_valids_0) begin
+    2945           0 :         if ((&ctrs_18_0) & u_s1_br_takens_0)
+    2946           0 :           ctrs_18_0 <= 2'h3;
+    2947           0 :         else if (ctrs_18_0 == 2'h0 & ~u_s1_br_takens_0)
+    2948           0 :           ctrs_18_0 <= 2'h0;
+    2949           0 :         else if (u_s1_br_takens_0)
+    2950           0 :           ctrs_18_0 <= 2'(ctrs_18_0 + 2'h1);
+    2951             :         else
+    2952           0 :           ctrs_18_0 <= 2'(ctrs_18_0 - 2'h1);
+    2953             :       end
+    2954           4 :       if (u_s1_ways_write_valid_18 & u_s1_br_update_valids_1) begin
+    2955           0 :         if ((&ctrs_18_1) & u_s1_br_takens_1)
+    2956           0 :           ctrs_18_1 <= 2'h3;
+    2957           2 :         else if (ctrs_18_1 == 2'h0 & ~u_s1_br_takens_1)
+    2958           1 :           ctrs_18_1 <= 2'h0;
+    2959           0 :         else if (u_s1_br_takens_1)
+    2960           0 :           ctrs_18_1 <= 2'(ctrs_18_1 + 2'h1);
+    2961             :         else
+    2962           1 :           ctrs_18_1 <= 2'(ctrs_18_1 - 2'h1);
+    2963             :       end
+    2964           2 :       if (u_s1_ways_write_valid_19 & u_s1_br_update_valids_0) begin
+    2965           0 :         if ((&ctrs_19_0) & u_s1_br_takens_0)
+    2966           0 :           ctrs_19_0 <= 2'h3;
+    2967           0 :         else if (ctrs_19_0 == 2'h0 & ~u_s1_br_takens_0)
+    2968           0 :           ctrs_19_0 <= 2'h0;
+    2969           0 :         else if (u_s1_br_takens_0)
+    2970           1 :           ctrs_19_0 <= 2'(ctrs_19_0 + 2'h1);
+    2971             :         else
+    2972           0 :           ctrs_19_0 <= 2'(ctrs_19_0 - 2'h1);
+    2973             :       end
+    2974           0 :       if (u_s1_ways_write_valid_19 & u_s1_br_update_valids_1) begin
+    2975           0 :         if ((&ctrs_19_1) & u_s1_br_takens_1)
+    2976           0 :           ctrs_19_1 <= 2'h3;
+    2977           0 :         else if (ctrs_19_1 == 2'h0 & ~u_s1_br_takens_1)
+    2978           0 :           ctrs_19_1 <= 2'h0;
+    2979           0 :         else if (u_s1_br_takens_1)
+    2980           0 :           ctrs_19_1 <= 2'(ctrs_19_1 + 2'h1);
+    2981             :         else
+    2982           0 :           ctrs_19_1 <= 2'(ctrs_19_1 - 2'h1);
+    2983             :       end
+    2984           0 :       if (u_s1_ways_write_valid_20 & u_s1_br_update_valids_0) begin
+    2985           0 :         if ((&ctrs_20_0) & u_s1_br_takens_0)
+    2986           0 :           ctrs_20_0 <= 2'h3;
+    2987           0 :         else if (ctrs_20_0 == 2'h0 & ~u_s1_br_takens_0)
+    2988           0 :           ctrs_20_0 <= 2'h0;
+    2989           0 :         else if (u_s1_br_takens_0)
+    2990           0 :           ctrs_20_0 <= 2'(ctrs_20_0 + 2'h1);
+    2991             :         else
+    2992           0 :           ctrs_20_0 <= 2'(ctrs_20_0 - 2'h1);
+    2993             :       end
+    2994           2 :       if (u_s1_ways_write_valid_20 & u_s1_br_update_valids_1) begin
+    2995           0 :         if ((&ctrs_20_1) & u_s1_br_takens_1)
+    2996           0 :           ctrs_20_1 <= 2'h3;
+    2997           0 :         else if (ctrs_20_1 == 2'h0 & ~u_s1_br_takens_1)
+    2998           0 :           ctrs_20_1 <= 2'h0;
+    2999           0 :         else if (u_s1_br_takens_1)
+    3000           0 :           ctrs_20_1 <= 2'(ctrs_20_1 + 2'h1);
+    3001             :         else
+    3002           1 :           ctrs_20_1 <= 2'(ctrs_20_1 - 2'h1);
+    3003             :       end
+    3004           2 :       if (u_s1_ways_write_valid_21 & u_s1_br_update_valids_0) begin
+    3005           0 :         if ((&ctrs_21_0) & u_s1_br_takens_0)
+    3006           0 :           ctrs_21_0 <= 2'h3;
+    3007           0 :         else if (ctrs_21_0 == 2'h0 & ~u_s1_br_takens_0)
+    3008           0 :           ctrs_21_0 <= 2'h0;
+    3009           0 :         else if (u_s1_br_takens_0)
+    3010           1 :           ctrs_21_0 <= 2'(ctrs_21_0 + 2'h1);
+    3011             :         else
+    3012           0 :           ctrs_21_0 <= 2'(ctrs_21_0 - 2'h1);
+    3013             :       end
+    3014           2 :       if (u_s1_ways_write_valid_21 & u_s1_br_update_valids_1) begin
+    3015           0 :         if ((&ctrs_21_1) & u_s1_br_takens_1)
+    3016           0 :           ctrs_21_1 <= 2'h3;
+    3017           0 :         else if (ctrs_21_1 == 2'h0 & ~u_s1_br_takens_1)
+    3018           0 :           ctrs_21_1 <= 2'h0;
+    3019           0 :         else if (u_s1_br_takens_1)
+    3020           0 :           ctrs_21_1 <= 2'(ctrs_21_1 + 2'h1);
+    3021             :         else
+    3022           1 :           ctrs_21_1 <= 2'(ctrs_21_1 - 2'h1);
+    3023             :       end
+    3024           2 :       if (u_s1_ways_write_valid_22 & u_s1_br_update_valids_0) begin
+    3025           2 :         if ((&ctrs_22_0) & u_s1_br_takens_0)
+    3026           1 :           ctrs_22_0 <= 2'h3;
+    3027           0 :         else if (ctrs_22_0 == 2'h0 & ~u_s1_br_takens_0)
+    3028           0 :           ctrs_22_0 <= 2'h0;
+    3029           0 :         else if (u_s1_br_takens_0)
+    3030           0 :           ctrs_22_0 <= 2'(ctrs_22_0 + 2'h1);
+    3031             :         else
+    3032           0 :           ctrs_22_0 <= 2'(ctrs_22_0 - 2'h1);
+    3033             :       end
+    3034           2 :       if (u_s1_ways_write_valid_22 & u_s1_br_update_valids_1) begin
+    3035           0 :         if ((&ctrs_22_1) & u_s1_br_takens_1)
+    3036           0 :           ctrs_22_1 <= 2'h3;
+    3037           2 :         else if (ctrs_22_1 == 2'h0 & ~u_s1_br_takens_1)
+    3038           1 :           ctrs_22_1 <= 2'h0;
+    3039           0 :         else if (u_s1_br_takens_1)
+    3040           0 :           ctrs_22_1 <= 2'(ctrs_22_1 + 2'h1);
+    3041             :         else
+    3042           0 :           ctrs_22_1 <= 2'(ctrs_22_1 - 2'h1);
+    3043             :       end
+    3044           0 :       if (u_s1_ways_write_valid_23 & u_s1_br_update_valids_0) begin
+    3045           0 :         if ((&ctrs_23_0) & u_s1_br_takens_0)
+    3046           0 :           ctrs_23_0 <= 2'h3;
+    3047           0 :         else if (ctrs_23_0 == 2'h0 & ~u_s1_br_takens_0)
+    3048           0 :           ctrs_23_0 <= 2'h0;
+    3049           0 :         else if (u_s1_br_takens_0)
+    3050           0 :           ctrs_23_0 <= 2'(ctrs_23_0 + 2'h1);
+    3051             :         else
+    3052           0 :           ctrs_23_0 <= 2'(ctrs_23_0 - 2'h1);
+    3053             :       end
+    3054           0 :       if (u_s1_ways_write_valid_23 & u_s1_br_update_valids_1) begin
+    3055           0 :         if ((&ctrs_23_1) & u_s1_br_takens_1)
+    3056           0 :           ctrs_23_1 <= 2'h3;
+    3057           0 :         else if (ctrs_23_1 == 2'h0 & ~u_s1_br_takens_1)
+    3058           0 :           ctrs_23_1 <= 2'h0;
+    3059           0 :         else if (u_s1_br_takens_1)
+    3060           0 :           ctrs_23_1 <= 2'(ctrs_23_1 + 2'h1);
+    3061             :         else
+    3062           0 :           ctrs_23_1 <= 2'(ctrs_23_1 - 2'h1);
+    3063             :       end
+    3064           0 :       if (u_s1_ways_write_valid_24 & u_s1_br_update_valids_0) begin
+    3065           0 :         if ((&ctrs_24_0) & u_s1_br_takens_0)
+    3066           0 :           ctrs_24_0 <= 2'h3;
+    3067           0 :         else if (ctrs_24_0 == 2'h0 & ~u_s1_br_takens_0)
+    3068           0 :           ctrs_24_0 <= 2'h0;
+    3069           0 :         else if (u_s1_br_takens_0)
+    3070           0 :           ctrs_24_0 <= 2'(ctrs_24_0 + 2'h1);
+    3071             :         else
+    3072           0 :           ctrs_24_0 <= 2'(ctrs_24_0 - 2'h1);
+    3073             :       end
+    3074           6 :       if (u_s1_ways_write_valid_24 & u_s1_br_update_valids_1) begin
+    3075           0 :         if ((&ctrs_24_1) & u_s1_br_takens_1)
+    3076           0 :           ctrs_24_1 <= 2'h3;
+    3077           4 :         else if (ctrs_24_1 == 2'h0 & ~u_s1_br_takens_1)
+    3078           2 :           ctrs_24_1 <= 2'h0;
+    3079           0 :         else if (u_s1_br_takens_1)
+    3080           0 :           ctrs_24_1 <= 2'(ctrs_24_1 + 2'h1);
+    3081             :         else
+    3082           1 :           ctrs_24_1 <= 2'(ctrs_24_1 - 2'h1);
+    3083             :       end
+    3084           2 :       if (u_s1_ways_write_valid_25 & u_s1_br_update_valids_0) begin
+    3085           0 :         if ((&ctrs_25_0) & u_s1_br_takens_0)
+    3086           0 :           ctrs_25_0 <= 2'h3;
+    3087           0 :         else if (ctrs_25_0 == 2'h0 & ~u_s1_br_takens_0)
+    3088           0 :           ctrs_25_0 <= 2'h0;
+    3089           0 :         else if (u_s1_br_takens_0)
+    3090           1 :           ctrs_25_0 <= 2'(ctrs_25_0 + 2'h1);
+    3091             :         else
+    3092           0 :           ctrs_25_0 <= 2'(ctrs_25_0 - 2'h1);
+    3093             :       end
+    3094           4 :       if (u_s1_ways_write_valid_25 & u_s1_br_update_valids_1) begin
+    3095           0 :         if ((&ctrs_25_1) & u_s1_br_takens_1)
+    3096           0 :           ctrs_25_1 <= 2'h3;
+    3097           2 :         else if (ctrs_25_1 == 2'h0 & ~u_s1_br_takens_1)
+    3098           1 :           ctrs_25_1 <= 2'h0;
+    3099           0 :         else if (u_s1_br_takens_1)
+    3100           0 :           ctrs_25_1 <= 2'(ctrs_25_1 + 2'h1);
+    3101             :         else
+    3102           1 :           ctrs_25_1 <= 2'(ctrs_25_1 - 2'h1);
+    3103             :       end
+    3104           2 :       if (u_s1_ways_write_valid_26 & u_s1_br_update_valids_0) begin
+    3105           0 :         if ((&ctrs_26_0) & u_s1_br_takens_0)
+    3106           0 :           ctrs_26_0 <= 2'h3;
+    3107           0 :         else if (ctrs_26_0 == 2'h0 & ~u_s1_br_takens_0)
+    3108           0 :           ctrs_26_0 <= 2'h0;
+    3109           0 :         else if (u_s1_br_takens_0)
+    3110           1 :           ctrs_26_0 <= 2'(ctrs_26_0 + 2'h1);
+    3111             :         else
+    3112           0 :           ctrs_26_0 <= 2'(ctrs_26_0 - 2'h1);
+    3113             :       end
+    3114           2 :       if (u_s1_ways_write_valid_26 & u_s1_br_update_valids_1) begin
+    3115           0 :         if ((&ctrs_26_1) & u_s1_br_takens_1)
+    3116           0 :           ctrs_26_1 <= 2'h3;
+    3117           0 :         else if (ctrs_26_1 == 2'h0 & ~u_s1_br_takens_1)
+    3118           0 :           ctrs_26_1 <= 2'h0;
+    3119           0 :         else if (u_s1_br_takens_1)
+    3120           0 :           ctrs_26_1 <= 2'(ctrs_26_1 + 2'h1);
+    3121             :         else
+    3122           1 :           ctrs_26_1 <= 2'(ctrs_26_1 - 2'h1);
+    3123             :       end
+    3124           2 :       if (u_s1_ways_write_valid_27 & u_s1_br_update_valids_0) begin
+    3125           0 :         if ((&ctrs_27_0) & u_s1_br_takens_0)
+    3126           0 :           ctrs_27_0 <= 2'h3;
+    3127           0 :         else if (ctrs_27_0 == 2'h0 & ~u_s1_br_takens_0)
+    3128           0 :           ctrs_27_0 <= 2'h0;
+    3129           0 :         else if (u_s1_br_takens_0)
+    3130           1 :           ctrs_27_0 <= 2'(ctrs_27_0 + 2'h1);
+    3131             :         else
+    3132           0 :           ctrs_27_0 <= 2'(ctrs_27_0 - 2'h1);
+    3133             :       end
+    3134           0 :       if (u_s1_ways_write_valid_27 & u_s1_br_update_valids_1) begin
+    3135           0 :         if ((&ctrs_27_1) & u_s1_br_takens_1)
+    3136           0 :           ctrs_27_1 <= 2'h3;
+    3137           0 :         else if (ctrs_27_1 == 2'h0 & ~u_s1_br_takens_1)
+    3138           0 :           ctrs_27_1 <= 2'h0;
+    3139           0 :         else if (u_s1_br_takens_1)
+    3140           0 :           ctrs_27_1 <= 2'(ctrs_27_1 + 2'h1);
+    3141             :         else
+    3142           0 :           ctrs_27_1 <= 2'(ctrs_27_1 - 2'h1);
+    3143             :       end
+    3144           2 :       if (u_s1_ways_write_valid_28 & u_s1_br_update_valids_0) begin
+    3145           0 :         if ((&ctrs_28_0) & u_s1_br_takens_0)
+    3146           0 :           ctrs_28_0 <= 2'h3;
+    3147           0 :         else if (ctrs_28_0 == 2'h0 & ~u_s1_br_takens_0)
+    3148           0 :           ctrs_28_0 <= 2'h0;
+    3149           0 :         else if (u_s1_br_takens_0)
+    3150           1 :           ctrs_28_0 <= 2'(ctrs_28_0 + 2'h1);
+    3151             :         else
+    3152           0 :           ctrs_28_0 <= 2'(ctrs_28_0 - 2'h1);
+    3153             :       end
+    3154           4 :       if (u_s1_ways_write_valid_28 & u_s1_br_update_valids_1) begin
+    3155           0 :         if ((&ctrs_28_1) & u_s1_br_takens_1)
+    3156           0 :           ctrs_28_1 <= 2'h3;
+    3157           0 :         else if (ctrs_28_1 == 2'h0 & ~u_s1_br_takens_1)
+    3158           0 :           ctrs_28_1 <= 2'h0;
+    3159           0 :         else if (u_s1_br_takens_1)
+    3160           0 :           ctrs_28_1 <= 2'(ctrs_28_1 + 2'h1);
+    3161             :         else
+    3162           2 :           ctrs_28_1 <= 2'(ctrs_28_1 - 2'h1);
+    3163             :       end
+    3164           0 :       if (u_s1_ways_write_valid_29 & u_s1_br_update_valids_0) begin
+    3165           0 :         if ((&ctrs_29_0) & u_s1_br_takens_0)
+    3166           0 :           ctrs_29_0 <= 2'h3;
+    3167           0 :         else if (ctrs_29_0 == 2'h0 & ~u_s1_br_takens_0)
+    3168           0 :           ctrs_29_0 <= 2'h0;
+    3169           0 :         else if (u_s1_br_takens_0)
+    3170           0 :           ctrs_29_0 <= 2'(ctrs_29_0 + 2'h1);
+    3171             :         else
+    3172           0 :           ctrs_29_0 <= 2'(ctrs_29_0 - 2'h1);
+    3173             :       end
+    3174           2 :       if (u_s1_ways_write_valid_29 & u_s1_br_update_valids_1) begin
+    3175           0 :         if ((&ctrs_29_1) & u_s1_br_takens_1)
+    3176           0 :           ctrs_29_1 <= 2'h3;
+    3177           2 :         else if (ctrs_29_1 == 2'h0 & ~u_s1_br_takens_1)
+    3178           1 :           ctrs_29_1 <= 2'h0;
+    3179           0 :         else if (u_s1_br_takens_1)
+    3180           0 :           ctrs_29_1 <= 2'(ctrs_29_1 + 2'h1);
+    3181             :         else
+    3182           0 :           ctrs_29_1 <= 2'(ctrs_29_1 - 2'h1);
+    3183             :       end
+    3184           2 :       if (u_s1_ways_write_valid_30 & u_s1_br_update_valids_0) begin
+    3185           0 :         if ((&ctrs_30_0) & u_s1_br_takens_0)
+    3186           0 :           ctrs_30_0 <= 2'h3;
+    3187           0 :         else if (ctrs_30_0 == 2'h0 & ~u_s1_br_takens_0)
+    3188           0 :           ctrs_30_0 <= 2'h0;
+    3189           0 :         else if (u_s1_br_takens_0)
+    3190           1 :           ctrs_30_0 <= 2'(ctrs_30_0 + 2'h1);
+    3191             :         else
+    3192           0 :           ctrs_30_0 <= 2'(ctrs_30_0 - 2'h1);
+    3193             :       end
+    3194           0 :       if (u_s1_ways_write_valid_30 & u_s1_br_update_valids_1) begin
+    3195           0 :         if ((&ctrs_30_1) & u_s1_br_takens_1)
+    3196           0 :           ctrs_30_1 <= 2'h3;
+    3197           0 :         else if (ctrs_30_1 == 2'h0 & ~u_s1_br_takens_1)
+    3198           0 :           ctrs_30_1 <= 2'h0;
+    3199           0 :         else if (u_s1_br_takens_1)
+    3200           0 :           ctrs_30_1 <= 2'(ctrs_30_1 + 2'h1);
+    3201             :         else
+    3202           0 :           ctrs_30_1 <= 2'(ctrs_30_1 - 2'h1);
+    3203             :       end
+    3204           0 :       if (u_s1_ways_write_valid_31 & u_s1_br_update_valids_0) begin
+    3205           0 :         if ((&ctrs_31_0) & u_s1_br_takens_0)
+    3206           0 :           ctrs_31_0 <= 2'h3;
+    3207           0 :         else if (ctrs_31_0 == 2'h0 & ~u_s1_br_takens_0)
+    3208           0 :           ctrs_31_0 <= 2'h0;
+    3209           0 :         else if (u_s1_br_takens_0)
+    3210           0 :           ctrs_31_0 <= 2'(ctrs_31_0 + 2'h1);
+    3211             :         else
+    3212           0 :           ctrs_31_0 <= 2'(ctrs_31_0 - 2'h1);
+    3213             :       end
+    3214           2 :       if (u_s1_ways_write_valid_31 & u_s1_br_update_valids_1) begin
+    3215           0 :         if ((&ctrs_31_1) & u_s1_br_takens_1)
+    3216           0 :           ctrs_31_1 <= 2'h3;
+    3217           0 :         else if (ctrs_31_1 == 2'h0 & ~u_s1_br_takens_1)
+    3218           0 :           ctrs_31_1 <= 2'h0;
+    3219           0 :         else if (u_s1_br_takens_1)
+    3220           0 :           ctrs_31_1 <= 2'(ctrs_31_1 + 2'h1);
+    3221             :         else
+    3222           1 :           ctrs_31_1 <= 2'(ctrs_31_1 - 2'h1);
+    3223             :       end
+    3224          92 :       if (replacer_touch_ways_0_valid_REG | u_s1_valid) begin
+    3225          86 :         if (u_s1_valid)
+    3226          43 :           state_reg <=
+    3227          43 :             {~(|(u_s1_write_way_oh[31:16])),
+    3228          43 :              (|(u_s1_write_way_oh[31:16]))
+    3229          43 :                ? {~(|(_replacer_touch_ways_1_bits_T_1[14:7])),
+    3230          43 :                   (|(_replacer_touch_ways_1_bits_T_1[14:7]))
+    3231          43 :                     ? {~(|(_replacer_touch_ways_1_bits_T_3[6:3])),
+    3232          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3233          43 :                          ? {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3234          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3235          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3236          43 :                               : _state_reg_T_107[26],
+    3237          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3238          43 :                               ? _state_reg_T_107[25]
+    3239          43 :                               : ~_replacer_touch_ways_1_bits_T_7}
+    3240          43 :                          : _state_reg_T_107[27:25],
+    3241          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3242          43 :                          ? _state_reg_T_107[24:22]
+    3243          43 :                          : {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3244          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3245          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3246          43 :                               : _state_reg_T_107[23],
+    3247          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3248          43 :                               ? _state_reg_T_107[22]
+    3249          43 :                               : ~_replacer_touch_ways_1_bits_T_7}}
+    3250          43 :                     : _state_reg_T_107[28:22],
+    3251          43 :                   (|(_replacer_touch_ways_1_bits_T_1[14:7]))
+    3252          43 :                     ? _state_reg_T_107[21:15]
+    3253          43 :                     : {~(|(_replacer_touch_ways_1_bits_T_3[6:3])),
+    3254          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3255          43 :                          ? {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3256          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3257          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3258          43 :                               : _state_reg_T_107[19],
+    3259          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3260          43 :                               ? _state_reg_T_107[18]
+    3261          43 :                               : ~_replacer_touch_ways_1_bits_T_7}
+    3262          43 :                          : _state_reg_T_107[20:18],
+    3263          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3264          43 :                          ? _state_reg_T_107[17:15]
+    3265          43 :                          : {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3266          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3267          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3268          43 :                               : _state_reg_T_107[16],
+    3269          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3270          43 :                               ? _state_reg_T_107[15]
+    3271          43 :                               : ~_replacer_touch_ways_1_bits_T_7}}}
+    3272          43 :                : _state_reg_T_107[29:15],
+    3273          43 :              (|(u_s1_write_way_oh[31:16]))
+    3274          43 :                ? _state_reg_T_107[14:0]
+    3275          43 :                : {~(|(_replacer_touch_ways_1_bits_T_1[14:7])),
+    3276          43 :                   (|(_replacer_touch_ways_1_bits_T_1[14:7]))
+    3277          43 :                     ? {~(|(_replacer_touch_ways_1_bits_T_3[6:3])),
+    3278          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3279          43 :                          ? {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3280          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3281          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3282          43 :                               : _state_reg_T_107[11],
+    3283          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3284          43 :                               ? _state_reg_T_107[10]
+    3285          43 :                               : ~_replacer_touch_ways_1_bits_T_7}
+    3286          43 :                          : _state_reg_T_107[12:10],
+    3287          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3288          43 :                          ? _state_reg_T_107[9:7]
+    3289          43 :                          : {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3290          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3291          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3292          43 :                               : _state_reg_T_107[8],
+    3293          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3294          43 :                               ? _state_reg_T_107[7]
+    3295          43 :                               : ~_replacer_touch_ways_1_bits_T_7}}
+    3296          43 :                     : _state_reg_T_107[13:7],
+    3297          43 :                   (|(_replacer_touch_ways_1_bits_T_1[14:7]))
+    3298          43 :                     ? _state_reg_T_107[6:0]
+    3299          43 :                     : {~(|(_replacer_touch_ways_1_bits_T_3[6:3])),
+    3300          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3301          43 :                          ? {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3302          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3303          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3304          43 :                               : _state_reg_T_107[4],
+    3305          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3306          43 :                               ? _state_reg_T_107[3]
+    3307          43 :                               : ~_replacer_touch_ways_1_bits_T_7}
+    3308          43 :                          : _state_reg_T_107[5:3],
+    3309          43 :                        (|(_replacer_touch_ways_1_bits_T_3[6:3]))
+    3310          43 :                          ? _state_reg_T_107[2:0]
+    3311          43 :                          : {~(|(_replacer_touch_ways_1_bits_T_5[2:1])),
+    3312          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3313          43 :                               ? ~_replacer_touch_ways_1_bits_T_7
+    3314          43 :                               : _state_reg_T_107[1],
+    3315          43 :                             (|(_replacer_touch_ways_1_bits_T_5[2:1]))
+    3316          43 :                               ? _state_reg_T_107[0]
+    3317          43 :                               : ~_replacer_touch_ways_1_bits_T_7}}}};
+    3318           0 :         else if (replacer_touch_ways_0_valid_REG)
+    3319           3 :           state_reg <=
+    3320           3 :             {~(replacer_touch_ways_0_bits_r[4]), _state_reg_T_52, _state_reg_T_105};
+    3321             :       end
+    3322             :     end
+    3323             :   end // always @(posedge, posedge)
+    3324             :   `ifdef ENABLE_INITIAL_REG_
+    3325             :     `ifdef FIRRTL_BEFORE_INITIAL
+    3326             :       `FIRRTL_BEFORE_INITIAL
+    3327             :     `endif // FIRRTL_BEFORE_INITIAL
+    3328             :     logic [31:0] _RANDOM[0:24];
+    3329          58 :     initial begin
+    3330             :       `ifdef INIT_RANDOM_PROLOG_
+    3331             :         `INIT_RANDOM_PROLOG_
+    3332             :       `endif // INIT_RANDOM_PROLOG_
+    3333             :       `ifdef RANDOMIZE_REG_INIT
+    3334             :         for (logic [4:0] i = 5'h0; i < 5'h19; i += 5'h1) begin
+    3335             :           _RANDOM[i] = `RANDOM;
+    3336             :         end
+    3337             :         s1_pc_dup_0 = {_RANDOM[5'h0], _RANDOM[5'h1][8:0]};
+    3338             :         s1_pc_dup_1 = {_RANDOM[5'h1][31:9], _RANDOM[5'h2][17:0]};
+    3339             :         s1_pc_dup_2 = {_RANDOM[5'h2][31:18], _RANDOM[5'h3][26:0]};
+    3340             :         s1_pc_dup_3 = {_RANDOM[5'h3][31:27], _RANDOM[5'h4], _RANDOM[5'h5][3:0]};
+    3341             :         REG = _RANDOM[5'hF][12];
+    3342             :         REG_1 = _RANDOM[5'hF][13];
+    3343             :         ctrs_0_0 = _RANDOM[5'hF][15:14];
+    3344             :         ctrs_0_1 = _RANDOM[5'hF][17:16];
+    3345             :         ctrs_1_0 = _RANDOM[5'hF][19:18];
+    3346             :         ctrs_1_1 = _RANDOM[5'hF][21:20];
+    3347             :         ctrs_2_0 = _RANDOM[5'hF][23:22];
+    3348             :         ctrs_2_1 = _RANDOM[5'hF][25:24];
+    3349             :         ctrs_3_0 = _RANDOM[5'hF][27:26];
+    3350             :         ctrs_3_1 = _RANDOM[5'hF][29:28];
+    3351             :         ctrs_4_0 = _RANDOM[5'hF][31:30];
+    3352             :         ctrs_4_1 = _RANDOM[5'h10][1:0];
+    3353             :         ctrs_5_0 = _RANDOM[5'h10][3:2];
+    3354             :         ctrs_5_1 = _RANDOM[5'h10][5:4];
+    3355             :         ctrs_6_0 = _RANDOM[5'h10][7:6];
+    3356             :         ctrs_6_1 = _RANDOM[5'h10][9:8];
+    3357             :         ctrs_7_0 = _RANDOM[5'h10][11:10];
+    3358             :         ctrs_7_1 = _RANDOM[5'h10][13:12];
+    3359             :         ctrs_8_0 = _RANDOM[5'h10][15:14];
+    3360             :         ctrs_8_1 = _RANDOM[5'h10][17:16];
+    3361             :         ctrs_9_0 = _RANDOM[5'h10][19:18];
+    3362             :         ctrs_9_1 = _RANDOM[5'h10][21:20];
+    3363             :         ctrs_10_0 = _RANDOM[5'h10][23:22];
+    3364             :         ctrs_10_1 = _RANDOM[5'h10][25:24];
+    3365             :         ctrs_11_0 = _RANDOM[5'h10][27:26];
+    3366             :         ctrs_11_1 = _RANDOM[5'h10][29:28];
+    3367             :         ctrs_12_0 = _RANDOM[5'h10][31:30];
+    3368             :         ctrs_12_1 = _RANDOM[5'h11][1:0];
+    3369             :         ctrs_13_0 = _RANDOM[5'h11][3:2];
+    3370             :         ctrs_13_1 = _RANDOM[5'h11][5:4];
+    3371             :         ctrs_14_0 = _RANDOM[5'h11][7:6];
+    3372             :         ctrs_14_1 = _RANDOM[5'h11][9:8];
+    3373             :         ctrs_15_0 = _RANDOM[5'h11][11:10];
+    3374             :         ctrs_15_1 = _RANDOM[5'h11][13:12];
+    3375             :         ctrs_16_0 = _RANDOM[5'h11][15:14];
+    3376             :         ctrs_16_1 = _RANDOM[5'h11][17:16];
+    3377             :         ctrs_17_0 = _RANDOM[5'h11][19:18];
+    3378             :         ctrs_17_1 = _RANDOM[5'h11][21:20];
+    3379             :         ctrs_18_0 = _RANDOM[5'h11][23:22];
+    3380             :         ctrs_18_1 = _RANDOM[5'h11][25:24];
+    3381             :         ctrs_19_0 = _RANDOM[5'h11][27:26];
+    3382             :         ctrs_19_1 = _RANDOM[5'h11][29:28];
+    3383             :         ctrs_20_0 = _RANDOM[5'h11][31:30];
+    3384             :         ctrs_20_1 = _RANDOM[5'h12][1:0];
+    3385             :         ctrs_21_0 = _RANDOM[5'h12][3:2];
+    3386             :         ctrs_21_1 = _RANDOM[5'h12][5:4];
+    3387             :         ctrs_22_0 = _RANDOM[5'h12][7:6];
+    3388             :         ctrs_22_1 = _RANDOM[5'h12][9:8];
+    3389             :         ctrs_23_0 = _RANDOM[5'h12][11:10];
+    3390             :         ctrs_23_1 = _RANDOM[5'h12][13:12];
+    3391             :         ctrs_24_0 = _RANDOM[5'h12][15:14];
+    3392             :         ctrs_24_1 = _RANDOM[5'h12][17:16];
+    3393             :         ctrs_25_0 = _RANDOM[5'h12][19:18];
+    3394             :         ctrs_25_1 = _RANDOM[5'h12][21:20];
+    3395             :         ctrs_26_0 = _RANDOM[5'h12][23:22];
+    3396             :         ctrs_26_1 = _RANDOM[5'h12][25:24];
+    3397             :         ctrs_27_0 = _RANDOM[5'h12][27:26];
+    3398             :         ctrs_27_1 = _RANDOM[5'h12][29:28];
+    3399             :         ctrs_28_0 = _RANDOM[5'h12][31:30];
+    3400             :         ctrs_28_1 = _RANDOM[5'h13][1:0];
+    3401             :         ctrs_29_0 = _RANDOM[5'h13][3:2];
+    3402             :         ctrs_29_1 = _RANDOM[5'h13][5:4];
+    3403             :         ctrs_30_0 = _RANDOM[5'h13][7:6];
+    3404             :         ctrs_30_1 = _RANDOM[5'h13][9:8];
+    3405             :         ctrs_31_0 = _RANDOM[5'h13][11:10];
+    3406             :         ctrs_31_1 = _RANDOM[5'h13][13:12];
+    3407             :         state_reg = {_RANDOM[5'h13][31:14], _RANDOM[5'h14][12:0]};
+    3408             :         fauftb_enable = _RANDOM[5'h14][13];
+    3409             :         resp_meta_hit_r = _RANDOM[5'h14][14];
+    3410             :         resp_meta_hit_r_1 = _RANDOM[5'h14][15];
+    3411             :         resp_meta_pred_way_r = _RANDOM[5'h14][20:16];
+    3412             :         resp_meta_pred_way_r_1 = _RANDOM[5'h14][25:21];
+    3413             :         replacer_touch_ways_0_valid_REG = _RANDOM[5'h14][26];
+    3414             :         replacer_touch_ways_0_bits_r = _RANDOM[5'h14][31:27];
+    3415             :         u_s1_valid = _RANDOM[5'h15][0];
+    3416             :         u_s1_tag = _RANDOM[5'h15][16:1];
+    3417             :         u_s1_hit_oh = {_RANDOM[5'h15][31:17], _RANDOM[5'h16][16:0]};
+    3418             :         u_s1_hit = _RANDOM[5'h16][17];
+    3419             :         u_s1_ftb_entry_brSlots_0_offset = _RANDOM[5'h16][22:19];
+    3420             :         u_s1_ftb_entry_brSlots_0_lower = {_RANDOM[5'h16][31:23], _RANDOM[5'h17][2:0]};
+    3421             :         u_s1_ftb_entry_brSlots_0_tarStat = _RANDOM[5'h17][4:3];
+    3422             :         u_s1_ftb_entry_brSlots_0_valid = _RANDOM[5'h17][6];
+    3423             :         u_s1_ftb_entry_tailSlot_offset = _RANDOM[5'h17][10:7];
+    3424             :         u_s1_ftb_entry_tailSlot_lower = _RANDOM[5'h17][30:11];
+    3425             :         u_s1_ftb_entry_tailSlot_tarStat = {_RANDOM[5'h17][31], _RANDOM[5'h18][0]};
+    3426             :         u_s1_ftb_entry_tailSlot_sharing = _RANDOM[5'h18][1];
+    3427             :         u_s1_ftb_entry_tailSlot_valid = _RANDOM[5'h18][2];
+    3428             :         u_s1_ftb_entry_pftAddr = _RANDOM[5'h18][6:3];
+    3429             :         u_s1_ftb_entry_carry = _RANDOM[5'h18][7];
+    3430             :         u_s1_ftb_entry_always_taken_0 = _RANDOM[5'h18][12];
+    3431             :         u_s1_ftb_entry_always_taken_1 = _RANDOM[5'h18][13];
+    3432             :         u_s1_br_update_valids_0 = _RANDOM[5'h18][14];
+    3433             :         u_s1_br_update_valids_1 = _RANDOM[5'h18][15];
+    3434             :         u_s1_br_takens_0 = _RANDOM[5'h18][16];
+    3435             :         u_s1_br_takens_1 = _RANDOM[5'h18][17];
+    3436             :         io_perf_0_value_REG = _RANDOM[5'h18][19];
+    3437             :         io_perf_0_value_REG_1 = _RANDOM[5'h18][20];
+    3438             :         io_perf_1_value_REG = _RANDOM[5'h18][21];
+    3439             :         io_perf_1_value_REG_1 = _RANDOM[5'h18][22];
+    3440             :       `endif // RANDOMIZE_REG_INIT
+    3441          17 :       if (reset) begin
+    3442          12 :         ctrs_0_0 = 2'h2;
+    3443          12 :         ctrs_0_1 = 2'h2;
+    3444          12 :         ctrs_1_0 = 2'h2;
+    3445          12 :         ctrs_1_1 = 2'h2;
+    3446          12 :         ctrs_2_0 = 2'h2;
+    3447          12 :         ctrs_2_1 = 2'h2;
+    3448          12 :         ctrs_3_0 = 2'h2;
+    3449          12 :         ctrs_3_1 = 2'h2;
+    3450          12 :         ctrs_4_0 = 2'h2;
+    3451          12 :         ctrs_4_1 = 2'h2;
+    3452          12 :         ctrs_5_0 = 2'h2;
+    3453          12 :         ctrs_5_1 = 2'h2;
+    3454          12 :         ctrs_6_0 = 2'h2;
+    3455          12 :         ctrs_6_1 = 2'h2;
+    3456          12 :         ctrs_7_0 = 2'h2;
+    3457          12 :         ctrs_7_1 = 2'h2;
+    3458          12 :         ctrs_8_0 = 2'h2;
+    3459          12 :         ctrs_8_1 = 2'h2;
+    3460          12 :         ctrs_9_0 = 2'h2;
+    3461          12 :         ctrs_9_1 = 2'h2;
+    3462          12 :         ctrs_10_0 = 2'h2;
+    3463          12 :         ctrs_10_1 = 2'h2;
+    3464          12 :         ctrs_11_0 = 2'h2;
+    3465          12 :         ctrs_11_1 = 2'h2;
+    3466          12 :         ctrs_12_0 = 2'h2;
+    3467          12 :         ctrs_12_1 = 2'h2;
+    3468          12 :         ctrs_13_0 = 2'h2;
+    3469          12 :         ctrs_13_1 = 2'h2;
+    3470          12 :         ctrs_14_0 = 2'h2;
+    3471          12 :         ctrs_14_1 = 2'h2;
+    3472          12 :         ctrs_15_0 = 2'h2;
+    3473          12 :         ctrs_15_1 = 2'h2;
+    3474          12 :         ctrs_16_0 = 2'h2;
+    3475          12 :         ctrs_16_1 = 2'h2;
+    3476          12 :         ctrs_17_0 = 2'h2;
+    3477          12 :         ctrs_17_1 = 2'h2;
+    3478          12 :         ctrs_18_0 = 2'h2;
+    3479          12 :         ctrs_18_1 = 2'h2;
+    3480          12 :         ctrs_19_0 = 2'h2;
+    3481          12 :         ctrs_19_1 = 2'h2;
+    3482          12 :         ctrs_20_0 = 2'h2;
+    3483          12 :         ctrs_20_1 = 2'h2;
+    3484          12 :         ctrs_21_0 = 2'h2;
+    3485          12 :         ctrs_21_1 = 2'h2;
+    3486          12 :         ctrs_22_0 = 2'h2;
+    3487          12 :         ctrs_22_1 = 2'h2;
+    3488          12 :         ctrs_23_0 = 2'h2;
+    3489          12 :         ctrs_23_1 = 2'h2;
+    3490          12 :         ctrs_24_0 = 2'h2;
+    3491          12 :         ctrs_24_1 = 2'h2;
+    3492          12 :         ctrs_25_0 = 2'h2;
+    3493          12 :         ctrs_25_1 = 2'h2;
+    3494          12 :         ctrs_26_0 = 2'h2;
+    3495          12 :         ctrs_26_1 = 2'h2;
+    3496          12 :         ctrs_27_0 = 2'h2;
+    3497          12 :         ctrs_27_1 = 2'h2;
+    3498          12 :         ctrs_28_0 = 2'h2;
+    3499          12 :         ctrs_28_1 = 2'h2;
+    3500          12 :         ctrs_29_0 = 2'h2;
+    3501          12 :         ctrs_29_1 = 2'h2;
+    3502          12 :         ctrs_30_0 = 2'h2;
+    3503          12 :         ctrs_30_1 = 2'h2;
+    3504          12 :         ctrs_31_0 = 2'h2;
+    3505          12 :         ctrs_31_1 = 2'h2;
+    3506          12 :         state_reg = 31'h0;
+    3507             :       end
+    3508             :     end // initial
+    3509             :     `ifdef FIRRTL_AFTER_INITIAL
+    3510             :       `FIRRTL_AFTER_INITIAL
+    3511             :     `endif // FIRRTL_AFTER_INITIAL
+    3512             :   `endif // ENABLE_INITIAL_REG_
+    3513             :   DelayN_2 reset_vector_delay (
+    3514             :     .clock  (clock),
+    3515             :     .io_in  (io_reset_vector),
+    3516             :     .io_out (_reset_vector_delay_io_out)
+    3517             :   );
+    3518             :   FauFTBWay ways_0 (
+    3519             :     .clock                            (clock),
+    3520             :     .reset                            (reset),
+    3521             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3522             :     .io_resp_brSlots_0_offset         (_ways_0_io_resp_brSlots_0_offset),
+    3523             :     .io_resp_brSlots_0_lower          (_ways_0_io_resp_brSlots_0_lower),
+    3524             :     .io_resp_brSlots_0_tarStat        (_ways_0_io_resp_brSlots_0_tarStat),
+    3525             :     .io_resp_brSlots_0_valid          (_ways_0_io_resp_brSlots_0_valid),
+    3526             :     .io_resp_tailSlot_offset          (_ways_0_io_resp_tailSlot_offset),
+    3527             :     .io_resp_tailSlot_lower           (_ways_0_io_resp_tailSlot_lower),
+    3528             :     .io_resp_tailSlot_tarStat         (_ways_0_io_resp_tailSlot_tarStat),
+    3529             :     .io_resp_tailSlot_sharing         (_ways_0_io_resp_tailSlot_sharing),
+    3530             :     .io_resp_tailSlot_valid           (_ways_0_io_resp_tailSlot_valid),
+    3531             :     .io_resp_pftAddr                  (_ways_0_io_resp_pftAddr),
+    3532             :     .io_resp_carry                    (_ways_0_io_resp_carry),
+    3533             :     .io_resp_always_taken_0           (_ways_0_io_resp_always_taken_0),
+    3534             :     .io_resp_always_taken_1           (_ways_0_io_resp_always_taken_1),
+    3535             :     .io_resp_hit                      (_ways_0_io_resp_hit),
+    3536             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3537             :     .io_update_hit                    (_ways_0_io_update_hit),
+    3538             :     .io_write_valid                   (u_s1_ways_write_valid_0),
+    3539             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3540             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3541             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3542             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3543             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3544             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3545             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3546             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3547             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3548             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3549             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3550             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3551             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3552             :     .io_write_tag                     (u_s1_tag)
+    3553             :   );
+    3554             :   FauFTBWay ways_1 (
+    3555             :     .clock                            (clock),
+    3556             :     .reset                            (reset),
+    3557             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3558             :     .io_resp_brSlots_0_offset         (_ways_1_io_resp_brSlots_0_offset),
+    3559             :     .io_resp_brSlots_0_lower          (_ways_1_io_resp_brSlots_0_lower),
+    3560             :     .io_resp_brSlots_0_tarStat        (_ways_1_io_resp_brSlots_0_tarStat),
+    3561             :     .io_resp_brSlots_0_valid          (_ways_1_io_resp_brSlots_0_valid),
+    3562             :     .io_resp_tailSlot_offset          (_ways_1_io_resp_tailSlot_offset),
+    3563             :     .io_resp_tailSlot_lower           (_ways_1_io_resp_tailSlot_lower),
+    3564             :     .io_resp_tailSlot_tarStat         (_ways_1_io_resp_tailSlot_tarStat),
+    3565             :     .io_resp_tailSlot_sharing         (_ways_1_io_resp_tailSlot_sharing),
+    3566             :     .io_resp_tailSlot_valid           (_ways_1_io_resp_tailSlot_valid),
+    3567             :     .io_resp_pftAddr                  (_ways_1_io_resp_pftAddr),
+    3568             :     .io_resp_carry                    (_ways_1_io_resp_carry),
+    3569             :     .io_resp_always_taken_0           (_ways_1_io_resp_always_taken_0),
+    3570             :     .io_resp_always_taken_1           (_ways_1_io_resp_always_taken_1),
+    3571             :     .io_resp_hit                      (_ways_1_io_resp_hit),
+    3572             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3573             :     .io_update_hit                    (_ways_1_io_update_hit),
+    3574             :     .io_write_valid                   (u_s1_ways_write_valid_1),
+    3575             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3576             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3577             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3578             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3579             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3580             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3581             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3582             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3583             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3584             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3585             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3586             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3587             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3588             :     .io_write_tag                     (u_s1_tag)
+    3589             :   );
+    3590             :   FauFTBWay ways_2 (
+    3591             :     .clock                            (clock),
+    3592             :     .reset                            (reset),
+    3593             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3594             :     .io_resp_brSlots_0_offset         (_ways_2_io_resp_brSlots_0_offset),
+    3595             :     .io_resp_brSlots_0_lower          (_ways_2_io_resp_brSlots_0_lower),
+    3596             :     .io_resp_brSlots_0_tarStat        (_ways_2_io_resp_brSlots_0_tarStat),
+    3597             :     .io_resp_brSlots_0_valid          (_ways_2_io_resp_brSlots_0_valid),
+    3598             :     .io_resp_tailSlot_offset          (_ways_2_io_resp_tailSlot_offset),
+    3599             :     .io_resp_tailSlot_lower           (_ways_2_io_resp_tailSlot_lower),
+    3600             :     .io_resp_tailSlot_tarStat         (_ways_2_io_resp_tailSlot_tarStat),
+    3601             :     .io_resp_tailSlot_sharing         (_ways_2_io_resp_tailSlot_sharing),
+    3602             :     .io_resp_tailSlot_valid           (_ways_2_io_resp_tailSlot_valid),
+    3603             :     .io_resp_pftAddr                  (_ways_2_io_resp_pftAddr),
+    3604             :     .io_resp_carry                    (_ways_2_io_resp_carry),
+    3605             :     .io_resp_always_taken_0           (_ways_2_io_resp_always_taken_0),
+    3606             :     .io_resp_always_taken_1           (_ways_2_io_resp_always_taken_1),
+    3607             :     .io_resp_hit                      (_ways_2_io_resp_hit),
+    3608             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3609             :     .io_update_hit                    (_ways_2_io_update_hit),
+    3610             :     .io_write_valid                   (u_s1_ways_write_valid_2),
+    3611             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3612             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3613             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3614             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3615             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3616             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3617             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3618             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3619             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3620             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3621             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3622             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3623             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3624             :     .io_write_tag                     (u_s1_tag)
+    3625             :   );
+    3626             :   FauFTBWay ways_3 (
+    3627             :     .clock                            (clock),
+    3628             :     .reset                            (reset),
+    3629             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3630             :     .io_resp_brSlots_0_offset         (_ways_3_io_resp_brSlots_0_offset),
+    3631             :     .io_resp_brSlots_0_lower          (_ways_3_io_resp_brSlots_0_lower),
+    3632             :     .io_resp_brSlots_0_tarStat        (_ways_3_io_resp_brSlots_0_tarStat),
+    3633             :     .io_resp_brSlots_0_valid          (_ways_3_io_resp_brSlots_0_valid),
+    3634             :     .io_resp_tailSlot_offset          (_ways_3_io_resp_tailSlot_offset),
+    3635             :     .io_resp_tailSlot_lower           (_ways_3_io_resp_tailSlot_lower),
+    3636             :     .io_resp_tailSlot_tarStat         (_ways_3_io_resp_tailSlot_tarStat),
+    3637             :     .io_resp_tailSlot_sharing         (_ways_3_io_resp_tailSlot_sharing),
+    3638             :     .io_resp_tailSlot_valid           (_ways_3_io_resp_tailSlot_valid),
+    3639             :     .io_resp_pftAddr                  (_ways_3_io_resp_pftAddr),
+    3640             :     .io_resp_carry                    (_ways_3_io_resp_carry),
+    3641             :     .io_resp_always_taken_0           (_ways_3_io_resp_always_taken_0),
+    3642             :     .io_resp_always_taken_1           (_ways_3_io_resp_always_taken_1),
+    3643             :     .io_resp_hit                      (_ways_3_io_resp_hit),
+    3644             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3645             :     .io_update_hit                    (_ways_3_io_update_hit),
+    3646             :     .io_write_valid                   (u_s1_ways_write_valid_3),
+    3647             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3648             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3649             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3650             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3651             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3652             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3653             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3654             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3655             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3656             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3657             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3658             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3659             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3660             :     .io_write_tag                     (u_s1_tag)
+    3661             :   );
+    3662             :   FauFTBWay ways_4 (
+    3663             :     .clock                            (clock),
+    3664             :     .reset                            (reset),
+    3665             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3666             :     .io_resp_brSlots_0_offset         (_ways_4_io_resp_brSlots_0_offset),
+    3667             :     .io_resp_brSlots_0_lower          (_ways_4_io_resp_brSlots_0_lower),
+    3668             :     .io_resp_brSlots_0_tarStat        (_ways_4_io_resp_brSlots_0_tarStat),
+    3669             :     .io_resp_brSlots_0_valid          (_ways_4_io_resp_brSlots_0_valid),
+    3670             :     .io_resp_tailSlot_offset          (_ways_4_io_resp_tailSlot_offset),
+    3671             :     .io_resp_tailSlot_lower           (_ways_4_io_resp_tailSlot_lower),
+    3672             :     .io_resp_tailSlot_tarStat         (_ways_4_io_resp_tailSlot_tarStat),
+    3673             :     .io_resp_tailSlot_sharing         (_ways_4_io_resp_tailSlot_sharing),
+    3674             :     .io_resp_tailSlot_valid           (_ways_4_io_resp_tailSlot_valid),
+    3675             :     .io_resp_pftAddr                  (_ways_4_io_resp_pftAddr),
+    3676             :     .io_resp_carry                    (_ways_4_io_resp_carry),
+    3677             :     .io_resp_always_taken_0           (_ways_4_io_resp_always_taken_0),
+    3678             :     .io_resp_always_taken_1           (_ways_4_io_resp_always_taken_1),
+    3679             :     .io_resp_hit                      (_ways_4_io_resp_hit),
+    3680             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3681             :     .io_update_hit                    (_ways_4_io_update_hit),
+    3682             :     .io_write_valid                   (u_s1_ways_write_valid_4),
+    3683             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3684             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3685             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3686             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3687             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3688             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3689             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3690             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3691             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3692             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3693             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3694             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3695             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3696             :     .io_write_tag                     (u_s1_tag)
+    3697             :   );
+    3698             :   FauFTBWay ways_5 (
+    3699             :     .clock                            (clock),
+    3700             :     .reset                            (reset),
+    3701             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3702             :     .io_resp_brSlots_0_offset         (_ways_5_io_resp_brSlots_0_offset),
+    3703             :     .io_resp_brSlots_0_lower          (_ways_5_io_resp_brSlots_0_lower),
+    3704             :     .io_resp_brSlots_0_tarStat        (_ways_5_io_resp_brSlots_0_tarStat),
+    3705             :     .io_resp_brSlots_0_valid          (_ways_5_io_resp_brSlots_0_valid),
+    3706             :     .io_resp_tailSlot_offset          (_ways_5_io_resp_tailSlot_offset),
+    3707             :     .io_resp_tailSlot_lower           (_ways_5_io_resp_tailSlot_lower),
+    3708             :     .io_resp_tailSlot_tarStat         (_ways_5_io_resp_tailSlot_tarStat),
+    3709             :     .io_resp_tailSlot_sharing         (_ways_5_io_resp_tailSlot_sharing),
+    3710             :     .io_resp_tailSlot_valid           (_ways_5_io_resp_tailSlot_valid),
+    3711             :     .io_resp_pftAddr                  (_ways_5_io_resp_pftAddr),
+    3712             :     .io_resp_carry                    (_ways_5_io_resp_carry),
+    3713             :     .io_resp_always_taken_0           (_ways_5_io_resp_always_taken_0),
+    3714             :     .io_resp_always_taken_1           (_ways_5_io_resp_always_taken_1),
+    3715             :     .io_resp_hit                      (_ways_5_io_resp_hit),
+    3716             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3717             :     .io_update_hit                    (_ways_5_io_update_hit),
+    3718             :     .io_write_valid                   (u_s1_ways_write_valid_5),
+    3719             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3720             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3721             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3722             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3723             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3724             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3725             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3726             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3727             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3728             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3729             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3730             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3731             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3732             :     .io_write_tag                     (u_s1_tag)
+    3733             :   );
+    3734             :   FauFTBWay ways_6 (
+    3735             :     .clock                            (clock),
+    3736             :     .reset                            (reset),
+    3737             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3738             :     .io_resp_brSlots_0_offset         (_ways_6_io_resp_brSlots_0_offset),
+    3739             :     .io_resp_brSlots_0_lower          (_ways_6_io_resp_brSlots_0_lower),
+    3740             :     .io_resp_brSlots_0_tarStat        (_ways_6_io_resp_brSlots_0_tarStat),
+    3741             :     .io_resp_brSlots_0_valid          (_ways_6_io_resp_brSlots_0_valid),
+    3742             :     .io_resp_tailSlot_offset          (_ways_6_io_resp_tailSlot_offset),
+    3743             :     .io_resp_tailSlot_lower           (_ways_6_io_resp_tailSlot_lower),
+    3744             :     .io_resp_tailSlot_tarStat         (_ways_6_io_resp_tailSlot_tarStat),
+    3745             :     .io_resp_tailSlot_sharing         (_ways_6_io_resp_tailSlot_sharing),
+    3746             :     .io_resp_tailSlot_valid           (_ways_6_io_resp_tailSlot_valid),
+    3747             :     .io_resp_pftAddr                  (_ways_6_io_resp_pftAddr),
+    3748             :     .io_resp_carry                    (_ways_6_io_resp_carry),
+    3749             :     .io_resp_always_taken_0           (_ways_6_io_resp_always_taken_0),
+    3750             :     .io_resp_always_taken_1           (_ways_6_io_resp_always_taken_1),
+    3751             :     .io_resp_hit                      (_ways_6_io_resp_hit),
+    3752             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3753             :     .io_update_hit                    (_ways_6_io_update_hit),
+    3754             :     .io_write_valid                   (u_s1_ways_write_valid_6),
+    3755             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3756             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3757             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3758             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3759             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3760             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3761             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3762             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3763             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3764             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3765             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3766             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3767             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3768             :     .io_write_tag                     (u_s1_tag)
+    3769             :   );
+    3770             :   FauFTBWay ways_7 (
+    3771             :     .clock                            (clock),
+    3772             :     .reset                            (reset),
+    3773             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3774             :     .io_resp_brSlots_0_offset         (_ways_7_io_resp_brSlots_0_offset),
+    3775             :     .io_resp_brSlots_0_lower          (_ways_7_io_resp_brSlots_0_lower),
+    3776             :     .io_resp_brSlots_0_tarStat        (_ways_7_io_resp_brSlots_0_tarStat),
+    3777             :     .io_resp_brSlots_0_valid          (_ways_7_io_resp_brSlots_0_valid),
+    3778             :     .io_resp_tailSlot_offset          (_ways_7_io_resp_tailSlot_offset),
+    3779             :     .io_resp_tailSlot_lower           (_ways_7_io_resp_tailSlot_lower),
+    3780             :     .io_resp_tailSlot_tarStat         (_ways_7_io_resp_tailSlot_tarStat),
+    3781             :     .io_resp_tailSlot_sharing         (_ways_7_io_resp_tailSlot_sharing),
+    3782             :     .io_resp_tailSlot_valid           (_ways_7_io_resp_tailSlot_valid),
+    3783             :     .io_resp_pftAddr                  (_ways_7_io_resp_pftAddr),
+    3784             :     .io_resp_carry                    (_ways_7_io_resp_carry),
+    3785             :     .io_resp_always_taken_0           (_ways_7_io_resp_always_taken_0),
+    3786             :     .io_resp_always_taken_1           (_ways_7_io_resp_always_taken_1),
+    3787             :     .io_resp_hit                      (_ways_7_io_resp_hit),
+    3788             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3789             :     .io_update_hit                    (_ways_7_io_update_hit),
+    3790             :     .io_write_valid                   (u_s1_ways_write_valid_7),
+    3791             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3792             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3793             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3794             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3795             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3796             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3797             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3798             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3799             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3800             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3801             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3802             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3803             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3804             :     .io_write_tag                     (u_s1_tag)
+    3805             :   );
+    3806             :   FauFTBWay ways_8 (
+    3807             :     .clock                            (clock),
+    3808             :     .reset                            (reset),
+    3809             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3810             :     .io_resp_brSlots_0_offset         (_ways_8_io_resp_brSlots_0_offset),
+    3811             :     .io_resp_brSlots_0_lower          (_ways_8_io_resp_brSlots_0_lower),
+    3812             :     .io_resp_brSlots_0_tarStat        (_ways_8_io_resp_brSlots_0_tarStat),
+    3813             :     .io_resp_brSlots_0_valid          (_ways_8_io_resp_brSlots_0_valid),
+    3814             :     .io_resp_tailSlot_offset          (_ways_8_io_resp_tailSlot_offset),
+    3815             :     .io_resp_tailSlot_lower           (_ways_8_io_resp_tailSlot_lower),
+    3816             :     .io_resp_tailSlot_tarStat         (_ways_8_io_resp_tailSlot_tarStat),
+    3817             :     .io_resp_tailSlot_sharing         (_ways_8_io_resp_tailSlot_sharing),
+    3818             :     .io_resp_tailSlot_valid           (_ways_8_io_resp_tailSlot_valid),
+    3819             :     .io_resp_pftAddr                  (_ways_8_io_resp_pftAddr),
+    3820             :     .io_resp_carry                    (_ways_8_io_resp_carry),
+    3821             :     .io_resp_always_taken_0           (_ways_8_io_resp_always_taken_0),
+    3822             :     .io_resp_always_taken_1           (_ways_8_io_resp_always_taken_1),
+    3823             :     .io_resp_hit                      (_ways_8_io_resp_hit),
+    3824             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3825             :     .io_update_hit                    (_ways_8_io_update_hit),
+    3826             :     .io_write_valid                   (u_s1_ways_write_valid_8),
+    3827             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3828             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3829             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3830             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3831             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3832             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3833             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3834             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3835             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3836             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3837             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3838             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3839             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3840             :     .io_write_tag                     (u_s1_tag)
+    3841             :   );
+    3842             :   FauFTBWay ways_9 (
+    3843             :     .clock                            (clock),
+    3844             :     .reset                            (reset),
+    3845             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3846             :     .io_resp_brSlots_0_offset         (_ways_9_io_resp_brSlots_0_offset),
+    3847             :     .io_resp_brSlots_0_lower          (_ways_9_io_resp_brSlots_0_lower),
+    3848             :     .io_resp_brSlots_0_tarStat        (_ways_9_io_resp_brSlots_0_tarStat),
+    3849             :     .io_resp_brSlots_0_valid          (_ways_9_io_resp_brSlots_0_valid),
+    3850             :     .io_resp_tailSlot_offset          (_ways_9_io_resp_tailSlot_offset),
+    3851             :     .io_resp_tailSlot_lower           (_ways_9_io_resp_tailSlot_lower),
+    3852             :     .io_resp_tailSlot_tarStat         (_ways_9_io_resp_tailSlot_tarStat),
+    3853             :     .io_resp_tailSlot_sharing         (_ways_9_io_resp_tailSlot_sharing),
+    3854             :     .io_resp_tailSlot_valid           (_ways_9_io_resp_tailSlot_valid),
+    3855             :     .io_resp_pftAddr                  (_ways_9_io_resp_pftAddr),
+    3856             :     .io_resp_carry                    (_ways_9_io_resp_carry),
+    3857             :     .io_resp_always_taken_0           (_ways_9_io_resp_always_taken_0),
+    3858             :     .io_resp_always_taken_1           (_ways_9_io_resp_always_taken_1),
+    3859             :     .io_resp_hit                      (_ways_9_io_resp_hit),
+    3860             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3861             :     .io_update_hit                    (_ways_9_io_update_hit),
+    3862             :     .io_write_valid                   (u_s1_ways_write_valid_9),
+    3863             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3864             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3865             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3866             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3867             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3868             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3869             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3870             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3871             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3872             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3873             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3874             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3875             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3876             :     .io_write_tag                     (u_s1_tag)
+    3877             :   );
+    3878             :   FauFTBWay ways_10 (
+    3879             :     .clock                            (clock),
+    3880             :     .reset                            (reset),
+    3881             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3882             :     .io_resp_brSlots_0_offset         (_ways_10_io_resp_brSlots_0_offset),
+    3883             :     .io_resp_brSlots_0_lower          (_ways_10_io_resp_brSlots_0_lower),
+    3884             :     .io_resp_brSlots_0_tarStat        (_ways_10_io_resp_brSlots_0_tarStat),
+    3885             :     .io_resp_brSlots_0_valid          (_ways_10_io_resp_brSlots_0_valid),
+    3886             :     .io_resp_tailSlot_offset          (_ways_10_io_resp_tailSlot_offset),
+    3887             :     .io_resp_tailSlot_lower           (_ways_10_io_resp_tailSlot_lower),
+    3888             :     .io_resp_tailSlot_tarStat         (_ways_10_io_resp_tailSlot_tarStat),
+    3889             :     .io_resp_tailSlot_sharing         (_ways_10_io_resp_tailSlot_sharing),
+    3890             :     .io_resp_tailSlot_valid           (_ways_10_io_resp_tailSlot_valid),
+    3891             :     .io_resp_pftAddr                  (_ways_10_io_resp_pftAddr),
+    3892             :     .io_resp_carry                    (_ways_10_io_resp_carry),
+    3893             :     .io_resp_always_taken_0           (_ways_10_io_resp_always_taken_0),
+    3894             :     .io_resp_always_taken_1           (_ways_10_io_resp_always_taken_1),
+    3895             :     .io_resp_hit                      (_ways_10_io_resp_hit),
+    3896             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3897             :     .io_update_hit                    (_ways_10_io_update_hit),
+    3898             :     .io_write_valid                   (u_s1_ways_write_valid_10),
+    3899             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3900             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3901             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3902             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3903             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3904             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3905             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3906             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3907             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3908             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3909             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3910             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3911             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3912             :     .io_write_tag                     (u_s1_tag)
+    3913             :   );
+    3914             :   FauFTBWay ways_11 (
+    3915             :     .clock                            (clock),
+    3916             :     .reset                            (reset),
+    3917             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3918             :     .io_resp_brSlots_0_offset         (_ways_11_io_resp_brSlots_0_offset),
+    3919             :     .io_resp_brSlots_0_lower          (_ways_11_io_resp_brSlots_0_lower),
+    3920             :     .io_resp_brSlots_0_tarStat        (_ways_11_io_resp_brSlots_0_tarStat),
+    3921             :     .io_resp_brSlots_0_valid          (_ways_11_io_resp_brSlots_0_valid),
+    3922             :     .io_resp_tailSlot_offset          (_ways_11_io_resp_tailSlot_offset),
+    3923             :     .io_resp_tailSlot_lower           (_ways_11_io_resp_tailSlot_lower),
+    3924             :     .io_resp_tailSlot_tarStat         (_ways_11_io_resp_tailSlot_tarStat),
+    3925             :     .io_resp_tailSlot_sharing         (_ways_11_io_resp_tailSlot_sharing),
+    3926             :     .io_resp_tailSlot_valid           (_ways_11_io_resp_tailSlot_valid),
+    3927             :     .io_resp_pftAddr                  (_ways_11_io_resp_pftAddr),
+    3928             :     .io_resp_carry                    (_ways_11_io_resp_carry),
+    3929             :     .io_resp_always_taken_0           (_ways_11_io_resp_always_taken_0),
+    3930             :     .io_resp_always_taken_1           (_ways_11_io_resp_always_taken_1),
+    3931             :     .io_resp_hit                      (_ways_11_io_resp_hit),
+    3932             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3933             :     .io_update_hit                    (_ways_11_io_update_hit),
+    3934             :     .io_write_valid                   (u_s1_ways_write_valid_11),
+    3935             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3936             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3937             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3938             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3939             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3940             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3941             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3942             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3943             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3944             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3945             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3946             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3947             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3948             :     .io_write_tag                     (u_s1_tag)
+    3949             :   );
+    3950             :   FauFTBWay ways_12 (
+    3951             :     .clock                            (clock),
+    3952             :     .reset                            (reset),
+    3953             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3954             :     .io_resp_brSlots_0_offset         (_ways_12_io_resp_brSlots_0_offset),
+    3955             :     .io_resp_brSlots_0_lower          (_ways_12_io_resp_brSlots_0_lower),
+    3956             :     .io_resp_brSlots_0_tarStat        (_ways_12_io_resp_brSlots_0_tarStat),
+    3957             :     .io_resp_brSlots_0_valid          (_ways_12_io_resp_brSlots_0_valid),
+    3958             :     .io_resp_tailSlot_offset          (_ways_12_io_resp_tailSlot_offset),
+    3959             :     .io_resp_tailSlot_lower           (_ways_12_io_resp_tailSlot_lower),
+    3960             :     .io_resp_tailSlot_tarStat         (_ways_12_io_resp_tailSlot_tarStat),
+    3961             :     .io_resp_tailSlot_sharing         (_ways_12_io_resp_tailSlot_sharing),
+    3962             :     .io_resp_tailSlot_valid           (_ways_12_io_resp_tailSlot_valid),
+    3963             :     .io_resp_pftAddr                  (_ways_12_io_resp_pftAddr),
+    3964             :     .io_resp_carry                    (_ways_12_io_resp_carry),
+    3965             :     .io_resp_always_taken_0           (_ways_12_io_resp_always_taken_0),
+    3966             :     .io_resp_always_taken_1           (_ways_12_io_resp_always_taken_1),
+    3967             :     .io_resp_hit                      (_ways_12_io_resp_hit),
+    3968             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    3969             :     .io_update_hit                    (_ways_12_io_update_hit),
+    3970             :     .io_write_valid                   (u_s1_ways_write_valid_12),
+    3971             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    3972             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    3973             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    3974             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    3975             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    3976             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    3977             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    3978             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    3979             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    3980             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    3981             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    3982             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    3983             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    3984             :     .io_write_tag                     (u_s1_tag)
+    3985             :   );
+    3986             :   FauFTBWay ways_13 (
+    3987             :     .clock                            (clock),
+    3988             :     .reset                            (reset),
+    3989             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    3990             :     .io_resp_brSlots_0_offset         (_ways_13_io_resp_brSlots_0_offset),
+    3991             :     .io_resp_brSlots_0_lower          (_ways_13_io_resp_brSlots_0_lower),
+    3992             :     .io_resp_brSlots_0_tarStat        (_ways_13_io_resp_brSlots_0_tarStat),
+    3993             :     .io_resp_brSlots_0_valid          (_ways_13_io_resp_brSlots_0_valid),
+    3994             :     .io_resp_tailSlot_offset          (_ways_13_io_resp_tailSlot_offset),
+    3995             :     .io_resp_tailSlot_lower           (_ways_13_io_resp_tailSlot_lower),
+    3996             :     .io_resp_tailSlot_tarStat         (_ways_13_io_resp_tailSlot_tarStat),
+    3997             :     .io_resp_tailSlot_sharing         (_ways_13_io_resp_tailSlot_sharing),
+    3998             :     .io_resp_tailSlot_valid           (_ways_13_io_resp_tailSlot_valid),
+    3999             :     .io_resp_pftAddr                  (_ways_13_io_resp_pftAddr),
+    4000             :     .io_resp_carry                    (_ways_13_io_resp_carry),
+    4001             :     .io_resp_always_taken_0           (_ways_13_io_resp_always_taken_0),
+    4002             :     .io_resp_always_taken_1           (_ways_13_io_resp_always_taken_1),
+    4003             :     .io_resp_hit                      (_ways_13_io_resp_hit),
+    4004             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4005             :     .io_update_hit                    (_ways_13_io_update_hit),
+    4006             :     .io_write_valid                   (u_s1_ways_write_valid_13),
+    4007             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4008             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4009             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4010             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4011             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4012             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4013             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4014             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4015             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4016             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4017             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4018             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4019             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4020             :     .io_write_tag                     (u_s1_tag)
+    4021             :   );
+    4022             :   FauFTBWay ways_14 (
+    4023             :     .clock                            (clock),
+    4024             :     .reset                            (reset),
+    4025             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4026             :     .io_resp_brSlots_0_offset         (_ways_14_io_resp_brSlots_0_offset),
+    4027             :     .io_resp_brSlots_0_lower          (_ways_14_io_resp_brSlots_0_lower),
+    4028             :     .io_resp_brSlots_0_tarStat        (_ways_14_io_resp_brSlots_0_tarStat),
+    4029             :     .io_resp_brSlots_0_valid          (_ways_14_io_resp_brSlots_0_valid),
+    4030             :     .io_resp_tailSlot_offset          (_ways_14_io_resp_tailSlot_offset),
+    4031             :     .io_resp_tailSlot_lower           (_ways_14_io_resp_tailSlot_lower),
+    4032             :     .io_resp_tailSlot_tarStat         (_ways_14_io_resp_tailSlot_tarStat),
+    4033             :     .io_resp_tailSlot_sharing         (_ways_14_io_resp_tailSlot_sharing),
+    4034             :     .io_resp_tailSlot_valid           (_ways_14_io_resp_tailSlot_valid),
+    4035             :     .io_resp_pftAddr                  (_ways_14_io_resp_pftAddr),
+    4036             :     .io_resp_carry                    (_ways_14_io_resp_carry),
+    4037             :     .io_resp_always_taken_0           (_ways_14_io_resp_always_taken_0),
+    4038             :     .io_resp_always_taken_1           (_ways_14_io_resp_always_taken_1),
+    4039             :     .io_resp_hit                      (_ways_14_io_resp_hit),
+    4040             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4041             :     .io_update_hit                    (_ways_14_io_update_hit),
+    4042             :     .io_write_valid                   (u_s1_ways_write_valid_14),
+    4043             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4044             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4045             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4046             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4047             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4048             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4049             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4050             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4051             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4052             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4053             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4054             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4055             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4056             :     .io_write_tag                     (u_s1_tag)
+    4057             :   );
+    4058             :   FauFTBWay ways_15 (
+    4059             :     .clock                            (clock),
+    4060             :     .reset                            (reset),
+    4061             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4062             :     .io_resp_brSlots_0_offset         (_ways_15_io_resp_brSlots_0_offset),
+    4063             :     .io_resp_brSlots_0_lower          (_ways_15_io_resp_brSlots_0_lower),
+    4064             :     .io_resp_brSlots_0_tarStat        (_ways_15_io_resp_brSlots_0_tarStat),
+    4065             :     .io_resp_brSlots_0_valid          (_ways_15_io_resp_brSlots_0_valid),
+    4066             :     .io_resp_tailSlot_offset          (_ways_15_io_resp_tailSlot_offset),
+    4067             :     .io_resp_tailSlot_lower           (_ways_15_io_resp_tailSlot_lower),
+    4068             :     .io_resp_tailSlot_tarStat         (_ways_15_io_resp_tailSlot_tarStat),
+    4069             :     .io_resp_tailSlot_sharing         (_ways_15_io_resp_tailSlot_sharing),
+    4070             :     .io_resp_tailSlot_valid           (_ways_15_io_resp_tailSlot_valid),
+    4071             :     .io_resp_pftAddr                  (_ways_15_io_resp_pftAddr),
+    4072             :     .io_resp_carry                    (_ways_15_io_resp_carry),
+    4073             :     .io_resp_always_taken_0           (_ways_15_io_resp_always_taken_0),
+    4074             :     .io_resp_always_taken_1           (_ways_15_io_resp_always_taken_1),
+    4075             :     .io_resp_hit                      (_ways_15_io_resp_hit),
+    4076             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4077             :     .io_update_hit                    (_ways_15_io_update_hit),
+    4078             :     .io_write_valid                   (u_s1_ways_write_valid_15),
+    4079             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4080             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4081             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4082             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4083             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4084             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4085             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4086             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4087             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4088             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4089             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4090             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4091             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4092             :     .io_write_tag                     (u_s1_tag)
+    4093             :   );
+    4094             :   FauFTBWay ways_16 (
+    4095             :     .clock                            (clock),
+    4096             :     .reset                            (reset),
+    4097             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4098             :     .io_resp_brSlots_0_offset         (_ways_16_io_resp_brSlots_0_offset),
+    4099             :     .io_resp_brSlots_0_lower          (_ways_16_io_resp_brSlots_0_lower),
+    4100             :     .io_resp_brSlots_0_tarStat        (_ways_16_io_resp_brSlots_0_tarStat),
+    4101             :     .io_resp_brSlots_0_valid          (_ways_16_io_resp_brSlots_0_valid),
+    4102             :     .io_resp_tailSlot_offset          (_ways_16_io_resp_tailSlot_offset),
+    4103             :     .io_resp_tailSlot_lower           (_ways_16_io_resp_tailSlot_lower),
+    4104             :     .io_resp_tailSlot_tarStat         (_ways_16_io_resp_tailSlot_tarStat),
+    4105             :     .io_resp_tailSlot_sharing         (_ways_16_io_resp_tailSlot_sharing),
+    4106             :     .io_resp_tailSlot_valid           (_ways_16_io_resp_tailSlot_valid),
+    4107             :     .io_resp_pftAddr                  (_ways_16_io_resp_pftAddr),
+    4108             :     .io_resp_carry                    (_ways_16_io_resp_carry),
+    4109             :     .io_resp_always_taken_0           (_ways_16_io_resp_always_taken_0),
+    4110             :     .io_resp_always_taken_1           (_ways_16_io_resp_always_taken_1),
+    4111             :     .io_resp_hit                      (_ways_16_io_resp_hit),
+    4112             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4113             :     .io_update_hit                    (_ways_16_io_update_hit),
+    4114             :     .io_write_valid                   (u_s1_ways_write_valid_16),
+    4115             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4116             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4117             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4118             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4119             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4120             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4121             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4122             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4123             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4124             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4125             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4126             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4127             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4128             :     .io_write_tag                     (u_s1_tag)
+    4129             :   );
+    4130             :   FauFTBWay ways_17 (
+    4131             :     .clock                            (clock),
+    4132             :     .reset                            (reset),
+    4133             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4134             :     .io_resp_brSlots_0_offset         (_ways_17_io_resp_brSlots_0_offset),
+    4135             :     .io_resp_brSlots_0_lower          (_ways_17_io_resp_brSlots_0_lower),
+    4136             :     .io_resp_brSlots_0_tarStat        (_ways_17_io_resp_brSlots_0_tarStat),
+    4137             :     .io_resp_brSlots_0_valid          (_ways_17_io_resp_brSlots_0_valid),
+    4138             :     .io_resp_tailSlot_offset          (_ways_17_io_resp_tailSlot_offset),
+    4139             :     .io_resp_tailSlot_lower           (_ways_17_io_resp_tailSlot_lower),
+    4140             :     .io_resp_tailSlot_tarStat         (_ways_17_io_resp_tailSlot_tarStat),
+    4141             :     .io_resp_tailSlot_sharing         (_ways_17_io_resp_tailSlot_sharing),
+    4142             :     .io_resp_tailSlot_valid           (_ways_17_io_resp_tailSlot_valid),
+    4143             :     .io_resp_pftAddr                  (_ways_17_io_resp_pftAddr),
+    4144             :     .io_resp_carry                    (_ways_17_io_resp_carry),
+    4145             :     .io_resp_always_taken_0           (_ways_17_io_resp_always_taken_0),
+    4146             :     .io_resp_always_taken_1           (_ways_17_io_resp_always_taken_1),
+    4147             :     .io_resp_hit                      (_ways_17_io_resp_hit),
+    4148             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4149             :     .io_update_hit                    (_ways_17_io_update_hit),
+    4150             :     .io_write_valid                   (u_s1_ways_write_valid_17),
+    4151             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4152             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4153             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4154             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4155             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4156             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4157             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4158             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4159             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4160             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4161             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4162             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4163             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4164             :     .io_write_tag                     (u_s1_tag)
+    4165             :   );
+    4166             :   FauFTBWay ways_18 (
+    4167             :     .clock                            (clock),
+    4168             :     .reset                            (reset),
+    4169             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4170             :     .io_resp_brSlots_0_offset         (_ways_18_io_resp_brSlots_0_offset),
+    4171             :     .io_resp_brSlots_0_lower          (_ways_18_io_resp_brSlots_0_lower),
+    4172             :     .io_resp_brSlots_0_tarStat        (_ways_18_io_resp_brSlots_0_tarStat),
+    4173             :     .io_resp_brSlots_0_valid          (_ways_18_io_resp_brSlots_0_valid),
+    4174             :     .io_resp_tailSlot_offset          (_ways_18_io_resp_tailSlot_offset),
+    4175             :     .io_resp_tailSlot_lower           (_ways_18_io_resp_tailSlot_lower),
+    4176             :     .io_resp_tailSlot_tarStat         (_ways_18_io_resp_tailSlot_tarStat),
+    4177             :     .io_resp_tailSlot_sharing         (_ways_18_io_resp_tailSlot_sharing),
+    4178             :     .io_resp_tailSlot_valid           (_ways_18_io_resp_tailSlot_valid),
+    4179             :     .io_resp_pftAddr                  (_ways_18_io_resp_pftAddr),
+    4180             :     .io_resp_carry                    (_ways_18_io_resp_carry),
+    4181             :     .io_resp_always_taken_0           (_ways_18_io_resp_always_taken_0),
+    4182             :     .io_resp_always_taken_1           (_ways_18_io_resp_always_taken_1),
+    4183             :     .io_resp_hit                      (_ways_18_io_resp_hit),
+    4184             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4185             :     .io_update_hit                    (_ways_18_io_update_hit),
+    4186             :     .io_write_valid                   (u_s1_ways_write_valid_18),
+    4187             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4188             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4189             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4190             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4191             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4192             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4193             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4194             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4195             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4196             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4197             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4198             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4199             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4200             :     .io_write_tag                     (u_s1_tag)
+    4201             :   );
+    4202             :   FauFTBWay ways_19 (
+    4203             :     .clock                            (clock),
+    4204             :     .reset                            (reset),
+    4205             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4206             :     .io_resp_brSlots_0_offset         (_ways_19_io_resp_brSlots_0_offset),
+    4207             :     .io_resp_brSlots_0_lower          (_ways_19_io_resp_brSlots_0_lower),
+    4208             :     .io_resp_brSlots_0_tarStat        (_ways_19_io_resp_brSlots_0_tarStat),
+    4209             :     .io_resp_brSlots_0_valid          (_ways_19_io_resp_brSlots_0_valid),
+    4210             :     .io_resp_tailSlot_offset          (_ways_19_io_resp_tailSlot_offset),
+    4211             :     .io_resp_tailSlot_lower           (_ways_19_io_resp_tailSlot_lower),
+    4212             :     .io_resp_tailSlot_tarStat         (_ways_19_io_resp_tailSlot_tarStat),
+    4213             :     .io_resp_tailSlot_sharing         (_ways_19_io_resp_tailSlot_sharing),
+    4214             :     .io_resp_tailSlot_valid           (_ways_19_io_resp_tailSlot_valid),
+    4215             :     .io_resp_pftAddr                  (_ways_19_io_resp_pftAddr),
+    4216             :     .io_resp_carry                    (_ways_19_io_resp_carry),
+    4217             :     .io_resp_always_taken_0           (_ways_19_io_resp_always_taken_0),
+    4218             :     .io_resp_always_taken_1           (_ways_19_io_resp_always_taken_1),
+    4219             :     .io_resp_hit                      (_ways_19_io_resp_hit),
+    4220             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4221             :     .io_update_hit                    (_ways_19_io_update_hit),
+    4222             :     .io_write_valid                   (u_s1_ways_write_valid_19),
+    4223             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4224             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4225             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4226             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4227             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4228             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4229             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4230             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4231             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4232             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4233             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4234             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4235             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4236             :     .io_write_tag                     (u_s1_tag)
+    4237             :   );
+    4238             :   FauFTBWay ways_20 (
+    4239             :     .clock                            (clock),
+    4240             :     .reset                            (reset),
+    4241             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4242             :     .io_resp_brSlots_0_offset         (_ways_20_io_resp_brSlots_0_offset),
+    4243             :     .io_resp_brSlots_0_lower          (_ways_20_io_resp_brSlots_0_lower),
+    4244             :     .io_resp_brSlots_0_tarStat        (_ways_20_io_resp_brSlots_0_tarStat),
+    4245             :     .io_resp_brSlots_0_valid          (_ways_20_io_resp_brSlots_0_valid),
+    4246             :     .io_resp_tailSlot_offset          (_ways_20_io_resp_tailSlot_offset),
+    4247             :     .io_resp_tailSlot_lower           (_ways_20_io_resp_tailSlot_lower),
+    4248             :     .io_resp_tailSlot_tarStat         (_ways_20_io_resp_tailSlot_tarStat),
+    4249             :     .io_resp_tailSlot_sharing         (_ways_20_io_resp_tailSlot_sharing),
+    4250             :     .io_resp_tailSlot_valid           (_ways_20_io_resp_tailSlot_valid),
+    4251             :     .io_resp_pftAddr                  (_ways_20_io_resp_pftAddr),
+    4252             :     .io_resp_carry                    (_ways_20_io_resp_carry),
+    4253             :     .io_resp_always_taken_0           (_ways_20_io_resp_always_taken_0),
+    4254             :     .io_resp_always_taken_1           (_ways_20_io_resp_always_taken_1),
+    4255             :     .io_resp_hit                      (_ways_20_io_resp_hit),
+    4256             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4257             :     .io_update_hit                    (_ways_20_io_update_hit),
+    4258             :     .io_write_valid                   (u_s1_ways_write_valid_20),
+    4259             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4260             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4261             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4262             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4263             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4264             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4265             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4266             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4267             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4268             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4269             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4270             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4271             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4272             :     .io_write_tag                     (u_s1_tag)
+    4273             :   );
+    4274             :   FauFTBWay ways_21 (
+    4275             :     .clock                            (clock),
+    4276             :     .reset                            (reset),
+    4277             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4278             :     .io_resp_brSlots_0_offset         (_ways_21_io_resp_brSlots_0_offset),
+    4279             :     .io_resp_brSlots_0_lower          (_ways_21_io_resp_brSlots_0_lower),
+    4280             :     .io_resp_brSlots_0_tarStat        (_ways_21_io_resp_brSlots_0_tarStat),
+    4281             :     .io_resp_brSlots_0_valid          (_ways_21_io_resp_brSlots_0_valid),
+    4282             :     .io_resp_tailSlot_offset          (_ways_21_io_resp_tailSlot_offset),
+    4283             :     .io_resp_tailSlot_lower           (_ways_21_io_resp_tailSlot_lower),
+    4284             :     .io_resp_tailSlot_tarStat         (_ways_21_io_resp_tailSlot_tarStat),
+    4285             :     .io_resp_tailSlot_sharing         (_ways_21_io_resp_tailSlot_sharing),
+    4286             :     .io_resp_tailSlot_valid           (_ways_21_io_resp_tailSlot_valid),
+    4287             :     .io_resp_pftAddr                  (_ways_21_io_resp_pftAddr),
+    4288             :     .io_resp_carry                    (_ways_21_io_resp_carry),
+    4289             :     .io_resp_always_taken_0           (_ways_21_io_resp_always_taken_0),
+    4290             :     .io_resp_always_taken_1           (_ways_21_io_resp_always_taken_1),
+    4291             :     .io_resp_hit                      (_ways_21_io_resp_hit),
+    4292             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4293             :     .io_update_hit                    (_ways_21_io_update_hit),
+    4294             :     .io_write_valid                   (u_s1_ways_write_valid_21),
+    4295             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4296             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4297             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4298             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4299             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4300             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4301             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4302             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4303             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4304             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4305             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4306             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4307             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4308             :     .io_write_tag                     (u_s1_tag)
+    4309             :   );
+    4310             :   FauFTBWay ways_22 (
+    4311             :     .clock                            (clock),
+    4312             :     .reset                            (reset),
+    4313             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4314             :     .io_resp_brSlots_0_offset         (_ways_22_io_resp_brSlots_0_offset),
+    4315             :     .io_resp_brSlots_0_lower          (_ways_22_io_resp_brSlots_0_lower),
+    4316             :     .io_resp_brSlots_0_tarStat        (_ways_22_io_resp_brSlots_0_tarStat),
+    4317             :     .io_resp_brSlots_0_valid          (_ways_22_io_resp_brSlots_0_valid),
+    4318             :     .io_resp_tailSlot_offset          (_ways_22_io_resp_tailSlot_offset),
+    4319             :     .io_resp_tailSlot_lower           (_ways_22_io_resp_tailSlot_lower),
+    4320             :     .io_resp_tailSlot_tarStat         (_ways_22_io_resp_tailSlot_tarStat),
+    4321             :     .io_resp_tailSlot_sharing         (_ways_22_io_resp_tailSlot_sharing),
+    4322             :     .io_resp_tailSlot_valid           (_ways_22_io_resp_tailSlot_valid),
+    4323             :     .io_resp_pftAddr                  (_ways_22_io_resp_pftAddr),
+    4324             :     .io_resp_carry                    (_ways_22_io_resp_carry),
+    4325             :     .io_resp_always_taken_0           (_ways_22_io_resp_always_taken_0),
+    4326             :     .io_resp_always_taken_1           (_ways_22_io_resp_always_taken_1),
+    4327             :     .io_resp_hit                      (_ways_22_io_resp_hit),
+    4328             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4329             :     .io_update_hit                    (_ways_22_io_update_hit),
+    4330             :     .io_write_valid                   (u_s1_ways_write_valid_22),
+    4331             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4332             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4333             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4334             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4335             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4336             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4337             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4338             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4339             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4340             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4341             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4342             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4343             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4344             :     .io_write_tag                     (u_s1_tag)
+    4345             :   );
+    4346             :   FauFTBWay ways_23 (
+    4347             :     .clock                            (clock),
+    4348             :     .reset                            (reset),
+    4349             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4350             :     .io_resp_brSlots_0_offset         (_ways_23_io_resp_brSlots_0_offset),
+    4351             :     .io_resp_brSlots_0_lower          (_ways_23_io_resp_brSlots_0_lower),
+    4352             :     .io_resp_brSlots_0_tarStat        (_ways_23_io_resp_brSlots_0_tarStat),
+    4353             :     .io_resp_brSlots_0_valid          (_ways_23_io_resp_brSlots_0_valid),
+    4354             :     .io_resp_tailSlot_offset          (_ways_23_io_resp_tailSlot_offset),
+    4355             :     .io_resp_tailSlot_lower           (_ways_23_io_resp_tailSlot_lower),
+    4356             :     .io_resp_tailSlot_tarStat         (_ways_23_io_resp_tailSlot_tarStat),
+    4357             :     .io_resp_tailSlot_sharing         (_ways_23_io_resp_tailSlot_sharing),
+    4358             :     .io_resp_tailSlot_valid           (_ways_23_io_resp_tailSlot_valid),
+    4359             :     .io_resp_pftAddr                  (_ways_23_io_resp_pftAddr),
+    4360             :     .io_resp_carry                    (_ways_23_io_resp_carry),
+    4361             :     .io_resp_always_taken_0           (_ways_23_io_resp_always_taken_0),
+    4362             :     .io_resp_always_taken_1           (_ways_23_io_resp_always_taken_1),
+    4363             :     .io_resp_hit                      (_ways_23_io_resp_hit),
+    4364             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4365             :     .io_update_hit                    (_ways_23_io_update_hit),
+    4366             :     .io_write_valid                   (u_s1_ways_write_valid_23),
+    4367             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4368             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4369             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4370             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4371             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4372             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4373             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4374             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4375             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4376             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4377             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4378             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4379             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4380             :     .io_write_tag                     (u_s1_tag)
+    4381             :   );
+    4382             :   FauFTBWay ways_24 (
+    4383             :     .clock                            (clock),
+    4384             :     .reset                            (reset),
+    4385             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4386             :     .io_resp_brSlots_0_offset         (_ways_24_io_resp_brSlots_0_offset),
+    4387             :     .io_resp_brSlots_0_lower          (_ways_24_io_resp_brSlots_0_lower),
+    4388             :     .io_resp_brSlots_0_tarStat        (_ways_24_io_resp_brSlots_0_tarStat),
+    4389             :     .io_resp_brSlots_0_valid          (_ways_24_io_resp_brSlots_0_valid),
+    4390             :     .io_resp_tailSlot_offset          (_ways_24_io_resp_tailSlot_offset),
+    4391             :     .io_resp_tailSlot_lower           (_ways_24_io_resp_tailSlot_lower),
+    4392             :     .io_resp_tailSlot_tarStat         (_ways_24_io_resp_tailSlot_tarStat),
+    4393             :     .io_resp_tailSlot_sharing         (_ways_24_io_resp_tailSlot_sharing),
+    4394             :     .io_resp_tailSlot_valid           (_ways_24_io_resp_tailSlot_valid),
+    4395             :     .io_resp_pftAddr                  (_ways_24_io_resp_pftAddr),
+    4396             :     .io_resp_carry                    (_ways_24_io_resp_carry),
+    4397             :     .io_resp_always_taken_0           (_ways_24_io_resp_always_taken_0),
+    4398             :     .io_resp_always_taken_1           (_ways_24_io_resp_always_taken_1),
+    4399             :     .io_resp_hit                      (_ways_24_io_resp_hit),
+    4400             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4401             :     .io_update_hit                    (_ways_24_io_update_hit),
+    4402             :     .io_write_valid                   (u_s1_ways_write_valid_24),
+    4403             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4404             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4405             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4406             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4407             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4408             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4409             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4410             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4411             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4412             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4413             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4414             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4415             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4416             :     .io_write_tag                     (u_s1_tag)
+    4417             :   );
+    4418             :   FauFTBWay ways_25 (
+    4419             :     .clock                            (clock),
+    4420             :     .reset                            (reset),
+    4421             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4422             :     .io_resp_brSlots_0_offset         (_ways_25_io_resp_brSlots_0_offset),
+    4423             :     .io_resp_brSlots_0_lower          (_ways_25_io_resp_brSlots_0_lower),
+    4424             :     .io_resp_brSlots_0_tarStat        (_ways_25_io_resp_brSlots_0_tarStat),
+    4425             :     .io_resp_brSlots_0_valid          (_ways_25_io_resp_brSlots_0_valid),
+    4426             :     .io_resp_tailSlot_offset          (_ways_25_io_resp_tailSlot_offset),
+    4427             :     .io_resp_tailSlot_lower           (_ways_25_io_resp_tailSlot_lower),
+    4428             :     .io_resp_tailSlot_tarStat         (_ways_25_io_resp_tailSlot_tarStat),
+    4429             :     .io_resp_tailSlot_sharing         (_ways_25_io_resp_tailSlot_sharing),
+    4430             :     .io_resp_tailSlot_valid           (_ways_25_io_resp_tailSlot_valid),
+    4431             :     .io_resp_pftAddr                  (_ways_25_io_resp_pftAddr),
+    4432             :     .io_resp_carry                    (_ways_25_io_resp_carry),
+    4433             :     .io_resp_always_taken_0           (_ways_25_io_resp_always_taken_0),
+    4434             :     .io_resp_always_taken_1           (_ways_25_io_resp_always_taken_1),
+    4435             :     .io_resp_hit                      (_ways_25_io_resp_hit),
+    4436             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4437             :     .io_update_hit                    (_ways_25_io_update_hit),
+    4438             :     .io_write_valid                   (u_s1_ways_write_valid_25),
+    4439             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4440             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4441             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4442             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4443             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4444             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4445             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4446             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4447             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4448             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4449             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4450             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4451             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4452             :     .io_write_tag                     (u_s1_tag)
+    4453             :   );
+    4454             :   FauFTBWay ways_26 (
+    4455             :     .clock                            (clock),
+    4456             :     .reset                            (reset),
+    4457             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4458             :     .io_resp_brSlots_0_offset         (_ways_26_io_resp_brSlots_0_offset),
+    4459             :     .io_resp_brSlots_0_lower          (_ways_26_io_resp_brSlots_0_lower),
+    4460             :     .io_resp_brSlots_0_tarStat        (_ways_26_io_resp_brSlots_0_tarStat),
+    4461             :     .io_resp_brSlots_0_valid          (_ways_26_io_resp_brSlots_0_valid),
+    4462             :     .io_resp_tailSlot_offset          (_ways_26_io_resp_tailSlot_offset),
+    4463             :     .io_resp_tailSlot_lower           (_ways_26_io_resp_tailSlot_lower),
+    4464             :     .io_resp_tailSlot_tarStat         (_ways_26_io_resp_tailSlot_tarStat),
+    4465             :     .io_resp_tailSlot_sharing         (_ways_26_io_resp_tailSlot_sharing),
+    4466             :     .io_resp_tailSlot_valid           (_ways_26_io_resp_tailSlot_valid),
+    4467             :     .io_resp_pftAddr                  (_ways_26_io_resp_pftAddr),
+    4468             :     .io_resp_carry                    (_ways_26_io_resp_carry),
+    4469             :     .io_resp_always_taken_0           (_ways_26_io_resp_always_taken_0),
+    4470             :     .io_resp_always_taken_1           (_ways_26_io_resp_always_taken_1),
+    4471             :     .io_resp_hit                      (_ways_26_io_resp_hit),
+    4472             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4473             :     .io_update_hit                    (_ways_26_io_update_hit),
+    4474             :     .io_write_valid                   (u_s1_ways_write_valid_26),
+    4475             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4476             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4477             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4478             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4479             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4480             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4481             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4482             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4483             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4484             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4485             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4486             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4487             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4488             :     .io_write_tag                     (u_s1_tag)
+    4489             :   );
+    4490             :   FauFTBWay ways_27 (
+    4491             :     .clock                            (clock),
+    4492             :     .reset                            (reset),
+    4493             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4494             :     .io_resp_brSlots_0_offset         (_ways_27_io_resp_brSlots_0_offset),
+    4495             :     .io_resp_brSlots_0_lower          (_ways_27_io_resp_brSlots_0_lower),
+    4496             :     .io_resp_brSlots_0_tarStat        (_ways_27_io_resp_brSlots_0_tarStat),
+    4497             :     .io_resp_brSlots_0_valid          (_ways_27_io_resp_brSlots_0_valid),
+    4498             :     .io_resp_tailSlot_offset          (_ways_27_io_resp_tailSlot_offset),
+    4499             :     .io_resp_tailSlot_lower           (_ways_27_io_resp_tailSlot_lower),
+    4500             :     .io_resp_tailSlot_tarStat         (_ways_27_io_resp_tailSlot_tarStat),
+    4501             :     .io_resp_tailSlot_sharing         (_ways_27_io_resp_tailSlot_sharing),
+    4502             :     .io_resp_tailSlot_valid           (_ways_27_io_resp_tailSlot_valid),
+    4503             :     .io_resp_pftAddr                  (_ways_27_io_resp_pftAddr),
+    4504             :     .io_resp_carry                    (_ways_27_io_resp_carry),
+    4505             :     .io_resp_always_taken_0           (_ways_27_io_resp_always_taken_0),
+    4506             :     .io_resp_always_taken_1           (_ways_27_io_resp_always_taken_1),
+    4507             :     .io_resp_hit                      (_ways_27_io_resp_hit),
+    4508             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4509             :     .io_update_hit                    (_ways_27_io_update_hit),
+    4510             :     .io_write_valid                   (u_s1_ways_write_valid_27),
+    4511             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4512             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4513             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4514             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4515             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4516             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4517             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4518             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4519             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4520             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4521             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4522             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4523             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4524             :     .io_write_tag                     (u_s1_tag)
+    4525             :   );
+    4526             :   FauFTBWay ways_28 (
+    4527             :     .clock                            (clock),
+    4528             :     .reset                            (reset),
+    4529             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4530             :     .io_resp_brSlots_0_offset         (_ways_28_io_resp_brSlots_0_offset),
+    4531             :     .io_resp_brSlots_0_lower          (_ways_28_io_resp_brSlots_0_lower),
+    4532             :     .io_resp_brSlots_0_tarStat        (_ways_28_io_resp_brSlots_0_tarStat),
+    4533             :     .io_resp_brSlots_0_valid          (_ways_28_io_resp_brSlots_0_valid),
+    4534             :     .io_resp_tailSlot_offset          (_ways_28_io_resp_tailSlot_offset),
+    4535             :     .io_resp_tailSlot_lower           (_ways_28_io_resp_tailSlot_lower),
+    4536             :     .io_resp_tailSlot_tarStat         (_ways_28_io_resp_tailSlot_tarStat),
+    4537             :     .io_resp_tailSlot_sharing         (_ways_28_io_resp_tailSlot_sharing),
+    4538             :     .io_resp_tailSlot_valid           (_ways_28_io_resp_tailSlot_valid),
+    4539             :     .io_resp_pftAddr                  (_ways_28_io_resp_pftAddr),
+    4540             :     .io_resp_carry                    (_ways_28_io_resp_carry),
+    4541             :     .io_resp_always_taken_0           (_ways_28_io_resp_always_taken_0),
+    4542             :     .io_resp_always_taken_1           (_ways_28_io_resp_always_taken_1),
+    4543             :     .io_resp_hit                      (_ways_28_io_resp_hit),
+    4544             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4545             :     .io_update_hit                    (_ways_28_io_update_hit),
+    4546             :     .io_write_valid                   (u_s1_ways_write_valid_28),
+    4547             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4548             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4549             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4550             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4551             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4552             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4553             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4554             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4555             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4556             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4557             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4558             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4559             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4560             :     .io_write_tag                     (u_s1_tag)
+    4561             :   );
+    4562             :   FauFTBWay ways_29 (
+    4563             :     .clock                            (clock),
+    4564             :     .reset                            (reset),
+    4565             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4566             :     .io_resp_brSlots_0_offset         (_ways_29_io_resp_brSlots_0_offset),
+    4567             :     .io_resp_brSlots_0_lower          (_ways_29_io_resp_brSlots_0_lower),
+    4568             :     .io_resp_brSlots_0_tarStat        (_ways_29_io_resp_brSlots_0_tarStat),
+    4569             :     .io_resp_brSlots_0_valid          (_ways_29_io_resp_brSlots_0_valid),
+    4570             :     .io_resp_tailSlot_offset          (_ways_29_io_resp_tailSlot_offset),
+    4571             :     .io_resp_tailSlot_lower           (_ways_29_io_resp_tailSlot_lower),
+    4572             :     .io_resp_tailSlot_tarStat         (_ways_29_io_resp_tailSlot_tarStat),
+    4573             :     .io_resp_tailSlot_sharing         (_ways_29_io_resp_tailSlot_sharing),
+    4574             :     .io_resp_tailSlot_valid           (_ways_29_io_resp_tailSlot_valid),
+    4575             :     .io_resp_pftAddr                  (_ways_29_io_resp_pftAddr),
+    4576             :     .io_resp_carry                    (_ways_29_io_resp_carry),
+    4577             :     .io_resp_always_taken_0           (_ways_29_io_resp_always_taken_0),
+    4578             :     .io_resp_always_taken_1           (_ways_29_io_resp_always_taken_1),
+    4579             :     .io_resp_hit                      (_ways_29_io_resp_hit),
+    4580             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4581             :     .io_update_hit                    (_ways_29_io_update_hit),
+    4582             :     .io_write_valid                   (u_s1_ways_write_valid_29),
+    4583             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4584             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4585             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4586             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4587             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4588             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4589             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4590             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4591             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4592             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4593             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4594             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4595             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4596             :     .io_write_tag                     (u_s1_tag)
+    4597             :   );
+    4598             :   FauFTBWay ways_30 (
+    4599             :     .clock                            (clock),
+    4600             :     .reset                            (reset),
+    4601             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4602             :     .io_resp_brSlots_0_offset         (_ways_30_io_resp_brSlots_0_offset),
+    4603             :     .io_resp_brSlots_0_lower          (_ways_30_io_resp_brSlots_0_lower),
+    4604             :     .io_resp_brSlots_0_tarStat        (_ways_30_io_resp_brSlots_0_tarStat),
+    4605             :     .io_resp_brSlots_0_valid          (_ways_30_io_resp_brSlots_0_valid),
+    4606             :     .io_resp_tailSlot_offset          (_ways_30_io_resp_tailSlot_offset),
+    4607             :     .io_resp_tailSlot_lower           (_ways_30_io_resp_tailSlot_lower),
+    4608             :     .io_resp_tailSlot_tarStat         (_ways_30_io_resp_tailSlot_tarStat),
+    4609             :     .io_resp_tailSlot_sharing         (_ways_30_io_resp_tailSlot_sharing),
+    4610             :     .io_resp_tailSlot_valid           (_ways_30_io_resp_tailSlot_valid),
+    4611             :     .io_resp_pftAddr                  (_ways_30_io_resp_pftAddr),
+    4612             :     .io_resp_carry                    (_ways_30_io_resp_carry),
+    4613             :     .io_resp_always_taken_0           (_ways_30_io_resp_always_taken_0),
+    4614             :     .io_resp_always_taken_1           (_ways_30_io_resp_always_taken_1),
+    4615             :     .io_resp_hit                      (_ways_30_io_resp_hit),
+    4616             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4617             :     .io_update_hit                    (_ways_30_io_update_hit),
+    4618             :     .io_write_valid                   (u_s1_ways_write_valid_30),
+    4619             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4620             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4621             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4622             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4623             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4624             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4625             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4626             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4627             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4628             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4629             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4630             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4631             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4632             :     .io_write_tag                     (u_s1_tag)
+    4633             :   );
+    4634             :   FauFTBWay ways_31 (
+    4635             :     .clock                            (clock),
+    4636             :     .reset                            (reset),
+    4637             :     .io_req_tag                       (s1_pc_dup_0[16:1]),
+    4638             :     .io_resp_brSlots_0_offset         (_ways_31_io_resp_brSlots_0_offset),
+    4639             :     .io_resp_brSlots_0_lower          (_ways_31_io_resp_brSlots_0_lower),
+    4640             :     .io_resp_brSlots_0_tarStat        (_ways_31_io_resp_brSlots_0_tarStat),
+    4641             :     .io_resp_brSlots_0_valid          (_ways_31_io_resp_brSlots_0_valid),
+    4642             :     .io_resp_tailSlot_offset          (_ways_31_io_resp_tailSlot_offset),
+    4643             :     .io_resp_tailSlot_lower           (_ways_31_io_resp_tailSlot_lower),
+    4644             :     .io_resp_tailSlot_tarStat         (_ways_31_io_resp_tailSlot_tarStat),
+    4645             :     .io_resp_tailSlot_sharing         (_ways_31_io_resp_tailSlot_sharing),
+    4646             :     .io_resp_tailSlot_valid           (_ways_31_io_resp_tailSlot_valid),
+    4647             :     .io_resp_pftAddr                  (_ways_31_io_resp_pftAddr),
+    4648             :     .io_resp_carry                    (_ways_31_io_resp_carry),
+    4649             :     .io_resp_always_taken_0           (_ways_31_io_resp_always_taken_0),
+    4650             :     .io_resp_always_taken_1           (_ways_31_io_resp_always_taken_1),
+    4651             :     .io_resp_hit                      (_ways_31_io_resp_hit),
+    4652             :     .io_update_req_tag                (io_update_bits_pc[16:1]),
+    4653             :     .io_update_hit                    (_ways_31_io_update_hit),
+    4654             :     .io_write_valid                   (u_s1_ways_write_valid_31),
+    4655             :     .io_write_entry_brSlots_0_offset  (u_s1_ftb_entry_brSlots_0_offset),
+    4656             :     .io_write_entry_brSlots_0_lower   (u_s1_ftb_entry_brSlots_0_lower),
+    4657             :     .io_write_entry_brSlots_0_tarStat (u_s1_ftb_entry_brSlots_0_tarStat),
+    4658             :     .io_write_entry_brSlots_0_valid   (u_s1_ftb_entry_brSlots_0_valid),
+    4659             :     .io_write_entry_tailSlot_offset   (u_s1_ftb_entry_tailSlot_offset),
+    4660             :     .io_write_entry_tailSlot_lower    (u_s1_ftb_entry_tailSlot_lower),
+    4661             :     .io_write_entry_tailSlot_tarStat  (u_s1_ftb_entry_tailSlot_tarStat),
+    4662             :     .io_write_entry_tailSlot_sharing  (u_s1_ftb_entry_tailSlot_sharing),
+    4663             :     .io_write_entry_tailSlot_valid    (u_s1_ftb_entry_tailSlot_valid),
+    4664             :     .io_write_entry_pftAddr           (u_s1_ftb_entry_pftAddr),
+    4665             :     .io_write_entry_carry             (u_s1_ftb_entry_carry),
+    4666             :     .io_write_entry_always_taken_0    (u_s1_ftb_entry_always_taken_0),
+    4667             :     .io_write_entry_always_taken_1    (u_s1_ftb_entry_always_taken_1),
+    4668             :     .io_write_tag                     (u_s1_tag)
+    4669             :   );
+    4670             :   assign io_out_s1_pc_0 = s1_pc_dup_0;
+    4671             :   assign io_out_s1_pc_1 = s1_pc_dup_1;
+    4672             :   assign io_out_s1_pc_2 = s1_pc_dup_2;
+    4673             :   assign io_out_s1_pc_3 = s1_pc_dup_3;
+    4674             :   assign io_out_s1_full_pred_0_br_taken_mask_0 = _s1_hit_full_pred_T_1102;
+    4675             :   assign io_out_s1_full_pred_0_br_taken_mask_1 = _s1_hit_full_pred_T_1165;
+    4676             :   assign io_out_s1_full_pred_0_slot_valids_0 = _s1_hit_full_pred_T_976;
+    4677             :   assign io_out_s1_full_pred_0_slot_valids_1 = _s1_hit_full_pred_T_1039;
+    4678             :   assign io_out_s1_full_pred_0_targets_0 = _s1_hit_full_pred_T_850;
+    4679             :   assign io_out_s1_full_pred_0_targets_1 = _s1_hit_full_pred_T_913;
+    4680             :   assign io_out_s1_full_pred_0_offsets_0 = _s1_hit_full_pred_T_661;
+    4681             :   assign io_out_s1_full_pred_0_offsets_1 = _s1_hit_full_pred_T_724;
+    4682             :   assign io_out_s1_full_pred_0_fallThroughAddr = _s1_hit_full_pred_T_598;
+    4683             :   assign io_out_s1_full_pred_0_is_br_sharing = _s1_hit_full_pred_T_157;
+    4684             :   assign io_out_s1_full_pred_0_hit = io_out_s1_full_pred_3_hit_0;
+    4685             :   assign io_out_s1_full_pred_1_br_taken_mask_0 = _s1_hit_full_pred_T_1102;
+    4686             :   assign io_out_s1_full_pred_1_br_taken_mask_1 = _s1_hit_full_pred_T_1165;
+    4687             :   assign io_out_s1_full_pred_1_slot_valids_0 = _s1_hit_full_pred_T_976;
+    4688             :   assign io_out_s1_full_pred_1_slot_valids_1 = _s1_hit_full_pred_T_1039;
+    4689             :   assign io_out_s1_full_pred_1_targets_0 = _s1_hit_full_pred_T_850;
+    4690             :   assign io_out_s1_full_pred_1_targets_1 = _s1_hit_full_pred_T_913;
+    4691             :   assign io_out_s1_full_pred_1_offsets_0 = _s1_hit_full_pred_T_661;
+    4692             :   assign io_out_s1_full_pred_1_offsets_1 = _s1_hit_full_pred_T_724;
+    4693             :   assign io_out_s1_full_pred_1_fallThroughAddr = _s1_hit_full_pred_T_598;
+    4694             :   assign io_out_s1_full_pred_1_is_br_sharing = _s1_hit_full_pred_T_157;
+    4695             :   assign io_out_s1_full_pred_1_hit = io_out_s1_full_pred_3_hit_0;
+    4696             :   assign io_out_s1_full_pred_2_br_taken_mask_0 = _s1_hit_full_pred_T_1102;
+    4697             :   assign io_out_s1_full_pred_2_br_taken_mask_1 = _s1_hit_full_pred_T_1165;
+    4698             :   assign io_out_s1_full_pred_2_slot_valids_0 = _s1_hit_full_pred_T_976;
+    4699             :   assign io_out_s1_full_pred_2_slot_valids_1 = _s1_hit_full_pred_T_1039;
+    4700             :   assign io_out_s1_full_pred_2_targets_0 = _s1_hit_full_pred_T_850;
+    4701             :   assign io_out_s1_full_pred_2_targets_1 = _s1_hit_full_pred_T_913;
+    4702             :   assign io_out_s1_full_pred_2_offsets_0 = _s1_hit_full_pred_T_661;
+    4703             :   assign io_out_s1_full_pred_2_offsets_1 = _s1_hit_full_pred_T_724;
+    4704             :   assign io_out_s1_full_pred_2_fallThroughAddr = _s1_hit_full_pred_T_598;
+    4705             :   assign io_out_s1_full_pred_2_is_br_sharing = _s1_hit_full_pred_T_157;
+    4706             :   assign io_out_s1_full_pred_2_hit = io_out_s1_full_pred_3_hit_0;
+    4707             :   assign io_out_s1_full_pred_3_br_taken_mask_0 = _s1_hit_full_pred_T_1102;
+    4708             :   assign io_out_s1_full_pred_3_br_taken_mask_1 = _s1_hit_full_pred_T_1165;
+    4709             :   assign io_out_s1_full_pred_3_slot_valids_0 = _s1_hit_full_pred_T_976;
+    4710             :   assign io_out_s1_full_pred_3_slot_valids_1 = _s1_hit_full_pred_T_1039;
+    4711             :   assign io_out_s1_full_pred_3_targets_0 = _s1_hit_full_pred_T_850;
+    4712             :   assign io_out_s1_full_pred_3_targets_1 = _s1_hit_full_pred_T_913;
+    4713             :   assign io_out_s1_full_pred_3_offsets_0 = _s1_hit_full_pred_T_661;
+    4714             :   assign io_out_s1_full_pred_3_offsets_1 = _s1_hit_full_pred_T_724;
+    4715             :   assign io_out_s1_full_pred_3_fallThroughAddr = _s1_hit_full_pred_T_598;
+    4716             :   assign io_out_s1_full_pred_3_fallThroughErr =
+    4717             :     _ways_0_io_resp_hit & s1_possible_full_preds_0_fallThroughErr | _ways_1_io_resp_hit
+    4718             :     & s1_possible_full_preds_1_fallThroughErr | _ways_2_io_resp_hit
+    4719             :     & s1_possible_full_preds_2_fallThroughErr | _ways_3_io_resp_hit
+    4720             :     & s1_possible_full_preds_3_fallThroughErr | _ways_4_io_resp_hit
+    4721             :     & s1_possible_full_preds_4_fallThroughErr | _ways_5_io_resp_hit
+    4722             :     & s1_possible_full_preds_5_fallThroughErr | _ways_6_io_resp_hit
+    4723             :     & s1_possible_full_preds_6_fallThroughErr | _ways_7_io_resp_hit
+    4724             :     & s1_possible_full_preds_7_fallThroughErr | _ways_8_io_resp_hit
+    4725             :     & s1_possible_full_preds_8_fallThroughErr | _ways_9_io_resp_hit
+    4726             :     & s1_possible_full_preds_9_fallThroughErr | _ways_10_io_resp_hit
+    4727             :     & s1_possible_full_preds_10_fallThroughErr | _ways_11_io_resp_hit
+    4728             :     & s1_possible_full_preds_11_fallThroughErr | _ways_12_io_resp_hit
+    4729             :     & s1_possible_full_preds_12_fallThroughErr | _ways_13_io_resp_hit
+    4730             :     & s1_possible_full_preds_13_fallThroughErr | _ways_14_io_resp_hit
+    4731             :     & s1_possible_full_preds_14_fallThroughErr | _ways_15_io_resp_hit
+    4732             :     & s1_possible_full_preds_15_fallThroughErr | _ways_16_io_resp_hit
+    4733             :     & s1_possible_full_preds_16_fallThroughErr | _ways_17_io_resp_hit
+    4734             :     & s1_possible_full_preds_17_fallThroughErr | _ways_18_io_resp_hit
+    4735             :     & s1_possible_full_preds_18_fallThroughErr | _ways_19_io_resp_hit
+    4736             :     & s1_possible_full_preds_19_fallThroughErr | _ways_20_io_resp_hit
+    4737             :     & s1_possible_full_preds_20_fallThroughErr | _ways_21_io_resp_hit
+    4738             :     & s1_possible_full_preds_21_fallThroughErr | _ways_22_io_resp_hit
+    4739             :     & s1_possible_full_preds_22_fallThroughErr | _ways_23_io_resp_hit
+    4740             :     & s1_possible_full_preds_23_fallThroughErr | _ways_24_io_resp_hit
+    4741             :     & s1_possible_full_preds_24_fallThroughErr | _ways_25_io_resp_hit
+    4742             :     & s1_possible_full_preds_25_fallThroughErr | _ways_26_io_resp_hit
+    4743             :     & s1_possible_full_preds_26_fallThroughErr | _ways_27_io_resp_hit
+    4744             :     & s1_possible_full_preds_27_fallThroughErr | _ways_28_io_resp_hit
+    4745             :     & s1_possible_full_preds_28_fallThroughErr | _ways_29_io_resp_hit
+    4746             :     & s1_possible_full_preds_29_fallThroughErr | _ways_30_io_resp_hit
+    4747             :     & s1_possible_full_preds_30_fallThroughErr | _ways_31_io_resp_hit
+    4748             :     & s1_possible_full_preds_31_fallThroughErr;
+    4749             :   assign io_out_s1_full_pred_3_is_br_sharing = _s1_hit_full_pred_T_157;
+    4750             :   assign io_out_s1_full_pred_3_hit = io_out_s1_full_pred_3_hit_0;
+    4751             :   assign io_out_last_stage_meta = {217'h0, resp_meta_pred_way_r_1, resp_meta_hit_r_1};
+    4752             :   assign io_perf_0_value = {5'h0, io_perf_0_value_REG_1};
+    4753             :   assign io_perf_1_value = {5'h0, io_perf_1_value_REG_1};
+    4754             : endmodule
+    4755             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.func-sort-c.html new file mode 100644 index 0000000..4df977a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FauFTBWay.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FauFTBWay.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:7272100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.func.html new file mode 100644 index 0000000..edc118b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FauFTBWay.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FauFTBWay.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:7272100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.gcov.html new file mode 100644 index 0000000..8690024 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FauFTBWay.sv.gcov.html @@ -0,0 +1,263 @@ + + + + + + + LCOV - merged.info - BPUTop/FauFTBWay.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FauFTBWay.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:7272100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FauFTBWay(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61        8872 :   input  [15:0] io_req_tag,
+      62        2268 :   output [3:0]  io_resp_brSlots_0_offset,
+      63        6550 :   output [11:0] io_resp_brSlots_0_lower,
+      64        1131 :   output [1:0]  io_resp_brSlots_0_tarStat,
+      65         544 :   output        io_resp_brSlots_0_valid,
+      66        2222 :   output [3:0]  io_resp_tailSlot_offset,
+      67       10939 :   output [19:0] io_resp_tailSlot_lower,
+      68        1094 :   output [1:0]  io_resp_tailSlot_tarStat,
+      69         551 :   output        io_resp_tailSlot_sharing,
+      70         567 :   output        io_resp_tailSlot_valid,
+      71        2286 :   output [3:0]  io_resp_pftAddr,
+      72         558 :   output        io_resp_carry,
+      73         564 :   output        io_resp_always_taken_0,
+      74         543 :   output        io_resp_always_taken_1,
+      75         510 :   output        io_resp_hit,
+      76         454 :   input  [15:0] io_update_req_tag,
+      77         527 :   output        io_update_hit,
+      78          12 :   input         io_write_valid,
+      79         127 :   input  [3:0]  io_write_entry_brSlots_0_offset,
+      80         345 :   input  [11:0] io_write_entry_brSlots_0_lower,
+      81          57 :   input  [1:0]  io_write_entry_brSlots_0_tarStat,
+      82          43 :   input         io_write_entry_brSlots_0_valid,
+      83         127 :   input  [3:0]  io_write_entry_tailSlot_offset,
+      84         573 :   input  [19:0] io_write_entry_tailSlot_lower,
+      85          70 :   input  [1:0]  io_write_entry_tailSlot_tarStat,
+      86          33 :   input         io_write_entry_tailSlot_sharing,
+      87          29 :   input         io_write_entry_tailSlot_valid,
+      88         135 :   input  [3:0]  io_write_entry_pftAddr,
+      89          30 :   input         io_write_entry_carry,
+      90          26 :   input         io_write_entry_always_taken_0,
+      91          37 :   input         io_write_entry_always_taken_1,
+      92         435 :   input  [15:0] io_write_tag
+      93             : );
+      94             : 
+      95        2268 :   reg [3:0]  data_brSlots_0_offset;
+      96        6550 :   reg [11:0] data_brSlots_0_lower;
+      97        1131 :   reg [1:0]  data_brSlots_0_tarStat;
+      98         544 :   reg        data_brSlots_0_valid;
+      99        2222 :   reg [3:0]  data_tailSlot_offset;
+     100       10939 :   reg [19:0] data_tailSlot_lower;
+     101        1094 :   reg [1:0]  data_tailSlot_tarStat;
+     102         551 :   reg        data_tailSlot_sharing;
+     103         567 :   reg        data_tailSlot_valid;
+     104        2286 :   reg [3:0]  data_pftAddr;
+     105         558 :   reg        data_carry;
+     106         564 :   reg        data_always_taken_0;
+     107         543 :   reg        data_always_taken_1;
+     108        8837 :   reg [15:0] tag;
+     109         831 :   reg        valid;
+     110     4086208 :   always @(posedge clock) begin
+     111         380 :     if (io_write_valid) begin
+     112         190 :       data_brSlots_0_offset <= io_write_entry_brSlots_0_offset;
+     113         190 :       data_brSlots_0_lower <= io_write_entry_brSlots_0_lower;
+     114         190 :       data_brSlots_0_tarStat <= io_write_entry_brSlots_0_tarStat;
+     115         190 :       data_brSlots_0_valid <= io_write_entry_brSlots_0_valid;
+     116         190 :       data_tailSlot_offset <= io_write_entry_tailSlot_offset;
+     117         190 :       data_tailSlot_lower <= io_write_entry_tailSlot_lower;
+     118         190 :       data_tailSlot_tarStat <= io_write_entry_tailSlot_tarStat;
+     119         190 :       data_tailSlot_sharing <= io_write_entry_tailSlot_sharing;
+     120         190 :       data_tailSlot_valid <= io_write_entry_tailSlot_valid;
+     121         190 :       data_pftAddr <= io_write_entry_pftAddr;
+     122         190 :       data_carry <= io_write_entry_carry;
+     123         190 :       data_always_taken_0 <= io_write_entry_always_taken_0;
+     124         190 :       data_always_taken_1 <= io_write_entry_always_taken_1;
+     125         190 :       tag <= io_write_tag;
+     126             :     end
+     127             :   end // always @(posedge)
+     128     4087360 :   always @(posedge clock or posedge reset) begin
+     129        8704 :     if (reset)
+     130        4352 :       valid <= 1'h0;
+     131             :     else
+     132     2039328 :       valid <= io_write_valid & ~valid | valid;
+     133             :   end // always @(posedge, posedge)
+     134             :   `ifdef ENABLE_INITIAL_REG_
+     135             :     `ifdef FIRRTL_BEFORE_INITIAL
+     136             :       `FIRRTL_BEFORE_INITIAL
+     137             :     `endif // FIRRTL_BEFORE_INITIAL
+     138             :     logic [31:0] _RANDOM[0:2];
+     139        1856 :     initial begin
+     140             :       `ifdef INIT_RANDOM_PROLOG_
+     141             :         `INIT_RANDOM_PROLOG_
+     142             :       `endif // INIT_RANDOM_PROLOG_
+     143             :       `ifdef RANDOMIZE_REG_INIT
+     144             :         for (logic [1:0] i = 2'h0; i < 2'h3; i += 2'h1) begin
+     145             :           _RANDOM[i] = `RANDOM;
+     146             :         end
+     147             :         data_brSlots_0_offset = _RANDOM[2'h0][4:1];
+     148             :         data_brSlots_0_lower = _RANDOM[2'h0][16:5];
+     149             :         data_brSlots_0_tarStat = _RANDOM[2'h0][18:17];
+     150             :         data_brSlots_0_valid = _RANDOM[2'h0][20];
+     151             :         data_tailSlot_offset = _RANDOM[2'h0][24:21];
+     152             :         data_tailSlot_lower = {_RANDOM[2'h0][31:25], _RANDOM[2'h1][12:0]};
+     153             :         data_tailSlot_tarStat = _RANDOM[2'h1][14:13];
+     154             :         data_tailSlot_sharing = _RANDOM[2'h1][15];
+     155             :         data_tailSlot_valid = _RANDOM[2'h1][16];
+     156             :         data_pftAddr = _RANDOM[2'h1][20:17];
+     157             :         data_carry = _RANDOM[2'h1][21];
+     158             :         data_always_taken_0 = _RANDOM[2'h1][26];
+     159             :         data_always_taken_1 = _RANDOM[2'h1][27];
+     160             :         tag = {_RANDOM[2'h1][31:28], _RANDOM[2'h2][11:0]};
+     161             :         valid = _RANDOM[2'h2][12];
+     162             :       `endif // RANDOMIZE_REG_INIT
+     163         544 :       if (reset)
+     164         384 :         valid = 1'h0;
+     165             :     end // initial
+     166             :     `ifdef FIRRTL_AFTER_INITIAL
+     167             :       `FIRRTL_AFTER_INITIAL
+     168             :     `endif // FIRRTL_AFTER_INITIAL
+     169             :   `endif // ENABLE_INITIAL_REG_
+     170             :   assign io_resp_brSlots_0_offset = data_brSlots_0_offset;
+     171             :   assign io_resp_brSlots_0_lower = data_brSlots_0_lower;
+     172             :   assign io_resp_brSlots_0_tarStat = data_brSlots_0_tarStat;
+     173             :   assign io_resp_brSlots_0_valid = data_brSlots_0_valid;
+     174             :   assign io_resp_tailSlot_offset = data_tailSlot_offset;
+     175             :   assign io_resp_tailSlot_lower = data_tailSlot_lower;
+     176             :   assign io_resp_tailSlot_tarStat = data_tailSlot_tarStat;
+     177             :   assign io_resp_tailSlot_sharing = data_tailSlot_sharing;
+     178             :   assign io_resp_tailSlot_valid = data_tailSlot_valid;
+     179             :   assign io_resp_pftAddr = data_pftAddr;
+     180             :   assign io_resp_carry = data_carry;
+     181             :   assign io_resp_always_taken_0 = data_always_taken_0;
+     182             :   assign io_resp_always_taken_1 = data_always_taken_1;
+     183             :   assign io_resp_hit = tag == io_req_tag & valid;
+     184             :   assign io_update_hit =
+     185             :     tag == io_update_req_tag & valid | io_write_tag == io_update_req_tag & io_write_valid;
+     186             : endmodule
+     187             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.func-sort-c.html new file mode 100644 index 0000000..40517c6 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Folded1WDataModuleTemplate.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Folded1WDataModuleTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2727100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.func.html new file mode 100644 index 0000000..8bd8e9d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Folded1WDataModuleTemplate.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Folded1WDataModuleTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2727100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.gcov.html new file mode 100644 index 0000000..9659bac --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate.sv.gcov.html @@ -0,0 +1,222 @@ + + + + + + + LCOV - merged.info - BPUTop/Folded1WDataModuleTemplate.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Folded1WDataModuleTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2727100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module Folded1WDataModuleTemplate(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61          73 :   input        io_ren_0,
+      62       24675 :   input  [7:0] io_raddr_0,
+      63         127 :   output       io_rdata_0,
+      64          11 :   input        io_wen,
+      65         105 :   input  [7:0] io_waddr,
+      66          14 :   input        io_wdata,
+      67          33 :   input        io_resetEn
+      68             : );
+      69             : 
+      70             :   wire [15:0] _data_ext_R0_data;
+      71         113 :   reg         doing_reset;
+      72        2019 :   reg  [3:0]  resetRow;
+      73       16031 :   reg  [7:0]  raddr_0;
+      74             :   wire [15:0] _GEN =
+      75             :     {{_data_ext_R0_data[15]},
+      76             :      {_data_ext_R0_data[14]},
+      77             :      {_data_ext_R0_data[13]},
+      78             :      {_data_ext_R0_data[12]},
+      79             :      {_data_ext_R0_data[11]},
+      80             :      {_data_ext_R0_data[10]},
+      81             :      {_data_ext_R0_data[9]},
+      82             :      {_data_ext_R0_data[8]},
+      83             :      {_data_ext_R0_data[7]},
+      84             :      {_data_ext_R0_data[6]},
+      85             :      {_data_ext_R0_data[5]},
+      86             :      {_data_ext_R0_data[4]},
+      87             :      {_data_ext_R0_data[3]},
+      88             :      {_data_ext_R0_data[2]},
+      89             :      {_data_ext_R0_data[1]},
+      90             :      {_data_ext_R0_data[0]}};
+      91      255460 :   always @(posedge clock or posedge reset) begin
+      92         544 :     if (reset) begin
+      93         272 :       doing_reset <= 1'h1;
+      94         272 :       resetRow <= 4'h0;
+      95             :     end
+      96      127458 :     else begin
+      97      127458 :       doing_reset <= resetRow != 4'hF & (io_resetEn | doing_reset);
+      98        1956 :       if (doing_reset)
+      99         978 :         resetRow <= 4'(resetRow + 4'h1);
+     100             :     end
+     101             :   end // always @(posedge, posedge)
+     102      255388 :   always @(posedge clock) begin
+     103       16700 :     if (io_ren_0)
+     104        8350 :       raddr_0 <= io_raddr_0;
+     105             :   end // always @(posedge)
+     106             :   `ifdef ENABLE_INITIAL_REG_
+     107             :     `ifdef FIRRTL_BEFORE_INITIAL
+     108             :       `FIRRTL_BEFORE_INITIAL
+     109             :     `endif // FIRRTL_BEFORE_INITIAL
+     110             :     logic [31:0] _RANDOM[0:0];
+     111         116 :     initial begin
+     112             :       `ifdef INIT_RANDOM_PROLOG_
+     113             :         `INIT_RANDOM_PROLOG_
+     114             :       `endif // INIT_RANDOM_PROLOG_
+     115             :       `ifdef RANDOMIZE_REG_INIT
+     116             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     117             :         doing_reset = _RANDOM[/*Zero width*/ 1'b0][0];
+     118             :         resetRow = _RANDOM[/*Zero width*/ 1'b0][4:1];
+     119             :         raddr_0 = _RANDOM[/*Zero width*/ 1'b0][12:5];
+     120             :       `endif // RANDOMIZE_REG_INIT
+     121          34 :       if (reset) begin
+     122          24 :         doing_reset = 1'h1;
+     123          24 :         resetRow = 4'h0;
+     124             :       end
+     125             :     end // initial
+     126             :     `ifdef FIRRTL_AFTER_INITIAL
+     127             :       `FIRRTL_AFTER_INITIAL
+     128             :     `endif // FIRRTL_AFTER_INITIAL
+     129             :   `endif // ENABLE_INITIAL_REG_
+     130             :   data_16x16 data_ext (
+     131             :     .R0_addr (raddr_0[7:4]),
+     132             :     .R0_en   (1'h1),
+     133             :     .R0_clk  (clock),
+     134             :     .R0_data (_data_ext_R0_data),
+     135             :     .W0_addr (io_waddr[7:4]),
+     136             :     .W0_en   (~doing_reset & io_wen),
+     137             :     .W0_clk  (clock),
+     138             :     .W0_data ({16{io_wdata}}),
+     139             :     .W1_addr (resetRow),
+     140             :     .W1_en   (doing_reset),
+     141             :     .W1_clk  (clock),
+     142             :     .W1_data (16'h0)
+     143             :   );
+     144             :   assign io_rdata_0 = ~doing_reset & _GEN[raddr_0[3:0]];
+     145             : endmodule
+     146             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.func-sort-c.html new file mode 100644 index 0000000..c7fa68a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Folded1WDataModuleTemplate_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Folded1WDataModuleTemplate_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2727100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.func.html new file mode 100644 index 0000000..957a621 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Folded1WDataModuleTemplate_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Folded1WDataModuleTemplate_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2727100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.gcov.html new file mode 100644 index 0000000..a790657 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Folded1WDataModuleTemplate_2.sv.gcov.html @@ -0,0 +1,222 @@ + + + + + + + LCOV - merged.info - BPUTop/Folded1WDataModuleTemplate_2.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Folded1WDataModuleTemplate_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2727100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module Folded1WDataModuleTemplate_2(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61          73 :   input        io_ren_0,
+      62        8597 :   input  [8:0] io_raddr_0,
+      63          36 :   output       io_rdata_0,
+      64          17 :   input        io_wen,
+      65         125 :   input  [8:0] io_waddr,
+      66          20 :   input        io_wdata,
+      67          27 :   input        io_resetEn
+      68             : );
+      69             : 
+      70             :   wire [15:0] _data_ext_R0_data;
+      71         176 :   reg         doing_reset;
+      72        5949 :   reg  [4:0]  resetRow;
+      73       24862 :   reg  [8:0]  raddr_0;
+      74             :   wire [15:0] _GEN =
+      75             :     {{_data_ext_R0_data[15]},
+      76             :      {_data_ext_R0_data[14]},
+      77             :      {_data_ext_R0_data[13]},
+      78             :      {_data_ext_R0_data[12]},
+      79             :      {_data_ext_R0_data[11]},
+      80             :      {_data_ext_R0_data[10]},
+      81             :      {_data_ext_R0_data[9]},
+      82             :      {_data_ext_R0_data[8]},
+      83             :      {_data_ext_R0_data[7]},
+      84             :      {_data_ext_R0_data[6]},
+      85             :      {_data_ext_R0_data[5]},
+      86             :      {_data_ext_R0_data[4]},
+      87             :      {_data_ext_R0_data[3]},
+      88             :      {_data_ext_R0_data[2]},
+      89             :      {_data_ext_R0_data[1]},
+      90             :      {_data_ext_R0_data[0]}};
+      91      383190 :   always @(posedge clock or posedge reset) begin
+      92         816 :     if (reset) begin
+      93         408 :       doing_reset <= 1'h1;
+      94         408 :       resetRow <= 5'h0;
+      95             :     end
+      96      191187 :     else begin
+      97      191187 :       doing_reset <= resetRow != 5'h1F & (io_resetEn | doing_reset);
+      98        5800 :       if (doing_reset)
+      99        2900 :         resetRow <= 5'(resetRow + 5'h1);
+     100             :     end
+     101             :   end // always @(posedge, posedge)
+     102      383082 :   always @(posedge clock) begin
+     103       25050 :     if (io_ren_0)
+     104       12525 :       raddr_0 <= io_raddr_0;
+     105             :   end // always @(posedge)
+     106             :   `ifdef ENABLE_INITIAL_REG_
+     107             :     `ifdef FIRRTL_BEFORE_INITIAL
+     108             :       `FIRRTL_BEFORE_INITIAL
+     109             :     `endif // FIRRTL_BEFORE_INITIAL
+     110             :     logic [31:0] _RANDOM[0:0];
+     111         174 :     initial begin
+     112             :       `ifdef INIT_RANDOM_PROLOG_
+     113             :         `INIT_RANDOM_PROLOG_
+     114             :       `endif // INIT_RANDOM_PROLOG_
+     115             :       `ifdef RANDOMIZE_REG_INIT
+     116             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     117             :         doing_reset = _RANDOM[/*Zero width*/ 1'b0][0];
+     118             :         resetRow = _RANDOM[/*Zero width*/ 1'b0][5:1];
+     119             :         raddr_0 = _RANDOM[/*Zero width*/ 1'b0][14:6];
+     120             :       `endif // RANDOMIZE_REG_INIT
+     121          51 :       if (reset) begin
+     122          36 :         doing_reset = 1'h1;
+     123          36 :         resetRow = 5'h0;
+     124             :       end
+     125             :     end // initial
+     126             :     `ifdef FIRRTL_AFTER_INITIAL
+     127             :       `FIRRTL_AFTER_INITIAL
+     128             :     `endif // FIRRTL_AFTER_INITIAL
+     129             :   `endif // ENABLE_INITIAL_REG_
+     130             :   data_32x16 data_ext (
+     131             :     .R0_addr (raddr_0[8:4]),
+     132             :     .R0_en   (1'h1),
+     133             :     .R0_clk  (clock),
+     134             :     .R0_data (_data_ext_R0_data),
+     135             :     .W0_addr (io_waddr[8:4]),
+     136             :     .W0_en   (~doing_reset & io_wen),
+     137             :     .W0_clk  (clock),
+     138             :     .W0_data ({16{io_wdata}}),
+     139             :     .W1_addr (resetRow),
+     140             :     .W1_en   (doing_reset),
+     141             :     .W1_clk  (clock),
+     142             :     .W1_data (16'h0)
+     143             :   );
+     144             :   assign io_rdata_0 = ~doing_reset & _GEN[raddr_0[3:0]];
+     145             : endmodule
+     146             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.func-sort-c.html new file mode 100644 index 0000000..c683b87 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4040100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.func.html new file mode 100644 index 0000000..ef87a51 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4040100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.gcov.html new file mode 100644 index 0000000..fa60106 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate.sv.gcov.html @@ -0,0 +1,300 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4040100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FoldedSRAMTemplate(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61         201 :   output        io_r_req_ready,
+      62         309 :   input         io_r_req_valid,
+      63       26388 :   input  [10:0] io_r_req_bits_setIdx,
+      64         106 :   output        io_r_resp_data_0,
+      65         119 :   output        io_r_resp_data_1,
+      66         125 :   input         io_w_req_valid,
+      67         323 :   input  [10:0] io_w_req_bits_setIdx,
+      68          53 :   input         io_w_req_bits_data_0,
+      69          65 :   input         io_w_req_bits_data_1,
+      70         114 :   input  [1:0]  io_w_req_bits_waymask,
+      71         146 :   input         extra_reset
+      72             : );
+      73             : 
+      74             :   wire       _array_io_r_resp_data_0;
+      75             :   wire       _array_io_r_resp_data_1;
+      76             :   wire       _array_io_r_resp_data_2;
+      77             :   wire       _array_io_r_resp_data_3;
+      78             :   wire       _array_io_r_resp_data_4;
+      79             :   wire       _array_io_r_resp_data_5;
+      80             :   wire       _array_io_r_resp_data_6;
+      81             :   wire       _array_io_r_resp_data_7;
+      82             :   wire       _array_io_r_resp_data_8;
+      83             :   wire       _array_io_r_resp_data_9;
+      84             :   wire       _array_io_r_resp_data_10;
+      85             :   wire       _array_io_r_resp_data_11;
+      86             :   wire       _array_io_r_resp_data_12;
+      87             :   wire       _array_io_r_resp_data_13;
+      88             :   wire       _array_io_r_resp_data_14;
+      89             :   wire       _array_io_r_resp_data_15;
+      90         485 :   reg  [2:0] ridx;
+      91         326 :   reg        holdRidx_last_r;
+      92         564 :   reg  [2:0] holdRidx_hold_data;
+      93         507 :   wire [2:0] holdRidx = holdRidx_last_r ? ridx : holdRidx_hold_data;
+      94         340 :   reg        holdRidx_last_r_1;
+      95         544 :   reg  [2:0] holdRidx_hold_data_1;
+      96         495 :   wire [2:0] holdRidx_1 = holdRidx_last_r_1 ? ridx : holdRidx_hold_data_1;
+      97             :   wire       _wmask_T_3 = io_w_req_bits_setIdx[2:0] == 3'h0;
+      98             :   wire       _wmask_T_9 = io_w_req_bits_setIdx[2:0] == 3'h1;
+      99             :   wire       _wmask_T_15 = io_w_req_bits_setIdx[2:0] == 3'h2;
+     100             :   wire       _wmask_T_21 = io_w_req_bits_setIdx[2:0] == 3'h3;
+     101             :   wire       _wmask_T_27 = io_w_req_bits_setIdx[2:0] == 3'h4;
+     102             :   wire       _wmask_T_33 = io_w_req_bits_setIdx[2:0] == 3'h5;
+     103             :   wire       _wmask_T_39 = io_w_req_bits_setIdx[2:0] == 3'h6;
+     104      510776 :   always @(posedge clock) begin
+     105       33400 :     if (io_r_req_valid)
+     106       16700 :       ridx <= io_r_req_bits_setIdx[2:0];
+     107       33224 :     if (holdRidx_last_r)
+     108       16612 :       holdRidx_hold_data <= ridx;
+     109       33232 :     if (holdRidx_last_r_1)
+     110       16616 :       holdRidx_hold_data_1 <= ridx;
+     111             :   end // always @(posedge)
+     112      510920 :   always @(posedge clock or posedge reset) begin
+     113        1088 :     if (reset) begin
+     114         544 :       holdRidx_last_r <= 1'h0;
+     115         544 :       holdRidx_last_r_1 <= 1'h0;
+     116             :     end
+     117      254916 :     else begin
+     118       33584 :       if (io_r_req_valid | holdRidx_last_r)
+     119       16792 :         holdRidx_last_r <= io_r_req_valid;
+     120       33592 :       if (io_r_req_valid | holdRidx_last_r_1)
+     121       16796 :         holdRidx_last_r_1 <= io_r_req_valid;
+     122             :     end
+     123             :   end // always @(posedge, posedge)
+     124             :   `ifdef ENABLE_INITIAL_REG_
+     125             :     `ifdef FIRRTL_BEFORE_INITIAL
+     126             :       `FIRRTL_BEFORE_INITIAL
+     127             :     `endif // FIRRTL_BEFORE_INITIAL
+     128             :     logic [31:0] _RANDOM[0:0];
+     129         232 :     initial begin
+     130             :       `ifdef INIT_RANDOM_PROLOG_
+     131             :         `INIT_RANDOM_PROLOG_
+     132             :       `endif // INIT_RANDOM_PROLOG_
+     133             :       `ifdef RANDOMIZE_REG_INIT
+     134             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     135             :         ridx = _RANDOM[/*Zero width*/ 1'b0][2:0];
+     136             :         holdRidx_last_r = _RANDOM[/*Zero width*/ 1'b0][3];
+     137             :         holdRidx_hold_data = _RANDOM[/*Zero width*/ 1'b0][6:4];
+     138             :         holdRidx_last_r_1 = _RANDOM[/*Zero width*/ 1'b0][7];
+     139             :         holdRidx_hold_data_1 = _RANDOM[/*Zero width*/ 1'b0][10:8];
+     140             :       `endif // RANDOMIZE_REG_INIT
+     141          68 :       if (reset) begin
+     142          48 :         holdRidx_last_r = 1'h0;
+     143          48 :         holdRidx_last_r_1 = 1'h0;
+     144             :       end
+     145             :     end // initial
+     146             :     `ifdef FIRRTL_AFTER_INITIAL
+     147             :       `FIRRTL_AFTER_INITIAL
+     148             :     `endif // FIRRTL_AFTER_INITIAL
+     149             :   `endif // ENABLE_INITIAL_REG_
+     150             :   SRAMTemplate_14 array (
+     151             :     .clock                 (clock),
+     152             :     .reset                 (reset),
+     153             :     .io_r_req_ready        (io_r_req_ready),
+     154             :     .io_r_req_valid        (io_r_req_valid),
+     155             :     .io_r_req_bits_setIdx  (io_r_req_bits_setIdx[10:3]),
+     156             :     .io_r_resp_data_0      (_array_io_r_resp_data_0),
+     157             :     .io_r_resp_data_1      (_array_io_r_resp_data_1),
+     158             :     .io_r_resp_data_2      (_array_io_r_resp_data_2),
+     159             :     .io_r_resp_data_3      (_array_io_r_resp_data_3),
+     160             :     .io_r_resp_data_4      (_array_io_r_resp_data_4),
+     161             :     .io_r_resp_data_5      (_array_io_r_resp_data_5),
+     162             :     .io_r_resp_data_6      (_array_io_r_resp_data_6),
+     163             :     .io_r_resp_data_7      (_array_io_r_resp_data_7),
+     164             :     .io_r_resp_data_8      (_array_io_r_resp_data_8),
+     165             :     .io_r_resp_data_9      (_array_io_r_resp_data_9),
+     166             :     .io_r_resp_data_10     (_array_io_r_resp_data_10),
+     167             :     .io_r_resp_data_11     (_array_io_r_resp_data_11),
+     168             :     .io_r_resp_data_12     (_array_io_r_resp_data_12),
+     169             :     .io_r_resp_data_13     (_array_io_r_resp_data_13),
+     170             :     .io_r_resp_data_14     (_array_io_r_resp_data_14),
+     171             :     .io_r_resp_data_15     (_array_io_r_resp_data_15),
+     172             :     .io_w_req_valid        (io_w_req_valid),
+     173             :     .io_w_req_bits_setIdx  (io_w_req_bits_setIdx[10:3]),
+     174             :     .io_w_req_bits_data_0  (io_w_req_bits_data_0),
+     175             :     .io_w_req_bits_data_1  (io_w_req_bits_data_1),
+     176             :     .io_w_req_bits_data_2  (io_w_req_bits_data_0),
+     177             :     .io_w_req_bits_data_3  (io_w_req_bits_data_1),
+     178             :     .io_w_req_bits_data_4  (io_w_req_bits_data_0),
+     179             :     .io_w_req_bits_data_5  (io_w_req_bits_data_1),
+     180             :     .io_w_req_bits_data_6  (io_w_req_bits_data_0),
+     181             :     .io_w_req_bits_data_7  (io_w_req_bits_data_1),
+     182             :     .io_w_req_bits_data_8  (io_w_req_bits_data_0),
+     183             :     .io_w_req_bits_data_9  (io_w_req_bits_data_1),
+     184             :     .io_w_req_bits_data_10 (io_w_req_bits_data_0),
+     185             :     .io_w_req_bits_data_11 (io_w_req_bits_data_1),
+     186             :     .io_w_req_bits_data_12 (io_w_req_bits_data_0),
+     187             :     .io_w_req_bits_data_13 (io_w_req_bits_data_1),
+     188             :     .io_w_req_bits_data_14 (io_w_req_bits_data_0),
+     189             :     .io_w_req_bits_data_15 (io_w_req_bits_data_1),
+     190             :     .io_w_req_bits_waymask
+     191             :       ({(&(io_w_req_bits_setIdx[2:0])) & io_w_req_bits_waymask[1],
+     192             :         (&(io_w_req_bits_setIdx[2:0])) & io_w_req_bits_waymask[0],
+     193             :         _wmask_T_39 & io_w_req_bits_waymask[1],
+     194             :         _wmask_T_39 & io_w_req_bits_waymask[0],
+     195             :         _wmask_T_33 & io_w_req_bits_waymask[1],
+     196             :         _wmask_T_33 & io_w_req_bits_waymask[0],
+     197             :         _wmask_T_27 & io_w_req_bits_waymask[1],
+     198             :         _wmask_T_27 & io_w_req_bits_waymask[0],
+     199             :         _wmask_T_21 & io_w_req_bits_waymask[1],
+     200             :         _wmask_T_21 & io_w_req_bits_waymask[0],
+     201             :         _wmask_T_15 & io_w_req_bits_waymask[1],
+     202             :         _wmask_T_15 & io_w_req_bits_waymask[0],
+     203             :         _wmask_T_9 & io_w_req_bits_waymask[1],
+     204             :         _wmask_T_9 & io_w_req_bits_waymask[0],
+     205             :         _wmask_T_3 & io_w_req_bits_waymask[1],
+     206             :         _wmask_T_3 & io_w_req_bits_waymask[0]}),
+     207             :     .extra_reset           (extra_reset)
+     208             :   );
+     209             :   assign io_r_resp_data_0 =
+     210             :     holdRidx == 3'h0 & _array_io_r_resp_data_0 | holdRidx == 3'h1
+     211             :     & _array_io_r_resp_data_2 | holdRidx == 3'h2 & _array_io_r_resp_data_4
+     212             :     | holdRidx == 3'h3 & _array_io_r_resp_data_6 | holdRidx == 3'h4
+     213             :     & _array_io_r_resp_data_8 | holdRidx == 3'h5 & _array_io_r_resp_data_10
+     214             :     | holdRidx == 3'h6 & _array_io_r_resp_data_12 | (&holdRidx)
+     215             :     & _array_io_r_resp_data_14;
+     216             :   assign io_r_resp_data_1 =
+     217             :     holdRidx_1 == 3'h0 & _array_io_r_resp_data_1 | holdRidx_1 == 3'h1
+     218             :     & _array_io_r_resp_data_3 | holdRidx_1 == 3'h2 & _array_io_r_resp_data_5
+     219             :     | holdRidx_1 == 3'h3 & _array_io_r_resp_data_7 | holdRidx_1 == 3'h4
+     220             :     & _array_io_r_resp_data_9 | holdRidx_1 == 3'h5 & _array_io_r_resp_data_11
+     221             :     | holdRidx_1 == 3'h6 & _array_io_r_resp_data_13 | (&holdRidx_1)
+     222             :     & _array_io_r_resp_data_15;
+     223             : endmodule
+     224             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.func-sort-c.html new file mode 100644 index 0000000..65d2569 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.func.html new file mode 100644 index 0000000..af8e202 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.gcov.html new file mode 100644 index 0000000..2b6114c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_1.sv.gcov.html @@ -0,0 +1,176 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_1.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FoldedSRAMTemplate_1(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61         883 :   output       io_r_req_ready,
+      62         630 :   input        io_r_req_valid,
+      63       26102 :   input  [8:0] io_r_req_bits_setIdx,
+      64         341 :   output       io_r_resp_data_0_valid,
+      65        2670 :   output [7:0] io_r_resp_data_0_tag,
+      66        1013 :   output [2:0] io_r_resp_data_0_ctr,
+      67         339 :   output       io_r_resp_data_1_valid,
+      68        2650 :   output [7:0] io_r_resp_data_1_tag,
+      69        1006 :   output [2:0] io_r_resp_data_1_ctr,
+      70         331 :   input        io_w_req_valid,
+      71         261 :   input  [8:0] io_w_req_bits_setIdx,
+      72         123 :   input  [7:0] io_w_req_bits_data_0_tag,
+      73          41 :   input  [2:0] io_w_req_bits_data_0_ctr,
+      74         123 :   input  [7:0] io_w_req_bits_data_1_tag,
+      75          45 :   input  [2:0] io_w_req_bits_data_1_ctr,
+      76          63 :   input  [1:0] io_w_req_bits_waymask
+      77             : );
+      78             : 
+      79             :   SRAMTemplate_15 array (
+      80             :     .clock                    (clock),
+      81             :     .reset                    (reset),
+      82             :     .io_r_req_ready           (io_r_req_ready),
+      83             :     .io_r_req_valid           (io_r_req_valid),
+      84             :     .io_r_req_bits_setIdx     (io_r_req_bits_setIdx),
+      85             :     .io_r_resp_data_0_valid   (io_r_resp_data_0_valid),
+      86             :     .io_r_resp_data_0_tag     (io_r_resp_data_0_tag),
+      87             :     .io_r_resp_data_0_ctr     (io_r_resp_data_0_ctr),
+      88             :     .io_r_resp_data_1_valid   (io_r_resp_data_1_valid),
+      89             :     .io_r_resp_data_1_tag     (io_r_resp_data_1_tag),
+      90             :     .io_r_resp_data_1_ctr     (io_r_resp_data_1_ctr),
+      91             :     .io_w_req_valid           (io_w_req_valid),
+      92             :     .io_w_req_bits_setIdx     (io_w_req_bits_setIdx),
+      93             :     .io_w_req_bits_data_0_tag (io_w_req_bits_data_0_tag),
+      94             :     .io_w_req_bits_data_0_ctr (io_w_req_bits_data_0_ctr),
+      95             :     .io_w_req_bits_data_1_tag (io_w_req_bits_data_1_tag),
+      96             :     .io_w_req_bits_data_1_ctr (io_w_req_bits_data_1_ctr),
+      97             :     .io_w_req_bits_waymask    (io_w_req_bits_waymask)
+      98             :   );
+      99             : endmodule
+     100             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.func-sort-c.html new file mode 100644 index 0000000..1a7c43b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_20.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_20.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3838100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.func.html new file mode 100644 index 0000000..6e13a45 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_20.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_20.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3838100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.gcov.html new file mode 100644 index 0000000..b78ab72 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_20.sv.gcov.html @@ -0,0 +1,256 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_20.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_20.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3838100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FoldedSRAMTemplate_20(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          76 :   input         io_r_req_valid,
+      62        8746 :   input  [10:0] io_r_req_bits_setIdx,
+      63          56 :   output [1:0]  io_r_resp_data_0,
+      64          59 :   output [1:0]  io_r_resp_data_1,
+      65          59 :   input         io_w_req_valid,
+      66      119038 :   input  [10:0] io_w_req_bits_setIdx,
+      67          76 :   input  [1:0]  io_w_req_bits_data_0,
+      68          64 :   input  [1:0]  io_w_req_bits_data_1,
+      69         113 :   input  [1:0]  io_w_req_bits_waymask
+      70             : );
+      71             : 
+      72             :   wire [1:0] _array_io_r_resp_data_0;
+      73             :   wire [1:0] _array_io_r_resp_data_1;
+      74             :   wire [1:0] _array_io_r_resp_data_2;
+      75             :   wire [1:0] _array_io_r_resp_data_3;
+      76             :   wire [1:0] _array_io_r_resp_data_4;
+      77             :   wire [1:0] _array_io_r_resp_data_5;
+      78             :   wire [1:0] _array_io_r_resp_data_6;
+      79             :   wire [1:0] _array_io_r_resp_data_7;
+      80          58 :   reg  [1:0] ridx;
+      81          87 :   reg        holdRidx_last_r;
+      82          60 :   reg  [1:0] holdRidx_hold_data;
+      83          55 :   wire [1:0] holdRidx = holdRidx_last_r ? ridx : holdRidx_hold_data;
+      84          78 :   reg        holdRidx_last_r_1;
+      85          60 :   reg  [1:0] holdRidx_hold_data_1;
+      86          64 :   wire [1:0] holdRidx_1 = holdRidx_last_r_1 ? ridx : holdRidx_hold_data_1;
+      87             :   wire       _wmask_T_3 = io_w_req_bits_setIdx[1:0] == 2'h0;
+      88             :   wire       _wmask_T_9 = io_w_req_bits_setIdx[1:0] == 2'h1;
+      89             :   wire       _wmask_T_15 = io_w_req_bits_setIdx[1:0] == 2'h2;
+      90      127694 :   always @(posedge clock) begin
+      91        8350 :     if (io_r_req_valid)
+      92        4175 :       ridx <= io_r_req_bits_setIdx[1:0];
+      93        8310 :     if (holdRidx_last_r)
+      94        4155 :       holdRidx_hold_data <= ridx;
+      95        8300 :     if (holdRidx_last_r_1)
+      96        4150 :       holdRidx_hold_data_1 <= ridx;
+      97             :   end // always @(posedge)
+      98      127730 :   always @(posedge clock or posedge reset) begin
+      99         272 :     if (reset) begin
+     100         136 :       holdRidx_last_r <= 1'h0;
+     101         136 :       holdRidx_last_r_1 <= 1'h0;
+     102             :     end
+     103       63729 :     else begin
+     104        8400 :       if (io_r_req_valid | holdRidx_last_r)
+     105        4200 :         holdRidx_last_r <= io_r_req_valid;
+     106        8390 :       if (io_r_req_valid | holdRidx_last_r_1)
+     107        4195 :         holdRidx_last_r_1 <= io_r_req_valid;
+     108             :     end
+     109             :   end // always @(posedge, posedge)
+     110             :   `ifdef ENABLE_INITIAL_REG_
+     111             :     `ifdef FIRRTL_BEFORE_INITIAL
+     112             :       `FIRRTL_BEFORE_INITIAL
+     113             :     `endif // FIRRTL_BEFORE_INITIAL
+     114             :     logic [31:0] _RANDOM[0:0];
+     115          58 :     initial begin
+     116             :       `ifdef INIT_RANDOM_PROLOG_
+     117             :         `INIT_RANDOM_PROLOG_
+     118             :       `endif // INIT_RANDOM_PROLOG_
+     119             :       `ifdef RANDOMIZE_REG_INIT
+     120             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     121             :         ridx = _RANDOM[/*Zero width*/ 1'b0][1:0];
+     122             :         holdRidx_last_r = _RANDOM[/*Zero width*/ 1'b0][2];
+     123             :         holdRidx_hold_data = _RANDOM[/*Zero width*/ 1'b0][4:3];
+     124             :         holdRidx_last_r_1 = _RANDOM[/*Zero width*/ 1'b0][5];
+     125             :         holdRidx_hold_data_1 = _RANDOM[/*Zero width*/ 1'b0][7:6];
+     126             :       `endif // RANDOMIZE_REG_INIT
+     127          17 :       if (reset) begin
+     128          12 :         holdRidx_last_r = 1'h0;
+     129          12 :         holdRidx_last_r_1 = 1'h0;
+     130             :       end
+     131             :     end // initial
+     132             :     `ifdef FIRRTL_AFTER_INITIAL
+     133             :       `FIRRTL_AFTER_INITIAL
+     134             :     `endif // FIRRTL_AFTER_INITIAL
+     135             :   `endif // ENABLE_INITIAL_REG_
+     136             :   SRAMTemplate_34 array (
+     137             :     .clock                 (clock),
+     138             :     .reset                 (reset),
+     139             :     .io_r_req_valid        (io_r_req_valid),
+     140             :     .io_r_req_bits_setIdx  (io_r_req_bits_setIdx[10:2]),
+     141             :     .io_r_resp_data_0      (_array_io_r_resp_data_0),
+     142             :     .io_r_resp_data_1      (_array_io_r_resp_data_1),
+     143             :     .io_r_resp_data_2      (_array_io_r_resp_data_2),
+     144             :     .io_r_resp_data_3      (_array_io_r_resp_data_3),
+     145             :     .io_r_resp_data_4      (_array_io_r_resp_data_4),
+     146             :     .io_r_resp_data_5      (_array_io_r_resp_data_5),
+     147             :     .io_r_resp_data_6      (_array_io_r_resp_data_6),
+     148             :     .io_r_resp_data_7      (_array_io_r_resp_data_7),
+     149             :     .io_w_req_valid        (io_w_req_valid),
+     150             :     .io_w_req_bits_setIdx  (io_w_req_bits_setIdx[10:2]),
+     151             :     .io_w_req_bits_data_0  (io_w_req_bits_data_0),
+     152             :     .io_w_req_bits_data_1  (io_w_req_bits_data_1),
+     153             :     .io_w_req_bits_data_2  (io_w_req_bits_data_0),
+     154             :     .io_w_req_bits_data_3  (io_w_req_bits_data_1),
+     155             :     .io_w_req_bits_data_4  (io_w_req_bits_data_0),
+     156             :     .io_w_req_bits_data_5  (io_w_req_bits_data_1),
+     157             :     .io_w_req_bits_data_6  (io_w_req_bits_data_0),
+     158             :     .io_w_req_bits_data_7  (io_w_req_bits_data_1),
+     159             :     .io_w_req_bits_waymask
+     160             :       ({(&(io_w_req_bits_setIdx[1:0])) & io_w_req_bits_waymask[1],
+     161             :         (&(io_w_req_bits_setIdx[1:0])) & io_w_req_bits_waymask[0],
+     162             :         _wmask_T_15 & io_w_req_bits_waymask[1],
+     163             :         _wmask_T_15 & io_w_req_bits_waymask[0],
+     164             :         _wmask_T_9 & io_w_req_bits_waymask[1],
+     165             :         _wmask_T_9 & io_w_req_bits_waymask[0],
+     166             :         _wmask_T_3 & io_w_req_bits_waymask[1],
+     167             :         _wmask_T_3 & io_w_req_bits_waymask[0]})
+     168             :   );
+     169             :   assign io_r_resp_data_0 =
+     170             :     (holdRidx == 2'h0 ? _array_io_r_resp_data_0 : 2'h0)
+     171             :     | (holdRidx == 2'h1 ? _array_io_r_resp_data_2 : 2'h0)
+     172             :     | (holdRidx == 2'h2 ? _array_io_r_resp_data_4 : 2'h0)
+     173             :     | ((&holdRidx) ? _array_io_r_resp_data_6 : 2'h0);
+     174             :   assign io_r_resp_data_1 =
+     175             :     (holdRidx_1 == 2'h0 ? _array_io_r_resp_data_1 : 2'h0)
+     176             :     | (holdRidx_1 == 2'h1 ? _array_io_r_resp_data_3 : 2'h0)
+     177             :     | (holdRidx_1 == 2'h2 ? _array_io_r_resp_data_5 : 2'h0)
+     178             :     | ((&holdRidx_1) ? _array_io_r_resp_data_7 : 2'h0);
+     179             : endmodule
+     180             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.func-sort-c.html new file mode 100644 index 0000000..8cbcc80 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_21.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_21.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.func.html new file mode 100644 index 0000000..7443be9 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_21.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_21.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.gcov.html new file mode 100644 index 0000000..6a7bee7 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_21.sv.gcov.html @@ -0,0 +1,166 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_21.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_21.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FoldedSRAMTemplate_21(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61         254 :   input         io_r_req_valid,
+      62       24520 :   input  [6:0]  io_r_req_bits_setIdx,
+      63         100 :   output        io_r_resp_data_0_valid,
+      64         846 :   output [8:0]  io_r_resp_data_0_tag,
+      65         197 :   output [1:0]  io_r_resp_data_0_ctr,
+      66        4028 :   output [40:0] io_r_resp_data_0_target,
+      67          80 :   input         io_w_req_valid,
+      68          91 :   input  [6:0]  io_w_req_bits_setIdx,
+      69         127 :   input  [8:0]  io_w_req_bits_data_0_tag,
+      70          36 :   input  [1:0]  io_w_req_bits_data_0_ctr,
+      71         642 :   input  [40:0] io_w_req_bits_data_0_target
+      72             : );
+      73             : 
+      74             :   SRAMTemplate_39 array (
+      75             :     .clock                       (clock),
+      76             :     .reset                       (reset),
+      77             :     .io_r_req_valid              (io_r_req_valid),
+      78             :     .io_r_req_bits_setIdx        (io_r_req_bits_setIdx),
+      79             :     .io_r_resp_data_0_valid      (io_r_resp_data_0_valid),
+      80             :     .io_r_resp_data_0_tag        (io_r_resp_data_0_tag),
+      81             :     .io_r_resp_data_0_ctr        (io_r_resp_data_0_ctr),
+      82             :     .io_r_resp_data_0_target     (io_r_resp_data_0_target),
+      83             :     .io_w_req_valid              (io_w_req_valid),
+      84             :     .io_w_req_bits_setIdx        (io_w_req_bits_setIdx),
+      85             :     .io_w_req_bits_data_0_tag    (io_w_req_bits_data_0_tag),
+      86             :     .io_w_req_bits_data_0_ctr    (io_w_req_bits_data_0_ctr),
+      87             :     .io_w_req_bits_data_0_target (io_w_req_bits_data_0_target)
+      88             :   );
+      89             : endmodule
+      90             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.func-sort-c.html new file mode 100644 index 0000000..a1e5a50 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_25.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_25.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3030100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.func.html new file mode 100644 index 0000000..59f3b84 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_25.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_25.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3030100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.gcov.html new file mode 100644 index 0000000..65e4193 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/FoldedSRAMTemplate_25.sv.gcov.html @@ -0,0 +1,231 @@ + + + + + + + LCOV - merged.info - BPUTop/FoldedSRAMTemplate_25.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - FoldedSRAMTemplate_25.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3030100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module FoldedSRAMTemplate_25(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61         394 :   input         io_r_req_valid,
+      62        8520 :   input  [7:0]  io_r_req_bits_setIdx,
+      63         145 :   output        io_r_resp_data_0_valid,
+      64        1367 :   output [8:0]  io_r_resp_data_0_tag,
+      65         287 :   output [1:0]  io_r_resp_data_0_ctr,
+      66        6132 :   output [40:0] io_r_resp_data_0_target,
+      67         129 :   input         io_w_req_valid,
+      68         109 :   input  [7:0]  io_w_req_bits_setIdx,
+      69         122 :   input  [8:0]  io_w_req_bits_data_0_tag,
+      70          33 :   input  [1:0]  io_w_req_bits_data_0_ctr,
+      71         607 :   input  [40:0] io_w_req_bits_data_0_target
+      72             : );
+      73             : 
+      74             :   wire        _array_io_r_resp_data_0_valid;
+      75             :   wire [8:0]  _array_io_r_resp_data_0_tag;
+      76             :   wire [1:0]  _array_io_r_resp_data_0_ctr;
+      77             :   wire [40:0] _array_io_r_resp_data_0_target;
+      78             :   wire        _array_io_r_resp_data_1_valid;
+      79             :   wire [8:0]  _array_io_r_resp_data_1_tag;
+      80             :   wire [1:0]  _array_io_r_resp_data_1_ctr;
+      81             :   wire [40:0] _array_io_r_resp_data_1_target;
+      82         163 :   reg         ridx;
+      83         448 :   reg         holdRidx_last_r;
+      84         215 :   reg         holdRidx_hold_data;
+      85         179 :   wire        holdRidx = holdRidx_last_r ? ridx : holdRidx_hold_data;
+      86      766164 :   always @(posedge clock) begin
+      87       25050 :     if (io_r_req_valid)
+      88       12525 :       ridx <= io_r_req_bits_setIdx[0];
+      89       24990 :     if (holdRidx_last_r)
+      90       12495 :       holdRidx_hold_data <= ridx;
+      91             :   end // always @(posedge)
+      92      766380 :   always @(posedge clock or posedge reset) begin
+      93        1632 :     if (reset)
+      94         816 :       holdRidx_last_r <= 1'h0;
+      95       25380 :     else if (io_r_req_valid | holdRidx_last_r)
+      96       12690 :       holdRidx_last_r <= io_r_req_valid;
+      97             :   end // always @(posedge, posedge)
+      98             :   `ifdef ENABLE_INITIAL_REG_
+      99             :     `ifdef FIRRTL_BEFORE_INITIAL
+     100             :       `FIRRTL_BEFORE_INITIAL
+     101             :     `endif // FIRRTL_BEFORE_INITIAL
+     102             :     logic [31:0] _RANDOM[0:0];
+     103         348 :     initial begin
+     104             :       `ifdef INIT_RANDOM_PROLOG_
+     105             :         `INIT_RANDOM_PROLOG_
+     106             :       `endif // INIT_RANDOM_PROLOG_
+     107             :       `ifdef RANDOMIZE_REG_INIT
+     108             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     109             :         ridx = _RANDOM[/*Zero width*/ 1'b0][0];
+     110             :         holdRidx_last_r = _RANDOM[/*Zero width*/ 1'b0][1];
+     111             :         holdRidx_hold_data = _RANDOM[/*Zero width*/ 1'b0][2];
+     112             :       `endif // RANDOMIZE_REG_INIT
+     113         102 :       if (reset)
+     114          72 :         holdRidx_last_r = 1'h0;
+     115             :     end // initial
+     116             :     `ifdef FIRRTL_AFTER_INITIAL
+     117             :       `FIRRTL_AFTER_INITIAL
+     118             :     `endif // FIRRTL_AFTER_INITIAL
+     119             :   `endif // ENABLE_INITIAL_REG_
+     120             :   SRAMTemplate_43 array (
+     121             :     .clock                       (clock),
+     122             :     .reset                       (reset),
+     123             :     .io_r_req_valid              (io_r_req_valid),
+     124             :     .io_r_req_bits_setIdx        (io_r_req_bits_setIdx[7:1]),
+     125             :     .io_r_resp_data_0_valid      (_array_io_r_resp_data_0_valid),
+     126             :     .io_r_resp_data_0_tag        (_array_io_r_resp_data_0_tag),
+     127             :     .io_r_resp_data_0_ctr        (_array_io_r_resp_data_0_ctr),
+     128             :     .io_r_resp_data_0_target     (_array_io_r_resp_data_0_target),
+     129             :     .io_r_resp_data_1_valid      (_array_io_r_resp_data_1_valid),
+     130             :     .io_r_resp_data_1_tag        (_array_io_r_resp_data_1_tag),
+     131             :     .io_r_resp_data_1_ctr        (_array_io_r_resp_data_1_ctr),
+     132             :     .io_r_resp_data_1_target     (_array_io_r_resp_data_1_target),
+     133             :     .io_w_req_valid              (io_w_req_valid),
+     134             :     .io_w_req_bits_setIdx        (io_w_req_bits_setIdx[7:1]),
+     135             :     .io_w_req_bits_data_0_tag    (io_w_req_bits_data_0_tag),
+     136             :     .io_w_req_bits_data_0_ctr    (io_w_req_bits_data_0_ctr),
+     137             :     .io_w_req_bits_data_0_target (io_w_req_bits_data_0_target),
+     138             :     .io_w_req_bits_data_1_tag    (io_w_req_bits_data_0_tag),
+     139             :     .io_w_req_bits_data_1_ctr    (io_w_req_bits_data_0_ctr),
+     140             :     .io_w_req_bits_data_1_target (io_w_req_bits_data_0_target),
+     141             :     .io_w_req_bits_waymask       (2'h1 << io_w_req_bits_setIdx[0])
+     142             :   );
+     143             :   assign io_r_resp_data_0_valid =
+     144             :     ~holdRidx & _array_io_r_resp_data_0_valid | holdRidx & _array_io_r_resp_data_1_valid;
+     145             :   assign io_r_resp_data_0_tag =
+     146             :     (holdRidx ? 9'h0 : _array_io_r_resp_data_0_tag)
+     147             :     | (holdRidx ? _array_io_r_resp_data_1_tag : 9'h0);
+     148             :   assign io_r_resp_data_0_ctr =
+     149             :     (holdRidx ? 2'h0 : _array_io_r_resp_data_0_ctr)
+     150             :     | (holdRidx ? _array_io_r_resp_data_1_ctr : 2'h0);
+     151             :   assign io_r_resp_data_0_target =
+     152             :     (holdRidx ? 41'h0 : _array_io_r_resp_data_0_target)
+     153             :     | (holdRidx ? _array_io_r_resp_data_1_target : 41'h0);
+     154             : endmodule
+     155             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.func-sort-c.html new file mode 100644 index 0000000..14d1233 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTage.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTage.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:49557885.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.func.html new file mode 100644 index 0000000..877c0c9 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTage.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTage.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:49557885.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.gcov.html new file mode 100644 index 0000000..78ef1e1 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTage.sv.gcov.html @@ -0,0 +1,1345 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTage.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTage.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:49557885.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module ITTage(
+      59      127786 :   input          clock,
+      60          62 :   input          reset,
+      61       35066 :   input  [40:0]  io_in_bits_s0_pc_3,
+      62         564 :   input  [7:0]   io_in_bits_folded_hist_3_hist_14_folded_hist,
+      63         989 :   input  [8:0]   io_in_bits_folded_hist_3_hist_13_folded_hist,
+      64         474 :   input  [3:0]   io_in_bits_folded_hist_3_hist_12_folded_hist,
+      65         998 :   input  [8:0]   io_in_bits_folded_hist_3_hist_10_folded_hist,
+      66         987 :   input  [8:0]   io_in_bits_folded_hist_3_hist_6_folded_hist,
+      67         602 :   input  [7:0]   io_in_bits_folded_hist_3_hist_4_folded_hist,
+      68         517 :   input  [7:0]   io_in_bits_folded_hist_3_hist_3_folded_hist,
+      69         811 :   input  [7:0]   io_in_bits_folded_hist_3_hist_2_folded_hist,
+      70          79 :   input          io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0,
+      71          69 :   input          io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1,
+      72          31 :   input          io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_0,
+      73          30 :   input          io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_1,
+      74        1189 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_targets_0,
+      75        1202 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_targets_1,
+      76        1202 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_jalr_target,
+      77         116 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_0_offsets_0,
+      78         107 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_0_offsets_1,
+      79        9456 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_fallThroughAddr,
+      80          31 :   input          io_in_bits_resp_in_0_s2_full_pred_0_is_br_sharing,
+      81          18 :   input          io_in_bits_resp_in_0_s2_full_pred_0_hit,
+      82          59 :   input          io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0,
+      83          71 :   input          io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1,
+      84          24 :   input          io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_0,
+      85          24 :   input          io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_1,
+      86        1278 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_targets_0,
+      87        1114 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_targets_1,
+      88        1114 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_jalr_target,
+      89         108 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_1_offsets_0,
+      90         119 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_1_offsets_1,
+      91        9458 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_fallThroughAddr,
+      92          17 :   input          io_in_bits_resp_in_0_s2_full_pred_1_is_br_sharing,
+      93          25 :   input          io_in_bits_resp_in_0_s2_full_pred_1_hit,
+      94          72 :   input          io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0,
+      95          58 :   input          io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1,
+      96          31 :   input          io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_0,
+      97          28 :   input          io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1,
+      98        1211 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_targets_0,
+      99        1198 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_targets_1,
+     100        1198 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_jalr_target,
+     101         114 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_2_offsets_0,
+     102         120 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_2_offsets_1,
+     103        9409 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_fallThroughAddr,
+     104          23 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_jalr,
+     105          21 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_call,
+     106          26 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_ret,
+     107          25 :   input          io_in_bits_resp_in_0_s2_full_pred_2_last_may_be_rvi_call,
+     108          23 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing,
+     109          29 :   input          io_in_bits_resp_in_0_s2_full_pred_2_hit,
+     110          79 :   input          io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0,
+     111          76 :   input          io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1,
+     112          27 :   input          io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_0,
+     113          30 :   input          io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_1,
+     114        1252 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_targets_0,
+     115        1179 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_targets_1,
+     116        1179 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_jalr_target,
+     117         119 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_3_offsets_0,
+     118         105 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_3_offsets_1,
+     119        9476 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_fallThroughAddr,
+     120          33 :   input          io_in_bits_resp_in_0_s2_full_pred_3_fallThroughErr,
+     121          22 :   input          io_in_bits_resp_in_0_s2_full_pred_3_is_br_sharing,
+     122          18 :   input          io_in_bits_resp_in_0_s2_full_pred_3_hit,
+     123          82 :   input          io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0,
+     124          70 :   input          io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1,
+     125          30 :   input          io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_0,
+     126          33 :   input          io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_1,
+     127        1241 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_targets_0,
+     128        1247 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_targets_1,
+     129        1247 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_jalr_target,
+     130        9423 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_fallThroughAddr,
+     131          39 :   input          io_in_bits_resp_in_0_s3_full_pred_0_fallThroughErr,
+     132          26 :   input          io_in_bits_resp_in_0_s3_full_pred_0_is_br_sharing,
+     133          24 :   input          io_in_bits_resp_in_0_s3_full_pred_0_hit,
+     134          80 :   input          io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0,
+     135          75 :   input          io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1,
+     136          30 :   input          io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_0,
+     137          39 :   input          io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_1,
+     138        1262 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_targets_0,
+     139        1289 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_targets_1,
+     140        1289 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_jalr_target,
+     141        9377 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_fallThroughAddr,
+     142          35 :   input          io_in_bits_resp_in_0_s3_full_pred_1_fallThroughErr,
+     143          24 :   input          io_in_bits_resp_in_0_s3_full_pred_1_is_br_sharing,
+     144          33 :   input          io_in_bits_resp_in_0_s3_full_pred_1_hit,
+     145          86 :   input          io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0,
+     146          70 :   input          io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1,
+     147          26 :   input          io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_0,
+     148          30 :   input          io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1,
+     149        1286 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_targets_0,
+     150        1331 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_targets_1,
+     151        1331 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_jalr_target,
+     152        9424 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_fallThroughAddr,
+     153          35 :   input          io_in_bits_resp_in_0_s3_full_pred_2_fallThroughErr,
+     154          23 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_jalr,
+     155          23 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_call,
+     156          24 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_ret,
+     157          21 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing,
+     158          29 :   input          io_in_bits_resp_in_0_s3_full_pred_2_hit,
+     159          75 :   input          io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0,
+     160          76 :   input          io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1,
+     161          41 :   input          io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_0,
+     162          42 :   input          io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_1,
+     163        1231 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_targets_0,
+     164        1247 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_targets_1,
+     165        1247 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_jalr_target,
+     166         128 :   input  [3:0]   io_in_bits_resp_in_0_s3_full_pred_3_offsets_0,
+     167         137 :   input  [3:0]   io_in_bits_resp_in_0_s3_full_pred_3_offsets_1,
+     168        9391 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_fallThroughAddr,
+     169          41 :   input          io_in_bits_resp_in_0_s3_full_pred_3_fallThroughErr,
+     170          30 :   input          io_in_bits_resp_in_0_s3_full_pred_3_is_br_sharing,
+     171          27 :   input          io_in_bits_resp_in_0_s3_full_pred_3_hit,
+     172          29 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_valid,
+     173         126 :   input  [3:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_offset,
+     174         409 :   input  [11:0]  io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_lower,
+     175          65 :   input  [1:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_tarStat,
+     176          32 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_sharing,
+     177          30 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_valid,
+     178         116 :   input  [3:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_offset,
+     179         705 :   input  [19:0]  io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_lower,
+     180          65 :   input  [1:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_tarStat,
+     181          37 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_sharing,
+     182          33 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_valid,
+     183         142 :   input  [3:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_pftAddr,
+     184          35 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_carry,
+     185          34 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_isCall,
+     186          33 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_isRet,
+     187          36 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_isJalr,
+     188          31 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_last_may_be_rvi_call,
+     189          27 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_0,
+     190          33 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_1,
+     191          79 :   output         io_out_s2_full_pred_0_br_taken_mask_0,
+     192          69 :   output         io_out_s2_full_pred_0_br_taken_mask_1,
+     193          31 :   output         io_out_s2_full_pred_0_slot_valids_0,
+     194          30 :   output         io_out_s2_full_pred_0_slot_valids_1,
+     195        1189 :   output [40:0]  io_out_s2_full_pred_0_targets_0,
+     196        1202 :   output [40:0]  io_out_s2_full_pred_0_targets_1,
+     197        1202 :   output [40:0]  io_out_s2_full_pred_0_jalr_target,
+     198         116 :   output [3:0]   io_out_s2_full_pred_0_offsets_0,
+     199         107 :   output [3:0]   io_out_s2_full_pred_0_offsets_1,
+     200        9456 :   output [40:0]  io_out_s2_full_pred_0_fallThroughAddr,
+     201          31 :   output         io_out_s2_full_pred_0_is_br_sharing,
+     202          18 :   output         io_out_s2_full_pred_0_hit,
+     203          59 :   output         io_out_s2_full_pred_1_br_taken_mask_0,
+     204          71 :   output         io_out_s2_full_pred_1_br_taken_mask_1,
+     205          24 :   output         io_out_s2_full_pred_1_slot_valids_0,
+     206          24 :   output         io_out_s2_full_pred_1_slot_valids_1,
+     207        1278 :   output [40:0]  io_out_s2_full_pred_1_targets_0,
+     208        1114 :   output [40:0]  io_out_s2_full_pred_1_targets_1,
+     209        1114 :   output [40:0]  io_out_s2_full_pred_1_jalr_target,
+     210         108 :   output [3:0]   io_out_s2_full_pred_1_offsets_0,
+     211         119 :   output [3:0]   io_out_s2_full_pred_1_offsets_1,
+     212        9458 :   output [40:0]  io_out_s2_full_pred_1_fallThroughAddr,
+     213          17 :   output         io_out_s2_full_pred_1_is_br_sharing,
+     214          25 :   output         io_out_s2_full_pred_1_hit,
+     215          72 :   output         io_out_s2_full_pred_2_br_taken_mask_0,
+     216          58 :   output         io_out_s2_full_pred_2_br_taken_mask_1,
+     217          31 :   output         io_out_s2_full_pred_2_slot_valids_0,
+     218          28 :   output         io_out_s2_full_pred_2_slot_valids_1,
+     219        1211 :   output [40:0]  io_out_s2_full_pred_2_targets_0,
+     220        1198 :   output [40:0]  io_out_s2_full_pred_2_targets_1,
+     221        1198 :   output [40:0]  io_out_s2_full_pred_2_jalr_target,
+     222         114 :   output [3:0]   io_out_s2_full_pred_2_offsets_0,
+     223         120 :   output [3:0]   io_out_s2_full_pred_2_offsets_1,
+     224        9409 :   output [40:0]  io_out_s2_full_pred_2_fallThroughAddr,
+     225          23 :   output         io_out_s2_full_pred_2_is_jalr,
+     226          21 :   output         io_out_s2_full_pred_2_is_call,
+     227          26 :   output         io_out_s2_full_pred_2_is_ret,
+     228          25 :   output         io_out_s2_full_pred_2_last_may_be_rvi_call,
+     229          23 :   output         io_out_s2_full_pred_2_is_br_sharing,
+     230          29 :   output         io_out_s2_full_pred_2_hit,
+     231          79 :   output         io_out_s2_full_pred_3_br_taken_mask_0,
+     232          76 :   output         io_out_s2_full_pred_3_br_taken_mask_1,
+     233          27 :   output         io_out_s2_full_pred_3_slot_valids_0,
+     234          30 :   output         io_out_s2_full_pred_3_slot_valids_1,
+     235        1252 :   output [40:0]  io_out_s2_full_pred_3_targets_0,
+     236        1179 :   output [40:0]  io_out_s2_full_pred_3_targets_1,
+     237        1179 :   output [40:0]  io_out_s2_full_pred_3_jalr_target,
+     238         119 :   output [3:0]   io_out_s2_full_pred_3_offsets_0,
+     239         105 :   output [3:0]   io_out_s2_full_pred_3_offsets_1,
+     240        9476 :   output [40:0]  io_out_s2_full_pred_3_fallThroughAddr,
+     241          33 :   output         io_out_s2_full_pred_3_fallThroughErr,
+     242          22 :   output         io_out_s2_full_pred_3_is_br_sharing,
+     243          18 :   output         io_out_s2_full_pred_3_hit,
+     244          82 :   output         io_out_s3_full_pred_0_br_taken_mask_0,
+     245          70 :   output         io_out_s3_full_pred_0_br_taken_mask_1,
+     246          30 :   output         io_out_s3_full_pred_0_slot_valids_0,
+     247          33 :   output         io_out_s3_full_pred_0_slot_valids_1,
+     248        1241 :   output [40:0]  io_out_s3_full_pred_0_targets_0,
+     249        1247 :   output [40:0]  io_out_s3_full_pred_0_targets_1,
+     250        1318 :   output [40:0]  io_out_s3_full_pred_0_jalr_target,
+     251        9423 :   output [40:0]  io_out_s3_full_pred_0_fallThroughAddr,
+     252          39 :   output         io_out_s3_full_pred_0_fallThroughErr,
+     253          26 :   output         io_out_s3_full_pred_0_is_br_sharing,
+     254          24 :   output         io_out_s3_full_pred_0_hit,
+     255          80 :   output         io_out_s3_full_pred_1_br_taken_mask_0,
+     256          75 :   output         io_out_s3_full_pred_1_br_taken_mask_1,
+     257          30 :   output         io_out_s3_full_pred_1_slot_valids_0,
+     258          39 :   output         io_out_s3_full_pred_1_slot_valids_1,
+     259        1262 :   output [40:0]  io_out_s3_full_pred_1_targets_0,
+     260        1289 :   output [40:0]  io_out_s3_full_pred_1_targets_1,
+     261        1313 :   output [40:0]  io_out_s3_full_pred_1_jalr_target,
+     262        9377 :   output [40:0]  io_out_s3_full_pred_1_fallThroughAddr,
+     263          35 :   output         io_out_s3_full_pred_1_fallThroughErr,
+     264          24 :   output         io_out_s3_full_pred_1_is_br_sharing,
+     265          33 :   output         io_out_s3_full_pred_1_hit,
+     266          86 :   output         io_out_s3_full_pred_2_br_taken_mask_0,
+     267          70 :   output         io_out_s3_full_pred_2_br_taken_mask_1,
+     268          26 :   output         io_out_s3_full_pred_2_slot_valids_0,
+     269          30 :   output         io_out_s3_full_pred_2_slot_valids_1,
+     270        1286 :   output [40:0]  io_out_s3_full_pred_2_targets_0,
+     271        1331 :   output [40:0]  io_out_s3_full_pred_2_targets_1,
+     272        1351 :   output [40:0]  io_out_s3_full_pred_2_jalr_target,
+     273        9424 :   output [40:0]  io_out_s3_full_pred_2_fallThroughAddr,
+     274          35 :   output         io_out_s3_full_pred_2_fallThroughErr,
+     275          23 :   output         io_out_s3_full_pred_2_is_jalr,
+     276          23 :   output         io_out_s3_full_pred_2_is_call,
+     277          24 :   output         io_out_s3_full_pred_2_is_ret,
+     278          21 :   output         io_out_s3_full_pred_2_is_br_sharing,
+     279          29 :   output         io_out_s3_full_pred_2_hit,
+     280          75 :   output         io_out_s3_full_pred_3_br_taken_mask_0,
+     281          76 :   output         io_out_s3_full_pred_3_br_taken_mask_1,
+     282          41 :   output         io_out_s3_full_pred_3_slot_valids_0,
+     283          42 :   output         io_out_s3_full_pred_3_slot_valids_1,
+     284        1231 :   output [40:0]  io_out_s3_full_pred_3_targets_0,
+     285        1247 :   output [40:0]  io_out_s3_full_pred_3_targets_1,
+     286        1327 :   output [40:0]  io_out_s3_full_pred_3_jalr_target,
+     287         128 :   output [3:0]   io_out_s3_full_pred_3_offsets_0,
+     288         137 :   output [3:0]   io_out_s3_full_pred_3_offsets_1,
+     289        9391 :   output [40:0]  io_out_s3_full_pred_3_fallThroughAddr,
+     290          41 :   output         io_out_s3_full_pred_3_fallThroughErr,
+     291          30 :   output         io_out_s3_full_pred_3_is_br_sharing,
+     292          27 :   output         io_out_s3_full_pred_3_hit,
+     293        9084 :   output [222:0] io_out_last_stage_meta,
+     294          29 :   output         io_out_last_stage_ftb_entry_valid,
+     295         126 :   output [3:0]   io_out_last_stage_ftb_entry_brSlots_0_offset,
+     296         409 :   output [11:0]  io_out_last_stage_ftb_entry_brSlots_0_lower,
+     297          65 :   output [1:0]   io_out_last_stage_ftb_entry_brSlots_0_tarStat,
+     298          32 :   output         io_out_last_stage_ftb_entry_brSlots_0_sharing,
+     299          30 :   output         io_out_last_stage_ftb_entry_brSlots_0_valid,
+     300         116 :   output [3:0]   io_out_last_stage_ftb_entry_tailSlot_offset,
+     301         705 :   output [19:0]  io_out_last_stage_ftb_entry_tailSlot_lower,
+     302          65 :   output [1:0]   io_out_last_stage_ftb_entry_tailSlot_tarStat,
+     303          37 :   output         io_out_last_stage_ftb_entry_tailSlot_sharing,
+     304          33 :   output         io_out_last_stage_ftb_entry_tailSlot_valid,
+     305         142 :   output [3:0]   io_out_last_stage_ftb_entry_pftAddr,
+     306          35 :   output         io_out_last_stage_ftb_entry_carry,
+     307          34 :   output         io_out_last_stage_ftb_entry_isCall,
+     308          33 :   output         io_out_last_stage_ftb_entry_isRet,
+     309          36 :   output         io_out_last_stage_ftb_entry_isJalr,
+     310          31 :   output         io_out_last_stage_ftb_entry_last_may_be_rvi_call,
+     311          27 :   output         io_out_last_stage_ftb_entry_always_taken_0,
+     312          33 :   output         io_out_last_stage_ftb_entry_always_taken_1,
+     313          73 :   input          io_s0_fire_3,
+     314         133 :   input          io_s1_fire_3,
+     315         127 :   input          io_s2_fire_0,
+     316         127 :   input          io_s2_fire_1,
+     317         127 :   input          io_s2_fire_2,
+     318         127 :   input          io_s2_fire_3,
+     319         105 :   input          io_update_valid,
+     320        1143 :   input  [40:0]  io_update_bits_pc,
+     321         243 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_14_folded_hist,
+     322         250 :   input  [8:0]   io_update_bits_spec_info_folded_hist_hist_13_folded_hist,
+     323         108 :   input  [3:0]   io_update_bits_spec_info_folded_hist_hist_12_folded_hist,
+     324         282 :   input  [8:0]   io_update_bits_spec_info_folded_hist_hist_10_folded_hist,
+     325         285 :   input  [8:0]   io_update_bits_spec_info_folded_hist_hist_6_folded_hist,
+     326         219 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_4_folded_hist,
+     327         233 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_3_folded_hist,
+     328         231 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_2_folded_hist,
+     329         137 :   input  [3:0]   io_update_bits_ftb_entry_tailSlot_offset,
+     330          33 :   input          io_update_bits_ftb_entry_tailSlot_sharing,
+     331          28 :   input          io_update_bits_ftb_entry_tailSlot_valid,
+     332          36 :   input          io_update_bits_ftb_entry_isRet,
+     333          37 :   input          io_update_bits_ftb_entry_isJalr,
+     334          22 :   input          io_update_bits_cfi_idx_valid,
+     335         155 :   input  [3:0]   io_update_bits_cfi_idx_bits,
+     336          40 :   input          io_update_bits_jmp_taken,
+     337          42 :   input          io_update_bits_mispred_mask_2,
+     338        5927 :   input  [222:0] io_update_bits_meta,
+     339        1185 :   input  [40:0]  io_update_bits_full_target
+     340             : );
+     341             : 
+     342        4013 :   reg  [2:0]  resp_meta_allocate_bits_r;
+     343          40 :   reg         resp_meta_allocate_valid_r;
+     344          30 :   wire        resp_meta_altDiffers;
+     345             :   wire        _tables_4_io_resp_valid;
+     346             :   wire [1:0]  _tables_4_io_resp_bits_ctr;
+     347             :   wire [1:0]  _tables_4_io_resp_bits_u;
+     348             :   wire [40:0] _tables_4_io_resp_bits_target;
+     349             :   wire        _tables_3_io_resp_valid;
+     350             :   wire [1:0]  _tables_3_io_resp_bits_ctr;
+     351             :   wire [1:0]  _tables_3_io_resp_bits_u;
+     352             :   wire [40:0] _tables_3_io_resp_bits_target;
+     353             :   wire        _tables_2_io_resp_valid;
+     354             :   wire [1:0]  _tables_2_io_resp_bits_ctr;
+     355             :   wire [1:0]  _tables_2_io_resp_bits_u;
+     356             :   wire [40:0] _tables_2_io_resp_bits_target;
+     357             :   wire        _tables_1_io_resp_valid;
+     358             :   wire [1:0]  _tables_1_io_resp_bits_ctr;
+     359             :   wire [1:0]  _tables_1_io_resp_bits_u;
+     360             :   wire [40:0] _tables_1_io_resp_bits_target;
+     361             :   wire        _tables_0_io_resp_valid;
+     362             :   wire [1:0]  _tables_0_io_resp_bits_ctr;
+     363             :   wire [1:0]  _tables_0_io_resp_bits_u;
+     364             :   wire [40:0] _tables_0_io_resp_bits_target;
+     365         181 :   reg  [7:0]  tickCtr;
+     366          26 :   reg         s2_resps_0_valid;
+     367          66 :   reg  [1:0]  s2_resps_0_bits_ctr;
+     368         209 :   reg  [1:0]  s2_resps_0_bits_u;
+     369        1291 :   reg  [40:0] s2_resps_0_bits_target;
+     370          33 :   reg         s2_resps_1_valid;
+     371          57 :   reg  [1:0]  s2_resps_1_bits_ctr;
+     372         165 :   reg  [1:0]  s2_resps_1_bits_u;
+     373        1334 :   reg  [40:0] s2_resps_1_bits_target;
+     374          32 :   reg         s2_resps_2_valid;
+     375          71 :   reg  [1:0]  s2_resps_2_bits_ctr;
+     376         145 :   reg  [1:0]  s2_resps_2_bits_u;
+     377        1328 :   reg  [40:0] s2_resps_2_bits_target;
+     378          25 :   reg         s2_resps_3_valid;
+     379          52 :   reg  [1:0]  s2_resps_3_bits_ctr;
+     380         117 :   reg  [1:0]  s2_resps_3_bits_u;
+     381        1348 :   reg  [40:0] s2_resps_3_bits_target;
+     382          30 :   reg         s2_resps_4_valid;
+     383          62 :   reg  [1:0]  s2_resps_4_bits_ctr;
+     384          82 :   reg  [1:0]  s2_resps_4_bits_u;
+     385        1223 :   reg  [40:0] s2_resps_4_bits_target;
+     386          30 :   reg         s3_tageTaken_dup_0;
+     387          27 :   reg         s3_tageTaken_dup_1;
+     388          22 :   reg         s3_tageTaken_dup_2;
+     389          26 :   reg         s3_tageTaken_dup_3;
+     390        1353 :   reg  [40:0] s3_tageTarget_dup_0;
+     391        1401 :   reg  [40:0] s3_tageTarget_dup_1;
+     392        1337 :   reg  [40:0] s3_tageTarget_dup_2;
+     393        1319 :   reg  [40:0] s3_tageTarget_dup_3;
+     394        1340 :   reg  [40:0] s3_providerTarget;
+     395        1333 :   reg  [40:0] s3_altProviderTarget;
+     396          44 :   reg         s3_provided;
+     397          99 :   reg  [2:0]  s3_provider;
+     398          38 :   reg         s3_altProvided;
+     399          95 :   reg  [2:0]  s3_altProvider;
+     400          31 :   reg         s3_finalAltPred;
+     401         167 :   reg         s3_providerU;
+     402          62 :   reg  [1:0]  s3_providerCtr;
+     403          64 :   reg  [1:0]  s3_altProviderCtr;
+     404          12 :   wire        updateValid =
+     405             :     io_update_bits_ftb_entry_tailSlot_valid & io_update_bits_ftb_entry_isJalr
+     406             :     & ~(io_update_bits_ftb_entry_tailSlot_valid & io_update_bits_ftb_entry_isRet)
+     407             :     & io_update_valid & ~io_update_bits_ftb_entry_tailSlot_sharing
+     408             :     & io_update_bits_jmp_taken & io_update_bits_cfi_idx_valid
+     409             :     & io_update_bits_cfi_idx_bits == io_update_bits_ftb_entry_tailSlot_offset;
+     410             :   assign resp_meta_altDiffers = s3_finalAltPred != s3_tageTaken_dup_3;
+     411     2001245 :   reg  [63:0] s2_allocLFSR_lfsr;
+     412             :   wire        _GEN =
+     413             :     updateValid & io_update_bits_mispred_mask_2
+     414             :     & ~(io_update_bits_meta[100]
+     415             :         & io_update_bits_meta[81:41] == io_update_bits_full_target
+     416             :         & io_update_bits_meta[90:89] == 2'h0);
+     417          25 :   reg         tables_0_io_update_valid_REG;
+     418          28 :   reg         tables_0_io_update_reset_u_REG;
+     419          15 :   reg         tables_0_io_update_correct_r;
+     420         585 :   reg  [40:0] tables_0_io_update_target_r;
+     421         611 :   reg  [40:0] tables_0_io_update_old_target_r;
+     422          13 :   reg         tables_0_io_update_alloc_r;
+     423          27 :   reg  [1:0]  tables_0_io_update_oldCtr_r;
+     424          12 :   reg         tables_0_io_update_uValid_r;
+     425          16 :   reg         tables_0_io_update_u_r;
+     426         594 :   reg  [40:0] tables_0_io_update_pc_r;
+     427          54 :   reg  [3:0]  tables_0_io_update_folded_hist_r_hist_12_folded_hist;
+     428          27 :   reg         tables_1_io_update_valid_REG;
+     429          33 :   reg         tables_1_io_update_reset_u_REG;
+     430          13 :   reg         tables_1_io_update_correct_r;
+     431         588 :   reg  [40:0] tables_1_io_update_target_r;
+     432         587 :   reg  [40:0] tables_1_io_update_old_target_r;
+     433          13 :   reg         tables_1_io_update_alloc_r;
+     434          33 :   reg  [1:0]  tables_1_io_update_oldCtr_r;
+     435          11 :   reg         tables_1_io_update_uValid_r;
+     436          14 :   reg         tables_1_io_update_u_r;
+     437         577 :   reg  [40:0] tables_1_io_update_pc_r;
+     438         108 :   reg  [7:0]  tables_1_io_update_folded_hist_r_hist_14_folded_hist;
+     439          27 :   reg         tables_2_io_update_valid_REG;
+     440          32 :   reg         tables_2_io_update_reset_u_REG;
+     441          13 :   reg         tables_2_io_update_correct_r;
+     442         620 :   reg  [40:0] tables_2_io_update_target_r;
+     443         577 :   reg  [40:0] tables_2_io_update_old_target_r;
+     444          16 :   reg         tables_2_io_update_alloc_r;
+     445          24 :   reg  [1:0]  tables_2_io_update_oldCtr_r;
+     446          17 :   reg         tables_2_io_update_uValid_r;
+     447          13 :   reg         tables_2_io_update_u_r;
+     448         598 :   reg  [40:0] tables_2_io_update_pc_r;
+     449         111 :   reg  [8:0]  tables_2_io_update_folded_hist_r_hist_13_folded_hist;
+     450         106 :   reg  [7:0]  tables_2_io_update_folded_hist_r_hist_4_folded_hist;
+     451          26 :   reg         tables_3_io_update_valid_REG;
+     452          29 :   reg         tables_3_io_update_reset_u_REG;
+     453          16 :   reg         tables_3_io_update_correct_r;
+     454         602 :   reg  [40:0] tables_3_io_update_target_r;
+     455         599 :   reg  [40:0] tables_3_io_update_old_target_r;
+     456          17 :   reg         tables_3_io_update_alloc_r;
+     457          28 :   reg  [1:0]  tables_3_io_update_oldCtr_r;
+     458          15 :   reg         tables_3_io_update_uValid_r;
+     459          13 :   reg         tables_3_io_update_u_r;
+     460         591 :   reg  [40:0] tables_3_io_update_pc_r;
+     461         128 :   reg  [8:0]  tables_3_io_update_folded_hist_r_hist_6_folded_hist;
+     462         111 :   reg  [7:0]  tables_3_io_update_folded_hist_r_hist_2_folded_hist;
+     463          24 :   reg         tables_4_io_update_valid_REG;
+     464          27 :   reg         tables_4_io_update_reset_u_REG;
+     465          11 :   reg         tables_4_io_update_correct_r;
+     466         628 :   reg  [40:0] tables_4_io_update_target_r;
+     467         594 :   reg  [40:0] tables_4_io_update_old_target_r;
+     468          16 :   reg         tables_4_io_update_alloc_r;
+     469          28 :   reg  [1:0]  tables_4_io_update_oldCtr_r;
+     470          17 :   reg         tables_4_io_update_uValid_r;
+     471          20 :   reg         tables_4_io_update_u_r;
+     472         595 :   reg  [40:0] tables_4_io_update_pc_r;
+     473         127 :   reg  [8:0]  tables_4_io_update_folded_hist_r_hist_10_folded_hist;
+     474         113 :   reg  [7:0]  tables_4_io_update_folded_hist_r_hist_3_folded_hist;
+     475      127730 :   always @(posedge clock or posedge reset) begin
+     476         272 :     if (reset) begin
+     477         136 :       tickCtr <= 8'h0;
+     478         136 :       s2_allocLFSR_lfsr <= 64'h1234567887654321;
+     479             :     end
+     480       63729 :     else begin
+     481           0 :       if (&tickCtr)
+     482           0 :         tickCtr <= 8'h0;
+     483           0 :       else if (_GEN) begin
+     484           0 :         if ((&tickCtr) & ~(io_update_bits_meta[86]))
+     485           0 :           tickCtr <= 8'hFF;
+     486           0 :         else if (tickCtr == 8'h0 & io_update_bits_meta[86])
+     487           0 :           tickCtr <= 8'h0;
+     488           0 :         else if (io_update_bits_meta[86])
+     489           0 :           tickCtr <= 8'(tickCtr - 8'h1);
+     490             :         else
+     491           0 :           tickCtr <= 8'(tickCtr + 8'h1);
+     492             :       end
+     493           0 :       if (s2_allocLFSR_lfsr == 64'h0)
+     494           0 :         s2_allocLFSR_lfsr <= 64'h1;
+     495             :       else
+     496       63729 :         s2_allocLFSR_lfsr <=
+     497       63729 :           {s2_allocLFSR_lfsr[0] ^ s2_allocLFSR_lfsr[1] ^ s2_allocLFSR_lfsr[3]
+     498       63729 :              ^ s2_allocLFSR_lfsr[4],
+     499       63729 :            s2_allocLFSR_lfsr[63:1]};
+     500             :     end
+     501             :   end // always @(posedge, posedge)
+     502          56 :   wire [2:0]  selectedInfo_res_1_first_tableIdx = {2'h0, s2_resps_1_valid};
+     503          73 :   wire [2:0]  selectedInfo_res_2_first_tableIdx =
+     504             :     s2_resps_2_valid ? 3'h2 : selectedInfo_res_1_first_tableIdx;
+     505          31 :   wire        selectedInfo_res_hasOne = s2_resps_4_valid | s2_resps_3_valid;
+     506          23 :   wire        selectedInfo_res_hasTwo = s2_resps_4_valid & s2_resps_3_valid;
+     507          41 :   wire        selectedInfo_res_1_hasOne = s2_resps_1_valid | s2_resps_0_valid;
+     508          55 :   wire [1:0]  selectedInfo_res_1_first_ctr =
+     509             :     s2_resps_1_valid ? s2_resps_1_bits_ctr : s2_resps_0_bits_ctr;
+     510        1270 :   wire [40:0] selectedInfo_res_1_first_target =
+     511             :     s2_resps_1_valid ? s2_resps_1_bits_target : s2_resps_0_bits_target;
+     512          38 :   wire        selectedInfo_res_2_hasOne = s2_resps_2_valid | selectedInfo_res_1_hasOne;
+     513          62 :   wire [1:0]  selectedInfo_res_2_first_ctr =
+     514             :     s2_resps_2_valid ? s2_resps_2_bits_ctr : selectedInfo_res_1_first_ctr;
+     515        1279 :   wire [40:0] selectedInfo_res_2_first_target =
+     516             :     s2_resps_2_valid ? s2_resps_2_bits_target : selectedInfo_res_1_first_target;
+     517          41 :   wire        selectedInfo_hasOne = selectedInfo_res_hasOne | selectedInfo_res_2_hasOne;
+     518          41 :   wire        selectedInfo_hasTwo =
+     519             :     selectedInfo_res_hasTwo | s2_resps_1_valid & s2_resps_0_valid | s2_resps_2_valid
+     520             :     & selectedInfo_res_1_hasOne | selectedInfo_res_hasOne & selectedInfo_res_2_hasOne;
+     521          46 :   wire [1:0]  selectedInfo_first_ctr =
+     522             :     selectedInfo_res_hasOne
+     523             :       ? (s2_resps_4_valid ? s2_resps_4_bits_ctr : s2_resps_3_bits_ctr)
+     524             :       : selectedInfo_res_2_first_ctr;
+     525        1284 :   wire [40:0] selectedInfo_first_target =
+     526             :     selectedInfo_res_hasOne
+     527             :       ? (s2_resps_4_valid ? s2_resps_4_bits_target : s2_resps_3_bits_target)
+     528             :       : selectedInfo_res_2_first_target;
+     529             :   wire        _selectedInfo_T_91 = selectedInfo_res_hasOne & ~selectedInfo_res_hasTwo;
+     530        1300 :   wire [40:0] selectedInfo_second_target =
+     531             :     (selectedInfo_res_hasOne
+     532             :        ? 41'h0
+     533             :        : (s2_resps_2_valid
+     534             :             ? 41'h0
+     535             :             : (s2_resps_1_valid ? 41'h0 : s2_resps_0_bits_target)
+     536             :               | (s2_resps_1_valid ? s2_resps_0_bits_target : 41'h0))
+     537             :          | (s2_resps_2_valid ? selectedInfo_res_1_first_target : 41'h0))
+     538             :     | (_selectedInfo_T_91 ? selectedInfo_res_2_first_target : 41'h0)
+     539             :     | (selectedInfo_res_hasTwo
+     540             :          ? (s2_resps_4_valid ? 41'h0 : s2_resps_3_bits_target)
+     541             :            | (s2_resps_4_valid ? s2_resps_3_bits_target : 41'h0)
+     542             :          : 41'h0);
+     543          36 :   wire        providerNull = selectedInfo_first_ctr == 2'h0;
+     544             :   wire        _s2_tageTarget_T = providerNull & selectedInfo_hasTwo;
+     545             :   wire        _s2_tageTarget_T_3 = selectedInfo_hasTwo & providerNull;
+     546             :   wire        _s2_tageTaken_T_9 =
+     547             :     selectedInfo_hasOne & ~_s2_tageTarget_T | _s2_tageTarget_T_3 | ~selectedInfo_hasOne;
+     548             :   wire [40:0] _s2_tageTarget_T_12 =
+     549             :     (selectedInfo_hasOne & ~_s2_tageTarget_T ? selectedInfo_first_target : 41'h0)
+     550             :     | (_s2_tageTarget_T_3 ? selectedInfo_second_target : 41'h0)
+     551             :     | (~selectedInfo_hasOne | providerNull & ~selectedInfo_hasTwo
+     552             :          ? io_in_bits_resp_in_0_s2_full_pred_3_jalr_target
+     553             :          : 41'h0);
+     554             :   wire        _GEN_0 =
+     555             :     io_update_bits_meta[96] & io_update_bits_meta[90:89] == 2'h0
+     556             :     & io_update_bits_mispred_mask_2;
+     557             :   wire        _GEN_1 = io_update_bits_meta[99:97] == 3'h0;
+     558             :   wire        _GEN_2 = updateValid & io_update_bits_meta[100];
+     559             :   wire        _GEN_3 = io_update_bits_meta[99:97] == 3'h1;
+     560             :   wire        _GEN_4 = io_update_bits_meta[99:97] == 3'h2;
+     561             :   wire        _GEN_5 = io_update_bits_meta[99:97] == 3'h3;
+     562             :   wire        _GEN_6 = io_update_bits_meta[99:97] == 3'h4;
+     563             :   wire        _updateU_T_2 =
+     564             :     io_update_bits_meta[92] ? ~io_update_bits_mispred_mask_2 : io_update_bits_meta[91];
+     565             :   wire        _updateCorrect_T = io_update_bits_meta[81:41] == io_update_bits_full_target;
+     566             :   wire        _GEN_7 = io_update_bits_meta[85:83] == 3'h0;
+     567             :   wire        _GEN_8 = _GEN & io_update_bits_meta[86] & _GEN_7;
+     568          12 :   wire        updateMask_0 =
+     569             :     _GEN_8 | _GEN_2 & (_GEN_1 | _GEN_0 & io_update_bits_meta[95:93] == 3'h0);
+     570             :   wire        _GEN_9 = io_update_bits_meta[85:83] == 3'h1;
+     571             :   wire        _GEN_10 = _GEN & io_update_bits_meta[86] & _GEN_9;
+     572          16 :   wire        updateMask_1 =
+     573             :     _GEN_10 | _GEN_2 & (_GEN_3 | _GEN_0 & io_update_bits_meta[95:93] == 3'h1);
+     574             :   wire        _GEN_11 = io_update_bits_meta[85:83] == 3'h2;
+     575             :   wire        _GEN_12 = _GEN & io_update_bits_meta[86] & _GEN_11;
+     576          17 :   wire        updateMask_2 =
+     577             :     _GEN_12 | _GEN_2 & (_GEN_4 | _GEN_0 & io_update_bits_meta[95:93] == 3'h2);
+     578             :   wire        _GEN_13 = io_update_bits_meta[85:83] == 3'h3;
+     579             :   wire        _GEN_14 = _GEN & io_update_bits_meta[86] & _GEN_13;
+     580          12 :   wire        updateMask_3 =
+     581             :     _GEN_14 | _GEN_2 & (_GEN_5 | _GEN_0 & io_update_bits_meta[95:93] == 3'h3);
+     582             :   wire        _GEN_15 = io_update_bits_meta[85:83] == 3'h4;
+     583             :   wire        _GEN_16 = _GEN & io_update_bits_meta[86] & _GEN_15;
+     584          15 :   wire        updateMask_4 =
+     585             :     _GEN_16 | _GEN_2 & (_GEN_6 | _GEN_0 & io_update_bits_meta[95:93] == 3'h4);
+     586             :   wire        _GEN_17 = _GEN & io_update_bits_meta[86];
+     587          78 :   wire [2:0]  selectedInfo_first_tableIdx =
+     588             :     selectedInfo_res_hasOne
+     589             :       ? (s2_resps_4_valid ? 3'h4 : 3'h3)
+     590             :       : selectedInfo_res_2_first_tableIdx;
+     591          54 :   wire [1:0]  selectedInfo_second_ctr =
+     592             :     (selectedInfo_res_hasOne
+     593             :        ? 2'h0
+     594             :        : (s2_resps_2_valid
+     595             :             ? 2'h0
+     596             :             : (s2_resps_1_valid ? 2'h0 : s2_resps_0_bits_ctr)
+     597             :               | (s2_resps_1_valid ? s2_resps_0_bits_ctr : 2'h0))
+     598             :          | (s2_resps_2_valid ? selectedInfo_res_1_first_ctr : 2'h0))
+     599             :     | (_selectedInfo_T_91 ? selectedInfo_res_2_first_ctr : 2'h0)
+     600             :     | (selectedInfo_res_hasTwo
+     601             :          ? (s2_resps_4_valid ? 2'h0 : s2_resps_3_bits_ctr)
+     602             :            | (s2_resps_4_valid ? s2_resps_3_bits_ctr : 2'h0)
+     603             :          : 2'h0);
+     604             :   wire [7:0]  _s2_allocatableSlots_T_17 = 8'h1 << selectedInfo_first_tableIdx;
+     605             :   wire [4:0]  _GEN_18 = _s2_allocatableSlots_T_17[4:0] | _s2_allocatableSlots_T_17[5:1];
+     606             :   wire [4:0]  _GEN_19 =
+     607             :     ~({_GEN_18[4] | selectedInfo_first_tableIdx == 3'h6 | (&selectedInfo_first_tableIdx),
+     608             :        _GEN_18[3:0] | _s2_allocatableSlots_T_17[5:2] | _s2_allocatableSlots_T_17[6:3]
+     609             :          | _s2_allocatableSlots_T_17[7:4]} & {5{selectedInfo_hasOne}})
+     610             :     & {~s2_resps_4_valid & s2_resps_4_bits_u == 2'h0,
+     611             :        ~s2_resps_3_valid & s2_resps_3_bits_u == 2'h0,
+     612             :        ~s2_resps_2_valid & s2_resps_2_bits_u == 2'h0,
+     613             :        ~s2_resps_1_valid & s2_resps_1_bits_u == 2'h0,
+     614             :        ~s2_resps_0_valid & s2_resps_0_bits_u == 2'h0};
+     615             :   wire [4:0]  _GEN_20 = _GEN_19 & s2_allocLFSR_lfsr[4:0];
+     616       24259 :   wire [2:0]  s2_maskedEntry =
+     617             :     _GEN_20[0]
+     618             :       ? 3'h0
+     619             :       : _GEN_20[1]
+     620             :           ? 3'h1
+     621             :           : _GEN_20[2] ? 3'h2 : _GEN_20[3] ? 3'h3 : _GEN_20[4] ? 3'h4 : 3'h7;
+     622             :   wire [7:0]  _s2_allocEntry_T = {3'h0, _GEN_19} >> s2_maskedEntry;
+     623      127694 :   always @(posedge clock) begin
+     624        8252 :     if (io_s1_fire_3) begin
+     625        4126 :       s2_resps_0_valid <= _tables_0_io_resp_valid;
+     626        4126 :       s2_resps_0_bits_ctr <= _tables_0_io_resp_bits_ctr;
+     627        4126 :       s2_resps_0_bits_u <= _tables_0_io_resp_bits_u;
+     628        4126 :       s2_resps_0_bits_target <= _tables_0_io_resp_bits_target;
+     629        4126 :       s2_resps_1_valid <= _tables_1_io_resp_valid;
+     630        4126 :       s2_resps_1_bits_ctr <= _tables_1_io_resp_bits_ctr;
+     631        4126 :       s2_resps_1_bits_u <= _tables_1_io_resp_bits_u;
+     632        4126 :       s2_resps_1_bits_target <= _tables_1_io_resp_bits_target;
+     633        4126 :       s2_resps_2_valid <= _tables_2_io_resp_valid;
+     634        4126 :       s2_resps_2_bits_ctr <= _tables_2_io_resp_bits_ctr;
+     635        4126 :       s2_resps_2_bits_u <= _tables_2_io_resp_bits_u;
+     636        4126 :       s2_resps_2_bits_target <= _tables_2_io_resp_bits_target;
+     637        4126 :       s2_resps_3_valid <= _tables_3_io_resp_valid;
+     638        4126 :       s2_resps_3_bits_ctr <= _tables_3_io_resp_bits_ctr;
+     639        4126 :       s2_resps_3_bits_u <= _tables_3_io_resp_bits_u;
+     640        4126 :       s2_resps_3_bits_target <= _tables_3_io_resp_bits_target;
+     641        4126 :       s2_resps_4_valid <= _tables_4_io_resp_valid;
+     642        4126 :       s2_resps_4_bits_ctr <= _tables_4_io_resp_bits_ctr;
+     643        4126 :       s2_resps_4_bits_u <= _tables_4_io_resp_bits_u;
+     644        4126 :       s2_resps_4_bits_target <= _tables_4_io_resp_bits_target;
+     645             :     end
+     646        8150 :     if (io_s2_fire_0) begin
+     647        4075 :       s3_tageTaken_dup_0 <= _s2_tageTaken_T_9;
+     648        4075 :       s3_tageTarget_dup_0 <= _s2_tageTarget_T_12;
+     649             :     end
+     650        8150 :     if (io_s2_fire_1) begin
+     651        4075 :       s3_tageTaken_dup_1 <= _s2_tageTaken_T_9;
+     652        4075 :       s3_tageTarget_dup_1 <= _s2_tageTarget_T_12;
+     653             :     end
+     654        8150 :     if (io_s2_fire_2) begin
+     655        4075 :       s3_tageTaken_dup_2 <= _s2_tageTaken_T_9;
+     656        4075 :       s3_tageTarget_dup_2 <= _s2_tageTarget_T_12;
+     657             :     end
+     658        8150 :     if (io_s2_fire_3) begin
+     659        4075 :       s3_tageTaken_dup_3 <= _s2_tageTaken_T_9;
+     660        4075 :       s3_tageTarget_dup_3 <= _s2_tageTarget_T_12;
+     661        4075 :       s3_providerTarget <= selectedInfo_first_target;
+     662        4075 :       s3_altProviderTarget <= selectedInfo_second_target;
+     663        4075 :       s3_provided <= selectedInfo_hasOne;
+     664        4075 :       s3_provider <= selectedInfo_first_tableIdx;
+     665        4075 :       s3_altProvided <= selectedInfo_hasTwo;
+     666        4075 :       s3_altProvider <=
+     667        4075 :         (selectedInfo_res_hasOne | ~s2_resps_2_valid
+     668        4075 :            ? 3'h0
+     669        4075 :            : selectedInfo_res_1_first_tableIdx)
+     670        4075 :         | (_selectedInfo_T_91 ? selectedInfo_res_2_first_tableIdx : 3'h0)
+     671        4075 :         | (selectedInfo_res_hasTwo
+     672        4075 :              ? (s2_resps_4_valid ? 3'h0 : 3'h3) | (s2_resps_4_valid ? 3'h3 : 3'h0)
+     673        4075 :              : 3'h0);
+     674        4075 :       s3_finalAltPred <= ~selectedInfo_hasTwo | selectedInfo_second_ctr[1];
+     675        4075 :       s3_providerU <=
+     676        4075 :         selectedInfo_res_hasOne
+     677        4075 :           ? (s2_resps_4_valid ? s2_resps_4_bits_u[0] : s2_resps_3_bits_u[0])
+     678        4075 :           : s2_resps_2_valid
+     679        4075 :               ? s2_resps_2_bits_u[0]
+     680        4075 :               : s2_resps_1_valid ? s2_resps_1_bits_u[0] : s2_resps_0_bits_u[0];
+     681        4075 :       s3_providerCtr <= selectedInfo_first_ctr;
+     682        4075 :       s3_altProviderCtr <= selectedInfo_second_ctr;
+     683        4075 :       resp_meta_allocate_valid_r <= |_GEN_19;
+     684        4075 :       resp_meta_allocate_bits_r <=
+     685        4075 :         _s2_allocEntry_T[0]
+     686        4075 :           ? s2_maskedEntry
+     687        4075 :           : _GEN_19[0]
+     688        4075 :               ? 3'h0
+     689        4075 :               : _GEN_19[1]
+     690        4075 :                   ? 3'h1
+     691        4075 :                   : _GEN_19[2] ? 3'h2 : _GEN_19[3] ? 3'h3 : _GEN_19[4] ? 3'h4 : 3'h7;
+     692             :     end
+     693       63847 :     tables_0_io_update_valid_REG <= updateMask_0;
+     694       63847 :     tables_0_io_update_reset_u_REG <= &tickCtr;
+     695           0 :     if (updateMask_0) begin
+     696           0 :       tables_0_io_update_correct_r <= _GEN_8 | _GEN_1 & _updateCorrect_T;
+     697           0 :       tables_0_io_update_target_r <= io_update_bits_full_target;
+     698           0 :       tables_0_io_update_old_target_r <=
+     699           0 :         _GEN_1 ? io_update_bits_meta[81:41] : io_update_bits_meta[40:0];
+     700           0 :       tables_0_io_update_alloc_r <= _GEN_17 & _GEN_7;
+     701           0 :       tables_0_io_update_oldCtr_r <=
+     702           0 :         _GEN_1 ? io_update_bits_meta[90:89] : io_update_bits_meta[88:87];
+     703           0 :       tables_0_io_update_uValid_r <= _GEN_8 | _GEN_2 & _GEN_1;
+     704           0 :       tables_0_io_update_u_r <= ~_GEN_8 & _updateU_T_2;
+     705           0 :       tables_0_io_update_pc_r <= io_update_bits_pc;
+     706           0 :       tables_0_io_update_folded_hist_r_hist_12_folded_hist <=
+     707           0 :         io_update_bits_spec_info_folded_hist_hist_12_folded_hist;
+     708             :     end
+     709       63847 :     tables_1_io_update_valid_REG <= updateMask_1;
+     710       63847 :     tables_1_io_update_reset_u_REG <= &tickCtr;
+     711           0 :     if (updateMask_1) begin
+     712           0 :       tables_1_io_update_correct_r <= _GEN_10 | _GEN_3 & _updateCorrect_T;
+     713           0 :       tables_1_io_update_target_r <= io_update_bits_full_target;
+     714           0 :       tables_1_io_update_old_target_r <=
+     715           0 :         _GEN_3 ? io_update_bits_meta[81:41] : io_update_bits_meta[40:0];
+     716           0 :       tables_1_io_update_alloc_r <= _GEN_17 & _GEN_9;
+     717           0 :       tables_1_io_update_oldCtr_r <=
+     718           0 :         _GEN_3 ? io_update_bits_meta[90:89] : io_update_bits_meta[88:87];
+     719           0 :       tables_1_io_update_uValid_r <= _GEN_10 | _GEN_2 & _GEN_3;
+     720           0 :       tables_1_io_update_u_r <= ~_GEN_10 & _updateU_T_2;
+     721           0 :       tables_1_io_update_pc_r <= io_update_bits_pc;
+     722           0 :       tables_1_io_update_folded_hist_r_hist_14_folded_hist <=
+     723           0 :         io_update_bits_spec_info_folded_hist_hist_14_folded_hist;
+     724             :     end
+     725       63847 :     tables_2_io_update_valid_REG <= updateMask_2;
+     726       63847 :     tables_2_io_update_reset_u_REG <= &tickCtr;
+     727           0 :     if (updateMask_2) begin
+     728           0 :       tables_2_io_update_correct_r <= _GEN_12 | _GEN_4 & _updateCorrect_T;
+     729           0 :       tables_2_io_update_target_r <= io_update_bits_full_target;
+     730           0 :       tables_2_io_update_old_target_r <=
+     731           0 :         _GEN_4 ? io_update_bits_meta[81:41] : io_update_bits_meta[40:0];
+     732           0 :       tables_2_io_update_alloc_r <= _GEN_17 & _GEN_11;
+     733           0 :       tables_2_io_update_oldCtr_r <=
+     734           0 :         _GEN_4 ? io_update_bits_meta[90:89] : io_update_bits_meta[88:87];
+     735           0 :       tables_2_io_update_uValid_r <= _GEN_12 | _GEN_2 & _GEN_4;
+     736           0 :       tables_2_io_update_u_r <= ~_GEN_12 & _updateU_T_2;
+     737           0 :       tables_2_io_update_pc_r <= io_update_bits_pc;
+     738           0 :       tables_2_io_update_folded_hist_r_hist_13_folded_hist <=
+     739           0 :         io_update_bits_spec_info_folded_hist_hist_13_folded_hist;
+     740           0 :       tables_2_io_update_folded_hist_r_hist_4_folded_hist <=
+     741           0 :         io_update_bits_spec_info_folded_hist_hist_4_folded_hist;
+     742             :     end
+     743       63847 :     tables_3_io_update_valid_REG <= updateMask_3;
+     744       63847 :     tables_3_io_update_reset_u_REG <= &tickCtr;
+     745           0 :     if (updateMask_3) begin
+     746           0 :       tables_3_io_update_correct_r <= _GEN_14 | _GEN_5 & _updateCorrect_T;
+     747           0 :       tables_3_io_update_target_r <= io_update_bits_full_target;
+     748           0 :       tables_3_io_update_old_target_r <=
+     749           0 :         _GEN_5 ? io_update_bits_meta[81:41] : io_update_bits_meta[40:0];
+     750           0 :       tables_3_io_update_alloc_r <= _GEN_17 & _GEN_13;
+     751           0 :       tables_3_io_update_oldCtr_r <=
+     752           0 :         _GEN_5 ? io_update_bits_meta[90:89] : io_update_bits_meta[88:87];
+     753           0 :       tables_3_io_update_uValid_r <= _GEN_14 | _GEN_2 & _GEN_5;
+     754           0 :       tables_3_io_update_u_r <= ~_GEN_14 & _updateU_T_2;
+     755           0 :       tables_3_io_update_pc_r <= io_update_bits_pc;
+     756           0 :       tables_3_io_update_folded_hist_r_hist_6_folded_hist <=
+     757           0 :         io_update_bits_spec_info_folded_hist_hist_6_folded_hist;
+     758           0 :       tables_3_io_update_folded_hist_r_hist_2_folded_hist <=
+     759           0 :         io_update_bits_spec_info_folded_hist_hist_2_folded_hist;
+     760             :     end
+     761       63847 :     tables_4_io_update_valid_REG <= updateMask_4;
+     762       63847 :     tables_4_io_update_reset_u_REG <= &tickCtr;
+     763           0 :     if (updateMask_4) begin
+     764           0 :       tables_4_io_update_correct_r <= _GEN_16 | _GEN_6 & _updateCorrect_T;
+     765           0 :       tables_4_io_update_target_r <= io_update_bits_full_target;
+     766           0 :       tables_4_io_update_old_target_r <=
+     767           0 :         _GEN_6 ? io_update_bits_meta[81:41] : io_update_bits_meta[40:0];
+     768           0 :       tables_4_io_update_alloc_r <= _GEN_17 & _GEN_15;
+     769           0 :       tables_4_io_update_oldCtr_r <=
+     770           0 :         _GEN_6 ? io_update_bits_meta[90:89] : io_update_bits_meta[88:87];
+     771           0 :       tables_4_io_update_uValid_r <= _GEN_16 | _GEN_2 & _GEN_6;
+     772           0 :       tables_4_io_update_u_r <= ~_GEN_16 & _updateU_T_2;
+     773           0 :       tables_4_io_update_pc_r <= io_update_bits_pc;
+     774           0 :       tables_4_io_update_folded_hist_r_hist_10_folded_hist <=
+     775           0 :         io_update_bits_spec_info_folded_hist_hist_10_folded_hist;
+     776           0 :       tables_4_io_update_folded_hist_r_hist_3_folded_hist <=
+     777           0 :         io_update_bits_spec_info_folded_hist_hist_3_folded_hist;
+     778             :     end
+     779             :   end // always @(posedge)
+     780             :   `ifdef ENABLE_INITIAL_REG_
+     781             :     `ifdef FIRRTL_BEFORE_INITIAL
+     782             :       `FIRRTL_BEFORE_INITIAL
+     783             :     `endif // FIRRTL_BEFORE_INITIAL
+     784             :     logic [31:0] _RANDOM[0:79];
+     785          58 :     initial begin
+     786             :       `ifdef INIT_RANDOM_PROLOG_
+     787             :         `INIT_RANDOM_PROLOG_
+     788             :       `endif // INIT_RANDOM_PROLOG_
+     789             :       `ifdef RANDOMIZE_REG_INIT
+     790             :         for (logic [6:0] i = 7'h0; i < 7'h50; i += 7'h1) begin
+     791             :           _RANDOM[i] = `RANDOM;
+     792             :         end
+     793             :         tickCtr = _RANDOM[7'hF][25:18];
+     794             :         s2_resps_0_valid = _RANDOM[7'hF][26];
+     795             :         s2_resps_0_bits_ctr = _RANDOM[7'hF][28:27];
+     796             :         s2_resps_0_bits_u = _RANDOM[7'hF][30:29];
+     797             :         s2_resps_0_bits_target = {_RANDOM[7'hF][31], _RANDOM[7'h10], _RANDOM[7'h11][7:0]};
+     798             :         s2_resps_1_valid = _RANDOM[7'h11][8];
+     799             :         s2_resps_1_bits_ctr = _RANDOM[7'h11][10:9];
+     800             :         s2_resps_1_bits_u = _RANDOM[7'h11][12:11];
+     801             :         s2_resps_1_bits_target = {_RANDOM[7'h11][31:13], _RANDOM[7'h12][21:0]};
+     802             :         s2_resps_2_valid = _RANDOM[7'h12][22];
+     803             :         s2_resps_2_bits_ctr = _RANDOM[7'h12][24:23];
+     804             :         s2_resps_2_bits_u = _RANDOM[7'h12][26:25];
+     805             :         s2_resps_2_bits_target =
+     806             :           {_RANDOM[7'h12][31:27], _RANDOM[7'h13], _RANDOM[7'h14][3:0]};
+     807             :         s2_resps_3_valid = _RANDOM[7'h14][4];
+     808             :         s2_resps_3_bits_ctr = _RANDOM[7'h14][6:5];
+     809             :         s2_resps_3_bits_u = _RANDOM[7'h14][8:7];
+     810             :         s2_resps_3_bits_target = {_RANDOM[7'h14][31:9], _RANDOM[7'h15][17:0]};
+     811             :         s2_resps_4_valid = _RANDOM[7'h15][18];
+     812             :         s2_resps_4_bits_ctr = _RANDOM[7'h15][20:19];
+     813             :         s2_resps_4_bits_u = _RANDOM[7'h15][22:21];
+     814             :         s2_resps_4_bits_target = {_RANDOM[7'h15][31:23], _RANDOM[7'h16]};
+     815             :         s3_tageTaken_dup_0 = _RANDOM[7'h1A][27];
+     816             :         s3_tageTaken_dup_1 = _RANDOM[7'h1A][28];
+     817             :         s3_tageTaken_dup_2 = _RANDOM[7'h1A][29];
+     818             :         s3_tageTaken_dup_3 = _RANDOM[7'h1A][30];
+     819             :         s3_tageTarget_dup_0 = {_RANDOM[7'h1A][31], _RANDOM[7'h1B], _RANDOM[7'h1C][7:0]};
+     820             :         s3_tageTarget_dup_1 = {_RANDOM[7'h1C][31:8], _RANDOM[7'h1D][16:0]};
+     821             :         s3_tageTarget_dup_2 = {_RANDOM[7'h1D][31:17], _RANDOM[7'h1E][25:0]};
+     822             :         s3_tageTarget_dup_3 =
+     823             :           {_RANDOM[7'h1E][31:26], _RANDOM[7'h1F], _RANDOM[7'h20][2:0]};
+     824             :         s3_providerTarget = {_RANDOM[7'h20][31:3], _RANDOM[7'h21][11:0]};
+     825             :         s3_altProviderTarget = {_RANDOM[7'h21][31:12], _RANDOM[7'h22][20:0]};
+     826             :         s3_provided = _RANDOM[7'h22][21];
+     827             :         s3_provider = _RANDOM[7'h22][24:22];
+     828             :         s3_altProvided = _RANDOM[7'h22][25];
+     829             :         s3_altProvider = _RANDOM[7'h22][28:26];
+     830             :         s3_finalAltPred = _RANDOM[7'h22][29];
+     831             :         s3_providerU = _RANDOM[7'h22][30];
+     832             :         s3_providerCtr = {_RANDOM[7'h22][31], _RANDOM[7'h23][0]};
+     833             :         s3_altProviderCtr = _RANDOM[7'h23][2:1];
+     834             :         s2_allocLFSR_lfsr = {_RANDOM[7'h23][31:3], _RANDOM[7'h24], _RANDOM[7'h25][2:0]};
+     835             :         resp_meta_allocate_valid_r = _RANDOM[7'h25][3];
+     836             :         resp_meta_allocate_bits_r = _RANDOM[7'h25][6:4];
+     837             :         tables_0_io_update_valid_REG = _RANDOM[7'h25][7];
+     838             :         tables_0_io_update_reset_u_REG = _RANDOM[7'h25][8];
+     839             :         tables_0_io_update_correct_r = _RANDOM[7'h25][9];
+     840             :         tables_0_io_update_target_r = {_RANDOM[7'h25][31:10], _RANDOM[7'h26][18:0]};
+     841             :         tables_0_io_update_old_target_r = {_RANDOM[7'h26][31:19], _RANDOM[7'h27][27:0]};
+     842             :         tables_0_io_update_alloc_r = _RANDOM[7'h27][28];
+     843             :         tables_0_io_update_oldCtr_r = _RANDOM[7'h27][30:29];
+     844             :         tables_0_io_update_uValid_r = _RANDOM[7'h27][31];
+     845             :         tables_0_io_update_u_r = _RANDOM[7'h28][0];
+     846             :         tables_0_io_update_pc_r = {_RANDOM[7'h28][31:1], _RANDOM[7'h29][9:0]};
+     847             :         tables_0_io_update_folded_hist_r_hist_12_folded_hist = _RANDOM[7'h2A][27:24];
+     848             :         tables_1_io_update_valid_REG = _RANDOM[7'h2D][30];
+     849             :         tables_1_io_update_reset_u_REG = _RANDOM[7'h2D][31];
+     850             :         tables_1_io_update_correct_r = _RANDOM[7'h2E][0];
+     851             :         tables_1_io_update_target_r = {_RANDOM[7'h2E][31:1], _RANDOM[7'h2F][9:0]};
+     852             :         tables_1_io_update_old_target_r = {_RANDOM[7'h2F][31:10], _RANDOM[7'h30][18:0]};
+     853             :         tables_1_io_update_alloc_r = _RANDOM[7'h30][19];
+     854             :         tables_1_io_update_oldCtr_r = _RANDOM[7'h30][21:20];
+     855             :         tables_1_io_update_uValid_r = _RANDOM[7'h30][22];
+     856             :         tables_1_io_update_u_r = _RANDOM[7'h30][23];
+     857             :         tables_1_io_update_pc_r =
+     858             :           {_RANDOM[7'h30][31:24], _RANDOM[7'h31], _RANDOM[7'h32][0]};
+     859             :         tables_1_io_update_folded_hist_r_hist_14_folded_hist =
+     860             :           {_RANDOM[7'h32][31:30], _RANDOM[7'h33][5:0]};
+     861             :         tables_2_io_update_valid_REG = _RANDOM[7'h36][21];
+     862             :         tables_2_io_update_reset_u_REG = _RANDOM[7'h36][22];
+     863             :         tables_2_io_update_correct_r = _RANDOM[7'h36][23];
+     864             :         tables_2_io_update_target_r =
+     865             :           {_RANDOM[7'h36][31:24], _RANDOM[7'h37], _RANDOM[7'h38][0]};
+     866             :         tables_2_io_update_old_target_r = {_RANDOM[7'h38][31:1], _RANDOM[7'h39][9:0]};
+     867             :         tables_2_io_update_alloc_r = _RANDOM[7'h39][10];
+     868             :         tables_2_io_update_oldCtr_r = _RANDOM[7'h39][12:11];
+     869             :         tables_2_io_update_uValid_r = _RANDOM[7'h39][13];
+     870             :         tables_2_io_update_u_r = _RANDOM[7'h39][14];
+     871             :         tables_2_io_update_pc_r = {_RANDOM[7'h39][31:15], _RANDOM[7'h3A][23:0]};
+     872             :         tables_2_io_update_folded_hist_r_hist_13_folded_hist =
+     873             :           {_RANDOM[7'h3B][31:29], _RANDOM[7'h3C][5:0]};
+     874             :         tables_2_io_update_folded_hist_r_hist_4_folded_hist = _RANDOM[7'h3E][8:1];
+     875             :         tables_3_io_update_valid_REG = _RANDOM[7'h3F][12];
+     876             :         tables_3_io_update_reset_u_REG = _RANDOM[7'h3F][13];
+     877             :         tables_3_io_update_correct_r = _RANDOM[7'h3F][14];
+     878             :         tables_3_io_update_target_r = {_RANDOM[7'h3F][31:15], _RANDOM[7'h40][23:0]};
+     879             :         tables_3_io_update_old_target_r =
+     880             :           {_RANDOM[7'h40][31:24], _RANDOM[7'h41], _RANDOM[7'h42][0]};
+     881             :         tables_3_io_update_alloc_r = _RANDOM[7'h42][1];
+     882             :         tables_3_io_update_oldCtr_r = _RANDOM[7'h42][3:2];
+     883             :         tables_3_io_update_uValid_r = _RANDOM[7'h42][4];
+     884             :         tables_3_io_update_u_r = _RANDOM[7'h42][5];
+     885             :         tables_3_io_update_pc_r = {_RANDOM[7'h42][31:6], _RANDOM[7'h43][14:0]};
+     886             :         tables_3_io_update_folded_hist_r_hist_6_folded_hist = _RANDOM[7'h46][16:8];
+     887             :         tables_3_io_update_folded_hist_r_hist_2_folded_hist = _RANDOM[7'h47][15:8];
+     888             :         tables_4_io_update_valid_REG = _RANDOM[7'h48][3];
+     889             :         tables_4_io_update_reset_u_REG = _RANDOM[7'h48][4];
+     890             :         tables_4_io_update_correct_r = _RANDOM[7'h48][5];
+     891             :         tables_4_io_update_target_r = {_RANDOM[7'h48][31:6], _RANDOM[7'h49][14:0]};
+     892             :         tables_4_io_update_old_target_r = {_RANDOM[7'h49][31:15], _RANDOM[7'h4A][23:0]};
+     893             :         tables_4_io_update_alloc_r = _RANDOM[7'h4A][24];
+     894             :         tables_4_io_update_oldCtr_r = _RANDOM[7'h4A][26:25];
+     895             :         tables_4_io_update_uValid_r = _RANDOM[7'h4A][27];
+     896             :         tables_4_io_update_u_r = _RANDOM[7'h4A][28];
+     897             :         tables_4_io_update_pc_r =
+     898             :           {_RANDOM[7'h4A][31:29], _RANDOM[7'h4B], _RANDOM[7'h4C][5:0]};
+     899             :         tables_4_io_update_folded_hist_r_hist_10_folded_hist = _RANDOM[7'h4E][8:0];
+     900             :         tables_4_io_update_folded_hist_r_hist_3_folded_hist = _RANDOM[7'h4F][30:23];
+     901             :       `endif // RANDOMIZE_REG_INIT
+     902          17 :       if (reset) begin
+     903          12 :         tickCtr = 8'h0;
+     904          12 :         s2_allocLFSR_lfsr = 64'h1234567887654321;
+     905             :       end
+     906             :     end // initial
+     907             :     `ifdef FIRRTL_AFTER_INITIAL
+     908             :       `FIRRTL_AFTER_INITIAL
+     909             :     `endif // FIRRTL_AFTER_INITIAL
+     910             :   `endif // ENABLE_INITIAL_REG_
+     911             :   ITTageTable tables_0 (
+     912             :     .clock                                       (clock),
+     913             :     .reset                                       (reset),
+     914             :     .io_req_valid                                (io_s0_fire_3),
+     915             :     .io_req_bits_pc                              (io_in_bits_s0_pc_3),
+     916             :     .io_req_bits_folded_hist_hist_12_folded_hist
+     917             :       (io_in_bits_folded_hist_3_hist_12_folded_hist),
+     918             :     .io_resp_valid                               (_tables_0_io_resp_valid),
+     919             :     .io_resp_bits_ctr                            (_tables_0_io_resp_bits_ctr),
+     920             :     .io_resp_bits_u                              (_tables_0_io_resp_bits_u),
+     921             :     .io_resp_bits_target                         (_tables_0_io_resp_bits_target),
+     922             :     .io_update_pc                                (tables_0_io_update_pc_r),
+     923             :     .io_update_folded_hist_hist_12_folded_hist
+     924             :       (tables_0_io_update_folded_hist_r_hist_12_folded_hist),
+     925             :     .io_update_valid                             (tables_0_io_update_valid_REG),
+     926             :     .io_update_correct                           (tables_0_io_update_correct_r),
+     927             :     .io_update_alloc                             (tables_0_io_update_alloc_r),
+     928             :     .io_update_oldCtr                            (tables_0_io_update_oldCtr_r),
+     929             :     .io_update_uValid                            (tables_0_io_update_uValid_r),
+     930             :     .io_update_u                                 (tables_0_io_update_u_r),
+     931             :     .io_update_reset_u                           (tables_0_io_update_reset_u_REG),
+     932             :     .io_update_target                            (tables_0_io_update_target_r),
+     933             :     .io_update_old_target                        (tables_0_io_update_old_target_r)
+     934             :   );
+     935             :   ITTageTable_1 tables_1 (
+     936             :     .clock                                       (clock),
+     937             :     .reset                                       (reset),
+     938             :     .io_req_valid                                (io_s0_fire_3),
+     939             :     .io_req_bits_pc                              (io_in_bits_s0_pc_3),
+     940             :     .io_req_bits_folded_hist_hist_14_folded_hist
+     941             :       (io_in_bits_folded_hist_3_hist_14_folded_hist),
+     942             :     .io_resp_valid                               (_tables_1_io_resp_valid),
+     943             :     .io_resp_bits_ctr                            (_tables_1_io_resp_bits_ctr),
+     944             :     .io_resp_bits_u                              (_tables_1_io_resp_bits_u),
+     945             :     .io_resp_bits_target                         (_tables_1_io_resp_bits_target),
+     946             :     .io_update_pc                                (tables_1_io_update_pc_r),
+     947             :     .io_update_folded_hist_hist_14_folded_hist
+     948             :       (tables_1_io_update_folded_hist_r_hist_14_folded_hist),
+     949             :     .io_update_valid                             (tables_1_io_update_valid_REG),
+     950             :     .io_update_correct                           (tables_1_io_update_correct_r),
+     951             :     .io_update_alloc                             (tables_1_io_update_alloc_r),
+     952             :     .io_update_oldCtr                            (tables_1_io_update_oldCtr_r),
+     953             :     .io_update_uValid                            (tables_1_io_update_uValid_r),
+     954             :     .io_update_u                                 (tables_1_io_update_u_r),
+     955             :     .io_update_reset_u                           (tables_1_io_update_reset_u_REG),
+     956             :     .io_update_target                            (tables_1_io_update_target_r),
+     957             :     .io_update_old_target                        (tables_1_io_update_old_target_r)
+     958             :   );
+     959             :   ITTageTable_2 tables_2 (
+     960             :     .clock                                       (clock),
+     961             :     .reset                                       (reset),
+     962             :     .io_req_valid                                (io_s0_fire_3),
+     963             :     .io_req_bits_pc                              (io_in_bits_s0_pc_3),
+     964             :     .io_req_bits_folded_hist_hist_13_folded_hist
+     965             :       (io_in_bits_folded_hist_3_hist_13_folded_hist),
+     966             :     .io_req_bits_folded_hist_hist_4_folded_hist
+     967             :       (io_in_bits_folded_hist_3_hist_4_folded_hist),
+     968             :     .io_resp_valid                               (_tables_2_io_resp_valid),
+     969             :     .io_resp_bits_ctr                            (_tables_2_io_resp_bits_ctr),
+     970             :     .io_resp_bits_u                              (_tables_2_io_resp_bits_u),
+     971             :     .io_resp_bits_target                         (_tables_2_io_resp_bits_target),
+     972             :     .io_update_pc                                (tables_2_io_update_pc_r),
+     973             :     .io_update_folded_hist_hist_13_folded_hist
+     974             :       (tables_2_io_update_folded_hist_r_hist_13_folded_hist),
+     975             :     .io_update_folded_hist_hist_4_folded_hist
+     976             :       (tables_2_io_update_folded_hist_r_hist_4_folded_hist),
+     977             :     .io_update_valid                             (tables_2_io_update_valid_REG),
+     978             :     .io_update_correct                           (tables_2_io_update_correct_r),
+     979             :     .io_update_alloc                             (tables_2_io_update_alloc_r),
+     980             :     .io_update_oldCtr                            (tables_2_io_update_oldCtr_r),
+     981             :     .io_update_uValid                            (tables_2_io_update_uValid_r),
+     982             :     .io_update_u                                 (tables_2_io_update_u_r),
+     983             :     .io_update_reset_u                           (tables_2_io_update_reset_u_REG),
+     984             :     .io_update_target                            (tables_2_io_update_target_r),
+     985             :     .io_update_old_target                        (tables_2_io_update_old_target_r)
+     986             :   );
+     987             :   ITTageTable_3 tables_3 (
+     988             :     .clock                                      (clock),
+     989             :     .reset                                      (reset),
+     990             :     .io_req_valid                               (io_s0_fire_3),
+     991             :     .io_req_bits_pc                             (io_in_bits_s0_pc_3),
+     992             :     .io_req_bits_folded_hist_hist_6_folded_hist
+     993             :       (io_in_bits_folded_hist_3_hist_6_folded_hist),
+     994             :     .io_req_bits_folded_hist_hist_2_folded_hist
+     995             :       (io_in_bits_folded_hist_3_hist_2_folded_hist),
+     996             :     .io_resp_valid                              (_tables_3_io_resp_valid),
+     997             :     .io_resp_bits_ctr                           (_tables_3_io_resp_bits_ctr),
+     998             :     .io_resp_bits_u                             (_tables_3_io_resp_bits_u),
+     999             :     .io_resp_bits_target                        (_tables_3_io_resp_bits_target),
+    1000             :     .io_update_pc                               (tables_3_io_update_pc_r),
+    1001             :     .io_update_folded_hist_hist_6_folded_hist
+    1002             :       (tables_3_io_update_folded_hist_r_hist_6_folded_hist),
+    1003             :     .io_update_folded_hist_hist_2_folded_hist
+    1004             :       (tables_3_io_update_folded_hist_r_hist_2_folded_hist),
+    1005             :     .io_update_valid                            (tables_3_io_update_valid_REG),
+    1006             :     .io_update_correct                          (tables_3_io_update_correct_r),
+    1007             :     .io_update_alloc                            (tables_3_io_update_alloc_r),
+    1008             :     .io_update_oldCtr                           (tables_3_io_update_oldCtr_r),
+    1009             :     .io_update_uValid                           (tables_3_io_update_uValid_r),
+    1010             :     .io_update_u                                (tables_3_io_update_u_r),
+    1011             :     .io_update_reset_u                          (tables_3_io_update_reset_u_REG),
+    1012             :     .io_update_target                           (tables_3_io_update_target_r),
+    1013             :     .io_update_old_target                       (tables_3_io_update_old_target_r)
+    1014             :   );
+    1015             :   ITTageTable_4 tables_4 (
+    1016             :     .clock                                       (clock),
+    1017             :     .reset                                       (reset),
+    1018             :     .io_req_valid                                (io_s0_fire_3),
+    1019             :     .io_req_bits_pc                              (io_in_bits_s0_pc_3),
+    1020             :     .io_req_bits_folded_hist_hist_10_folded_hist
+    1021             :       (io_in_bits_folded_hist_3_hist_10_folded_hist),
+    1022             :     .io_req_bits_folded_hist_hist_3_folded_hist
+    1023             :       (io_in_bits_folded_hist_3_hist_3_folded_hist),
+    1024             :     .io_resp_valid                               (_tables_4_io_resp_valid),
+    1025             :     .io_resp_bits_ctr                            (_tables_4_io_resp_bits_ctr),
+    1026             :     .io_resp_bits_u                              (_tables_4_io_resp_bits_u),
+    1027             :     .io_resp_bits_target                         (_tables_4_io_resp_bits_target),
+    1028             :     .io_update_pc                                (tables_4_io_update_pc_r),
+    1029             :     .io_update_folded_hist_hist_10_folded_hist
+    1030             :       (tables_4_io_update_folded_hist_r_hist_10_folded_hist),
+    1031             :     .io_update_folded_hist_hist_3_folded_hist
+    1032             :       (tables_4_io_update_folded_hist_r_hist_3_folded_hist),
+    1033             :     .io_update_valid                             (tables_4_io_update_valid_REG),
+    1034             :     .io_update_correct                           (tables_4_io_update_correct_r),
+    1035             :     .io_update_alloc                             (tables_4_io_update_alloc_r),
+    1036             :     .io_update_oldCtr                            (tables_4_io_update_oldCtr_r),
+    1037             :     .io_update_uValid                            (tables_4_io_update_uValid_r),
+    1038             :     .io_update_u                                 (tables_4_io_update_u_r),
+    1039             :     .io_update_reset_u                           (tables_4_io_update_reset_u_REG),
+    1040             :     .io_update_target                            (tables_4_io_update_target_r),
+    1041             :     .io_update_old_target                        (tables_4_io_update_old_target_r)
+    1042             :   );
+    1043             :   assign io_out_s2_full_pred_0_br_taken_mask_0 =
+    1044             :     io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0;
+    1045             :   assign io_out_s2_full_pred_0_br_taken_mask_1 =
+    1046             :     io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1;
+    1047             :   assign io_out_s2_full_pred_0_slot_valids_0 =
+    1048             :     io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_0;
+    1049             :   assign io_out_s2_full_pred_0_slot_valids_1 =
+    1050             :     io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_1;
+    1051             :   assign io_out_s2_full_pred_0_targets_0 = io_in_bits_resp_in_0_s2_full_pred_0_targets_0;
+    1052             :   assign io_out_s2_full_pred_0_targets_1 = io_in_bits_resp_in_0_s2_full_pred_0_targets_1;
+    1053             :   assign io_out_s2_full_pred_0_jalr_target =
+    1054             :     io_in_bits_resp_in_0_s2_full_pred_0_jalr_target;
+    1055             :   assign io_out_s2_full_pred_0_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_0_offsets_0;
+    1056             :   assign io_out_s2_full_pred_0_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_0_offsets_1;
+    1057             :   assign io_out_s2_full_pred_0_fallThroughAddr =
+    1058             :     io_in_bits_resp_in_0_s2_full_pred_0_fallThroughAddr;
+    1059             :   assign io_out_s2_full_pred_0_is_br_sharing =
+    1060             :     io_in_bits_resp_in_0_s2_full_pred_0_is_br_sharing;
+    1061             :   assign io_out_s2_full_pred_0_hit = io_in_bits_resp_in_0_s2_full_pred_0_hit;
+    1062             :   assign io_out_s2_full_pred_1_br_taken_mask_0 =
+    1063             :     io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0;
+    1064             :   assign io_out_s2_full_pred_1_br_taken_mask_1 =
+    1065             :     io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1;
+    1066             :   assign io_out_s2_full_pred_1_slot_valids_0 =
+    1067             :     io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_0;
+    1068             :   assign io_out_s2_full_pred_1_slot_valids_1 =
+    1069             :     io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_1;
+    1070             :   assign io_out_s2_full_pred_1_targets_0 = io_in_bits_resp_in_0_s2_full_pred_1_targets_0;
+    1071             :   assign io_out_s2_full_pred_1_targets_1 = io_in_bits_resp_in_0_s2_full_pred_1_targets_1;
+    1072             :   assign io_out_s2_full_pred_1_jalr_target =
+    1073             :     io_in_bits_resp_in_0_s2_full_pred_1_jalr_target;
+    1074             :   assign io_out_s2_full_pred_1_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_1_offsets_0;
+    1075             :   assign io_out_s2_full_pred_1_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_1_offsets_1;
+    1076             :   assign io_out_s2_full_pred_1_fallThroughAddr =
+    1077             :     io_in_bits_resp_in_0_s2_full_pred_1_fallThroughAddr;
+    1078             :   assign io_out_s2_full_pred_1_is_br_sharing =
+    1079             :     io_in_bits_resp_in_0_s2_full_pred_1_is_br_sharing;
+    1080             :   assign io_out_s2_full_pred_1_hit = io_in_bits_resp_in_0_s2_full_pred_1_hit;
+    1081             :   assign io_out_s2_full_pred_2_br_taken_mask_0 =
+    1082             :     io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0;
+    1083             :   assign io_out_s2_full_pred_2_br_taken_mask_1 =
+    1084             :     io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1;
+    1085             :   assign io_out_s2_full_pred_2_slot_valids_0 =
+    1086             :     io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_0;
+    1087             :   assign io_out_s2_full_pred_2_slot_valids_1 =
+    1088             :     io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1;
+    1089             :   assign io_out_s2_full_pred_2_targets_0 = io_in_bits_resp_in_0_s2_full_pred_2_targets_0;
+    1090             :   assign io_out_s2_full_pred_2_targets_1 = io_in_bits_resp_in_0_s2_full_pred_2_targets_1;
+    1091             :   assign io_out_s2_full_pred_2_jalr_target =
+    1092             :     io_in_bits_resp_in_0_s2_full_pred_2_jalr_target;
+    1093             :   assign io_out_s2_full_pred_2_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_2_offsets_0;
+    1094             :   assign io_out_s2_full_pred_2_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_2_offsets_1;
+    1095             :   assign io_out_s2_full_pred_2_fallThroughAddr =
+    1096             :     io_in_bits_resp_in_0_s2_full_pred_2_fallThroughAddr;
+    1097             :   assign io_out_s2_full_pred_2_is_jalr = io_in_bits_resp_in_0_s2_full_pred_2_is_jalr;
+    1098             :   assign io_out_s2_full_pred_2_is_call = io_in_bits_resp_in_0_s2_full_pred_2_is_call;
+    1099             :   assign io_out_s2_full_pred_2_is_ret = io_in_bits_resp_in_0_s2_full_pred_2_is_ret;
+    1100             :   assign io_out_s2_full_pred_2_last_may_be_rvi_call =
+    1101             :     io_in_bits_resp_in_0_s2_full_pred_2_last_may_be_rvi_call;
+    1102             :   assign io_out_s2_full_pred_2_is_br_sharing =
+    1103             :     io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing;
+    1104             :   assign io_out_s2_full_pred_2_hit = io_in_bits_resp_in_0_s2_full_pred_2_hit;
+    1105             :   assign io_out_s2_full_pred_3_br_taken_mask_0 =
+    1106             :     io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0;
+    1107             :   assign io_out_s2_full_pred_3_br_taken_mask_1 =
+    1108             :     io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1;
+    1109             :   assign io_out_s2_full_pred_3_slot_valids_0 =
+    1110             :     io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_0;
+    1111             :   assign io_out_s2_full_pred_3_slot_valids_1 =
+    1112             :     io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_1;
+    1113             :   assign io_out_s2_full_pred_3_targets_0 = io_in_bits_resp_in_0_s2_full_pred_3_targets_0;
+    1114             :   assign io_out_s2_full_pred_3_targets_1 = io_in_bits_resp_in_0_s2_full_pred_3_targets_1;
+    1115             :   assign io_out_s2_full_pred_3_jalr_target =
+    1116             :     io_in_bits_resp_in_0_s2_full_pred_3_jalr_target;
+    1117             :   assign io_out_s2_full_pred_3_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_3_offsets_0;
+    1118             :   assign io_out_s2_full_pred_3_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_3_offsets_1;
+    1119             :   assign io_out_s2_full_pred_3_fallThroughAddr =
+    1120             :     io_in_bits_resp_in_0_s2_full_pred_3_fallThroughAddr;
+    1121             :   assign io_out_s2_full_pred_3_fallThroughErr =
+    1122             :     io_in_bits_resp_in_0_s2_full_pred_3_fallThroughErr;
+    1123             :   assign io_out_s2_full_pred_3_is_br_sharing =
+    1124             :     io_in_bits_resp_in_0_s2_full_pred_3_is_br_sharing;
+    1125             :   assign io_out_s2_full_pred_3_hit = io_in_bits_resp_in_0_s2_full_pred_3_hit;
+    1126             :   assign io_out_s3_full_pred_0_br_taken_mask_0 =
+    1127             :     io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0;
+    1128             :   assign io_out_s3_full_pred_0_br_taken_mask_1 =
+    1129             :     io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1;
+    1130             :   assign io_out_s3_full_pred_0_slot_valids_0 =
+    1131             :     io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_0;
+    1132             :   assign io_out_s3_full_pred_0_slot_valids_1 =
+    1133             :     io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_1;
+    1134             :   assign io_out_s3_full_pred_0_targets_0 = io_in_bits_resp_in_0_s3_full_pred_0_targets_0;
+    1135             :   assign io_out_s3_full_pred_0_targets_1 = io_in_bits_resp_in_0_s3_full_pred_0_targets_1;
+    1136             :   assign io_out_s3_full_pred_0_jalr_target =
+    1137             :     s3_tageTaken_dup_0
+    1138             :       ? s3_tageTarget_dup_0
+    1139             :       : io_in_bits_resp_in_0_s3_full_pred_0_jalr_target;
+    1140             :   assign io_out_s3_full_pred_0_fallThroughAddr =
+    1141             :     io_in_bits_resp_in_0_s3_full_pred_0_fallThroughAddr;
+    1142             :   assign io_out_s3_full_pred_0_fallThroughErr =
+    1143             :     io_in_bits_resp_in_0_s3_full_pred_0_fallThroughErr;
+    1144             :   assign io_out_s3_full_pred_0_is_br_sharing =
+    1145             :     io_in_bits_resp_in_0_s3_full_pred_0_is_br_sharing;
+    1146             :   assign io_out_s3_full_pred_0_hit = io_in_bits_resp_in_0_s3_full_pred_0_hit;
+    1147             :   assign io_out_s3_full_pred_1_br_taken_mask_0 =
+    1148             :     io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0;
+    1149             :   assign io_out_s3_full_pred_1_br_taken_mask_1 =
+    1150             :     io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1;
+    1151             :   assign io_out_s3_full_pred_1_slot_valids_0 =
+    1152             :     io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_0;
+    1153             :   assign io_out_s3_full_pred_1_slot_valids_1 =
+    1154             :     io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_1;
+    1155             :   assign io_out_s3_full_pred_1_targets_0 = io_in_bits_resp_in_0_s3_full_pred_1_targets_0;
+    1156             :   assign io_out_s3_full_pred_1_targets_1 = io_in_bits_resp_in_0_s3_full_pred_1_targets_1;
+    1157             :   assign io_out_s3_full_pred_1_jalr_target =
+    1158             :     s3_tageTaken_dup_1
+    1159             :       ? s3_tageTarget_dup_1
+    1160             :       : io_in_bits_resp_in_0_s3_full_pred_1_jalr_target;
+    1161             :   assign io_out_s3_full_pred_1_fallThroughAddr =
+    1162             :     io_in_bits_resp_in_0_s3_full_pred_1_fallThroughAddr;
+    1163             :   assign io_out_s3_full_pred_1_fallThroughErr =
+    1164             :     io_in_bits_resp_in_0_s3_full_pred_1_fallThroughErr;
+    1165             :   assign io_out_s3_full_pred_1_is_br_sharing =
+    1166             :     io_in_bits_resp_in_0_s3_full_pred_1_is_br_sharing;
+    1167             :   assign io_out_s3_full_pred_1_hit = io_in_bits_resp_in_0_s3_full_pred_1_hit;
+    1168             :   assign io_out_s3_full_pred_2_br_taken_mask_0 =
+    1169             :     io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0;
+    1170             :   assign io_out_s3_full_pred_2_br_taken_mask_1 =
+    1171             :     io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1;
+    1172             :   assign io_out_s3_full_pred_2_slot_valids_0 =
+    1173             :     io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_0;
+    1174             :   assign io_out_s3_full_pred_2_slot_valids_1 =
+    1175             :     io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1;
+    1176             :   assign io_out_s3_full_pred_2_targets_0 = io_in_bits_resp_in_0_s3_full_pred_2_targets_0;
+    1177             :   assign io_out_s3_full_pred_2_targets_1 = io_in_bits_resp_in_0_s3_full_pred_2_targets_1;
+    1178             :   assign io_out_s3_full_pred_2_jalr_target =
+    1179             :     s3_tageTaken_dup_2
+    1180             :       ? s3_tageTarget_dup_2
+    1181             :       : io_in_bits_resp_in_0_s3_full_pred_2_jalr_target;
+    1182             :   assign io_out_s3_full_pred_2_fallThroughAddr =
+    1183             :     io_in_bits_resp_in_0_s3_full_pred_2_fallThroughAddr;
+    1184             :   assign io_out_s3_full_pred_2_fallThroughErr =
+    1185             :     io_in_bits_resp_in_0_s3_full_pred_2_fallThroughErr;
+    1186             :   assign io_out_s3_full_pred_2_is_jalr = io_in_bits_resp_in_0_s3_full_pred_2_is_jalr;
+    1187             :   assign io_out_s3_full_pred_2_is_call = io_in_bits_resp_in_0_s3_full_pred_2_is_call;
+    1188             :   assign io_out_s3_full_pred_2_is_ret = io_in_bits_resp_in_0_s3_full_pred_2_is_ret;
+    1189             :   assign io_out_s3_full_pred_2_is_br_sharing =
+    1190             :     io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing;
+    1191             :   assign io_out_s3_full_pred_2_hit = io_in_bits_resp_in_0_s3_full_pred_2_hit;
+    1192             :   assign io_out_s3_full_pred_3_br_taken_mask_0 =
+    1193             :     io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0;
+    1194             :   assign io_out_s3_full_pred_3_br_taken_mask_1 =
+    1195             :     io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1;
+    1196             :   assign io_out_s3_full_pred_3_slot_valids_0 =
+    1197             :     io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_0;
+    1198             :   assign io_out_s3_full_pred_3_slot_valids_1 =
+    1199             :     io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_1;
+    1200             :   assign io_out_s3_full_pred_3_targets_0 = io_in_bits_resp_in_0_s3_full_pred_3_targets_0;
+    1201             :   assign io_out_s3_full_pred_3_targets_1 = io_in_bits_resp_in_0_s3_full_pred_3_targets_1;
+    1202             :   assign io_out_s3_full_pred_3_jalr_target =
+    1203             :     s3_tageTaken_dup_3
+    1204             :       ? s3_tageTarget_dup_3
+    1205             :       : io_in_bits_resp_in_0_s3_full_pred_3_jalr_target;
+    1206             :   assign io_out_s3_full_pred_3_offsets_0 = io_in_bits_resp_in_0_s3_full_pred_3_offsets_0;
+    1207             :   assign io_out_s3_full_pred_3_offsets_1 = io_in_bits_resp_in_0_s3_full_pred_3_offsets_1;
+    1208             :   assign io_out_s3_full_pred_3_fallThroughAddr =
+    1209             :     io_in_bits_resp_in_0_s3_full_pred_3_fallThroughAddr;
+    1210             :   assign io_out_s3_full_pred_3_fallThroughErr =
+    1211             :     io_in_bits_resp_in_0_s3_full_pred_3_fallThroughErr;
+    1212             :   assign io_out_s3_full_pred_3_is_br_sharing =
+    1213             :     io_in_bits_resp_in_0_s3_full_pred_3_is_br_sharing;
+    1214             :   assign io_out_s3_full_pred_3_hit = io_in_bits_resp_in_0_s3_full_pred_3_hit;
+    1215             :   assign io_out_last_stage_meta =
+    1216             :     {122'h0,
+    1217             :      s3_provided,
+    1218             :      s3_provider,
+    1219             :      s3_altProvided,
+    1220             :      s3_altProvider,
+    1221             :      resp_meta_altDiffers,
+    1222             :      s3_providerU,
+    1223             :      s3_providerCtr,
+    1224             :      s3_altProviderCtr,
+    1225             :      resp_meta_allocate_valid_r,
+    1226             :      resp_meta_allocate_bits_r,
+    1227             :      s3_tageTaken_dup_3,
+    1228             :      s3_providerTarget,
+    1229             :      s3_altProviderTarget};
+    1230             :   assign io_out_last_stage_ftb_entry_valid =
+    1231             :     io_in_bits_resp_in_0_last_stage_ftb_entry_valid;
+    1232             :   assign io_out_last_stage_ftb_entry_brSlots_0_offset =
+    1233             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_offset;
+    1234             :   assign io_out_last_stage_ftb_entry_brSlots_0_lower =
+    1235             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_lower;
+    1236             :   assign io_out_last_stage_ftb_entry_brSlots_0_tarStat =
+    1237             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_tarStat;
+    1238             :   assign io_out_last_stage_ftb_entry_brSlots_0_sharing =
+    1239             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_sharing;
+    1240             :   assign io_out_last_stage_ftb_entry_brSlots_0_valid =
+    1241             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_valid;
+    1242             :   assign io_out_last_stage_ftb_entry_tailSlot_offset =
+    1243             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_offset;
+    1244             :   assign io_out_last_stage_ftb_entry_tailSlot_lower =
+    1245             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_lower;
+    1246             :   assign io_out_last_stage_ftb_entry_tailSlot_tarStat =
+    1247             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_tarStat;
+    1248             :   assign io_out_last_stage_ftb_entry_tailSlot_sharing =
+    1249             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_sharing;
+    1250             :   assign io_out_last_stage_ftb_entry_tailSlot_valid =
+    1251             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_valid;
+    1252             :   assign io_out_last_stage_ftb_entry_pftAddr =
+    1253             :     io_in_bits_resp_in_0_last_stage_ftb_entry_pftAddr;
+    1254             :   assign io_out_last_stage_ftb_entry_carry =
+    1255             :     io_in_bits_resp_in_0_last_stage_ftb_entry_carry;
+    1256             :   assign io_out_last_stage_ftb_entry_isCall =
+    1257             :     io_in_bits_resp_in_0_last_stage_ftb_entry_isCall;
+    1258             :   assign io_out_last_stage_ftb_entry_isRet =
+    1259             :     io_in_bits_resp_in_0_last_stage_ftb_entry_isRet;
+    1260             :   assign io_out_last_stage_ftb_entry_isJalr =
+    1261             :     io_in_bits_resp_in_0_last_stage_ftb_entry_isJalr;
+    1262             :   assign io_out_last_stage_ftb_entry_last_may_be_rvi_call =
+    1263             :     io_in_bits_resp_in_0_last_stage_ftb_entry_last_may_be_rvi_call;
+    1264             :   assign io_out_last_stage_ftb_entry_always_taken_0 =
+    1265             :     io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_0;
+    1266             :   assign io_out_last_stage_ftb_entry_always_taken_1 =
+    1267             :     io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_1;
+    1268             : endmodule
+    1269             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.func-sort-c.html new file mode 100644 index 0000000..7c8bf11 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.func.html new file mode 100644 index 0000000..8bd627e --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.gcov.html new file mode 100644 index 0000000..99de3b7 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable.sv.gcov.html @@ -0,0 +1,302 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module ITTageTable(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         474 :   input  [3:0]  io_req_bits_folded_hist_hist_12_folded_hist,
+      64          13 :   output        io_resp_valid,
+      65          48 :   output [1:0]  io_resp_bits_ctr,
+      66         176 :   output [1:0]  io_resp_bits_u,
+      67        1096 :   output [40:0] io_resp_bits_target,
+      68         594 :   input  [40:0] io_update_pc,
+      69          54 :   input  [3:0]  io_update_folded_hist_hist_12_folded_hist,
+      70          25 :   input         io_update_valid,
+      71          15 :   input         io_update_correct,
+      72          13 :   input         io_update_alloc,
+      73          27 :   input  [1:0]  io_update_oldCtr,
+      74          12 :   input         io_update_uValid,
+      75          16 :   input         io_update_u,
+      76          28 :   input         io_update_reset_u,
+      77         585 :   input  [40:0] io_update_target,
+      78         611 :   input  [40:0] io_update_old_target
+      79             : );
+      80             : 
+      81             :   wire        _resp_invalid_by_write_T_2;
+      82             :   wire        _wrbypass_io_hit;
+      83             :   wire [1:0]  _wrbypass_io_hit_data_0_bits;
+      84             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+      85             :   wire [8:0]  _table_banks_1_io_r_resp_data_0_tag;
+      86             :   wire [1:0]  _table_banks_1_io_r_resp_data_0_ctr;
+      87             :   wire [40:0] _table_banks_1_io_r_resp_data_0_target;
+      88             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+      89             :   wire [8:0]  _table_banks_0_io_r_resp_data_0_tag;
+      90             :   wire [1:0]  _table_banks_0_io_r_resp_data_0_ctr;
+      91             :   wire [40:0] _table_banks_0_io_r_resp_data_0_target;
+      92             :   wire        _us_io_rdata_0;
+      93             :   wire [3:0]  _GEN = io_req_bits_pc[4:1] ^ io_req_bits_folded_hist_hist_12_folded_hist;
+      94         714 :   reg  [8:0]  s1_tag;
+      95          53 :   reg         s1_bank_req_1h_0;
+      96          46 :   reg         s1_bank_req_1h_1;
+      97             :   wire [6:0]  _table_banks_1_io_r_req_bits_setIdx_T = {io_req_bits_pc[8:5], _GEN[3:1]};
+      98          34 :   reg         s1_bank_has_write_on_this_req_0;
+      99          35 :   reg         s1_bank_has_write_on_this_req_1;
+     100             :   wire [3:0]  _GEN_0 = io_update_pc[4:1] ^ io_update_folded_hist_hist_12_folded_hist;
+     101         105 :   wire [7:0]  update_idx = {io_update_pc[8:5], _GEN_0};
+     102         131 :   wire [8:0]  update_tag =
+     103             :     {io_update_pc[17:14],
+     104             :      {io_update_pc[13], io_update_pc[12:9] ^ io_update_folded_hist_hist_12_folded_hist}
+     105             :        ^ {io_update_folded_hist_hist_12_folded_hist, 1'h0}};
+     106          99 :   wire [6:0]  update_idx_in_bank = {io_update_pc[8:5], _GEN_0[3:1]};
+     107             :   assign _resp_invalid_by_write_T_2 =
+     108             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     109             :     & s1_bank_has_write_on_this_req_1;
+     110             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 = io_update_valid & ~(_GEN_0[0]);
+     111             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 = io_update_valid & _GEN_0[0];
+     112          44 :   wire [1:0]  old_ctr =
+     113             :     _wrbypass_io_hit ? _wrbypass_io_hit_data_0_bits : io_update_oldCtr;
+     114          16 :   wire        update_wdata_ctr_oldSatNotTaken = old_ctr == 2'h0;
+     115          51 :   wire [1:0]  update_wdata_ctr =
+     116             :     io_update_alloc
+     117             :       ? 2'h2
+     118             :       : (&old_ctr) & io_update_correct
+     119             :           ? 2'h3
+     120             :           : update_wdata_ctr_oldSatNotTaken & ~io_update_correct
+     121             :               ? 2'h0
+     122             :               : io_update_correct ? 2'(old_ctr + 2'h1) : 2'(old_ctr - 2'h1);
+     123         599 :   wire [40:0] update_wdata_target =
+     124             :     io_update_alloc | update_wdata_ctr_oldSatNotTaken
+     125             :       ? io_update_target
+     126             :       : io_update_old_target;
+     127      127694 :   always @(posedge clock) begin
+     128        8350 :     if (io_req_valid) begin
+     129        4175 :       s1_tag <=
+     130        4175 :         {io_req_bits_pc[17:14],
+     131        4175 :          {io_req_bits_pc[13],
+     132        4175 :           io_req_bits_pc[12:9] ^ io_req_bits_folded_hist_hist_12_folded_hist}
+     133        4175 :            ^ {io_req_bits_folded_hist_hist_12_folded_hist, 1'h0}};
+     134        4175 :       s1_bank_req_1h_0 <= ~(_GEN[0]);
+     135        4175 :       s1_bank_req_1h_1 <= _GEN[0];
+     136        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     137        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     138             :     end
+     139             :   end // always @(posedge)
+     140             :   `ifdef ENABLE_INITIAL_REG_
+     141             :     `ifdef FIRRTL_BEFORE_INITIAL
+     142             :       `FIRRTL_BEFORE_INITIAL
+     143             :     `endif // FIRRTL_BEFORE_INITIAL
+     144             :     logic [31:0] _RANDOM[0:0];
+     145          58 :     initial begin
+     146             :       `ifdef INIT_RANDOM_PROLOG_
+     147             :         `INIT_RANDOM_PROLOG_
+     148             :       `endif // INIT_RANDOM_PROLOG_
+     149             :       `ifdef RANDOMIZE_REG_INIT
+     150             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     151             :         s1_tag = _RANDOM[/*Zero width*/ 1'b0][16:8];
+     152             :         s1_bank_req_1h_0 = _RANDOM[/*Zero width*/ 1'b0][17];
+     153             :         s1_bank_req_1h_1 = _RANDOM[/*Zero width*/ 1'b0][18];
+     154             :         s1_bank_has_write_on_this_req_0 = _RANDOM[/*Zero width*/ 1'b0][19];
+     155             :         s1_bank_has_write_on_this_req_1 = _RANDOM[/*Zero width*/ 1'b0][20];
+     156             :       `endif // RANDOMIZE_REG_INIT
+     157             :     end // initial
+     158             :     `ifdef FIRRTL_AFTER_INITIAL
+     159             :       `FIRRTL_AFTER_INITIAL
+     160             :     `endif // FIRRTL_AFTER_INITIAL
+     161             :   `endif // ENABLE_INITIAL_REG_
+     162             :   Folded1WDataModuleTemplate us (
+     163             :     .clock      (clock),
+     164             :     .reset      (reset),
+     165             :     .io_ren_0   (io_req_valid),
+     166             :     .io_raddr_0 ({io_req_bits_pc[8:5], _GEN}),
+     167             :     .io_rdata_0 (_us_io_rdata_0),
+     168             :     .io_wen     (io_update_uValid),
+     169             :     .io_waddr   (update_idx),
+     170             :     .io_wdata   (io_update_u),
+     171             :     .io_resetEn (io_update_reset_u)
+     172             :   );
+     173             :   FoldedSRAMTemplate_21 table_banks_0 (
+     174             :     .clock                       (clock),
+     175             :     .reset                       (reset),
+     176             :     .io_r_req_valid              (io_req_valid & ~(_GEN[0])),
+     177             :     .io_r_req_bits_setIdx        (_table_banks_1_io_r_req_bits_setIdx_T),
+     178             :     .io_r_resp_data_0_valid      (_table_banks_0_io_r_resp_data_0_valid),
+     179             :     .io_r_resp_data_0_tag        (_table_banks_0_io_r_resp_data_0_tag),
+     180             :     .io_r_resp_data_0_ctr        (_table_banks_0_io_r_resp_data_0_ctr),
+     181             :     .io_r_resp_data_0_target     (_table_banks_0_io_r_resp_data_0_target),
+     182             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_0),
+     183             :     .io_w_req_bits_setIdx        (update_idx_in_bank),
+     184             :     .io_w_req_bits_data_0_tag    (update_tag),
+     185             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     186             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     187             :   );
+     188             :   FoldedSRAMTemplate_21 table_banks_1 (
+     189             :     .clock                       (clock),
+     190             :     .reset                       (reset),
+     191             :     .io_r_req_valid              (io_req_valid & _GEN[0]),
+     192             :     .io_r_req_bits_setIdx        (_table_banks_1_io_r_req_bits_setIdx_T),
+     193             :     .io_r_resp_data_0_valid      (_table_banks_1_io_r_resp_data_0_valid),
+     194             :     .io_r_resp_data_0_tag        (_table_banks_1_io_r_resp_data_0_tag),
+     195             :     .io_r_resp_data_0_ctr        (_table_banks_1_io_r_resp_data_0_ctr),
+     196             :     .io_r_resp_data_0_target     (_table_banks_1_io_r_resp_data_0_target),
+     197             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_1),
+     198             :     .io_w_req_bits_setIdx        (update_idx_in_bank),
+     199             :     .io_w_req_bits_data_0_tag    (update_tag),
+     200             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     201             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     202             :   );
+     203             :   WrBypass_41 wrbypass (
+     204             :     .clock              (clock),
+     205             :     .reset              (reset),
+     206             :     .io_wen             (io_update_valid),
+     207             :     .io_write_idx       (update_idx),
+     208             :     .io_write_data_0    (update_wdata_ctr),
+     209             :     .io_hit             (_wrbypass_io_hit),
+     210             :     .io_hit_data_0_bits (_wrbypass_io_hit_data_0_bits)
+     211             :   );
+     212             :   assign io_resp_valid =
+     213             :     (s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_valid | s1_bank_req_1h_1
+     214             :      & _table_banks_1_io_r_resp_data_0_valid)
+     215             :     & ((s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_tag : 9'h0)
+     216             :        | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_tag : 9'h0)) == s1_tag
+     217             :     & ~_resp_invalid_by_write_T_2;
+     218             :   assign io_resp_bits_ctr =
+     219             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 2'h0)
+     220             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 2'h0);
+     221             :   assign io_resp_bits_u = {1'h0, _us_io_rdata_0};
+     222             :   assign io_resp_bits_target =
+     223             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_target : 41'h0)
+     224             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_target : 41'h0);
+     225             : endmodule
+     226             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.func-sort-c.html new file mode 100644 index 0000000..716f3b8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.func.html new file mode 100644 index 0000000..760112c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.gcov.html new file mode 100644 index 0000000..e3cb537 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_1.sv.gcov.html @@ -0,0 +1,297 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_1.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module ITTageTable_1(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         564 :   input  [7:0]  io_req_bits_folded_hist_hist_14_folded_hist,
+      64          12 :   output        io_resp_valid,
+      65          55 :   output [1:0]  io_resp_bits_ctr,
+      66         144 :   output [1:0]  io_resp_bits_u,
+      67        1170 :   output [40:0] io_resp_bits_target,
+      68         577 :   input  [40:0] io_update_pc,
+      69         108 :   input  [7:0]  io_update_folded_hist_hist_14_folded_hist,
+      70          27 :   input         io_update_valid,
+      71          13 :   input         io_update_correct,
+      72          13 :   input         io_update_alloc,
+      73          33 :   input  [1:0]  io_update_oldCtr,
+      74          11 :   input         io_update_uValid,
+      75          14 :   input         io_update_u,
+      76          33 :   input         io_update_reset_u,
+      77         588 :   input  [40:0] io_update_target,
+      78         587 :   input  [40:0] io_update_old_target
+      79             : );
+      80             : 
+      81             :   wire        _resp_invalid_by_write_T_2;
+      82             :   wire        _wrbypass_io_hit;
+      83             :   wire [1:0]  _wrbypass_io_hit_data_0_bits;
+      84             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+      85             :   wire [8:0]  _table_banks_1_io_r_resp_data_0_tag;
+      86             :   wire [1:0]  _table_banks_1_io_r_resp_data_0_ctr;
+      87             :   wire [40:0] _table_banks_1_io_r_resp_data_0_target;
+      88             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+      89             :   wire [8:0]  _table_banks_0_io_r_resp_data_0_tag;
+      90             :   wire [1:0]  _table_banks_0_io_r_resp_data_0_ctr;
+      91             :   wire [40:0] _table_banks_0_io_r_resp_data_0_target;
+      92             :   wire        _us_io_rdata_0;
+      93       24675 :   wire [7:0]  s0_idx = io_req_bits_pc[8:1] ^ io_req_bits_folded_hist_hist_14_folded_hist;
+      94         720 :   reg  [8:0]  s1_tag;
+      95          46 :   reg         s1_bank_req_1h_0;
+      96          53 :   reg         s1_bank_req_1h_1;
+      97          29 :   reg         s1_bank_has_write_on_this_req_0;
+      98          30 :   reg         s1_bank_has_write_on_this_req_1;
+      99         105 :   wire [7:0]  update_idx = io_update_pc[8:1] ^ io_update_folded_hist_hist_14_folded_hist;
+     100         127 :   wire [8:0]  update_tag =
+     101             :     {io_update_pc[17], io_update_pc[16:9] ^ io_update_folded_hist_hist_14_folded_hist}
+     102             :     ^ {io_update_folded_hist_hist_14_folded_hist, 1'h0};
+     103             :   assign _resp_invalid_by_write_T_2 =
+     104             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     105             :     & s1_bank_has_write_on_this_req_1;
+     106             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 = io_update_valid & ~(update_idx[0]);
+     107             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 = io_update_valid & update_idx[0];
+     108          51 :   wire [1:0]  old_ctr =
+     109             :     _wrbypass_io_hit ? _wrbypass_io_hit_data_0_bits : io_update_oldCtr;
+     110          19 :   wire        update_wdata_ctr_oldSatNotTaken = old_ctr == 2'h0;
+     111          36 :   wire [1:0]  update_wdata_ctr =
+     112             :     io_update_alloc
+     113             :       ? 2'h2
+     114             :       : (&old_ctr) & io_update_correct
+     115             :           ? 2'h3
+     116             :           : update_wdata_ctr_oldSatNotTaken & ~io_update_correct
+     117             :               ? 2'h0
+     118             :               : io_update_correct ? 2'(old_ctr + 2'h1) : 2'(old_ctr - 2'h1);
+     119         642 :   wire [40:0] update_wdata_target =
+     120             :     io_update_alloc | update_wdata_ctr_oldSatNotTaken
+     121             :       ? io_update_target
+     122             :       : io_update_old_target;
+     123      127694 :   always @(posedge clock) begin
+     124        8350 :     if (io_req_valid) begin
+     125        4175 :       s1_tag <=
+     126        4175 :         {io_req_bits_pc[17],
+     127        4175 :          io_req_bits_pc[16:9] ^ io_req_bits_folded_hist_hist_14_folded_hist}
+     128        4175 :         ^ {io_req_bits_folded_hist_hist_14_folded_hist, 1'h0};
+     129        4175 :       s1_bank_req_1h_0 <= ~(s0_idx[0]);
+     130        4175 :       s1_bank_req_1h_1 <= s0_idx[0];
+     131        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     132        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     133             :     end
+     134             :   end // always @(posedge)
+     135             :   `ifdef ENABLE_INITIAL_REG_
+     136             :     `ifdef FIRRTL_BEFORE_INITIAL
+     137             :       `FIRRTL_BEFORE_INITIAL
+     138             :     `endif // FIRRTL_BEFORE_INITIAL
+     139             :     logic [31:0] _RANDOM[0:0];
+     140          58 :     initial begin
+     141             :       `ifdef INIT_RANDOM_PROLOG_
+     142             :         `INIT_RANDOM_PROLOG_
+     143             :       `endif // INIT_RANDOM_PROLOG_
+     144             :       `ifdef RANDOMIZE_REG_INIT
+     145             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     146             :         s1_tag = _RANDOM[/*Zero width*/ 1'b0][16:8];
+     147             :         s1_bank_req_1h_0 = _RANDOM[/*Zero width*/ 1'b0][17];
+     148             :         s1_bank_req_1h_1 = _RANDOM[/*Zero width*/ 1'b0][18];
+     149             :         s1_bank_has_write_on_this_req_0 = _RANDOM[/*Zero width*/ 1'b0][19];
+     150             :         s1_bank_has_write_on_this_req_1 = _RANDOM[/*Zero width*/ 1'b0][20];
+     151             :       `endif // RANDOMIZE_REG_INIT
+     152             :     end // initial
+     153             :     `ifdef FIRRTL_AFTER_INITIAL
+     154             :       `FIRRTL_AFTER_INITIAL
+     155             :     `endif // FIRRTL_AFTER_INITIAL
+     156             :   `endif // ENABLE_INITIAL_REG_
+     157             :   Folded1WDataModuleTemplate us (
+     158             :     .clock      (clock),
+     159             :     .reset      (reset),
+     160             :     .io_ren_0   (io_req_valid),
+     161             :     .io_raddr_0 (s0_idx),
+     162             :     .io_rdata_0 (_us_io_rdata_0),
+     163             :     .io_wen     (io_update_uValid),
+     164             :     .io_waddr   (update_idx),
+     165             :     .io_wdata   (io_update_u),
+     166             :     .io_resetEn (io_update_reset_u)
+     167             :   );
+     168             :   FoldedSRAMTemplate_21 table_banks_0 (
+     169             :     .clock                       (clock),
+     170             :     .reset                       (reset),
+     171             :     .io_r_req_valid              (io_req_valid & ~(s0_idx[0])),
+     172             :     .io_r_req_bits_setIdx        (s0_idx[7:1]),
+     173             :     .io_r_resp_data_0_valid      (_table_banks_0_io_r_resp_data_0_valid),
+     174             :     .io_r_resp_data_0_tag        (_table_banks_0_io_r_resp_data_0_tag),
+     175             :     .io_r_resp_data_0_ctr        (_table_banks_0_io_r_resp_data_0_ctr),
+     176             :     .io_r_resp_data_0_target     (_table_banks_0_io_r_resp_data_0_target),
+     177             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_0),
+     178             :     .io_w_req_bits_setIdx        (update_idx[7:1]),
+     179             :     .io_w_req_bits_data_0_tag    (update_tag),
+     180             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     181             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     182             :   );
+     183             :   FoldedSRAMTemplate_21 table_banks_1 (
+     184             :     .clock                       (clock),
+     185             :     .reset                       (reset),
+     186             :     .io_r_req_valid              (io_req_valid & s0_idx[0]),
+     187             :     .io_r_req_bits_setIdx        (s0_idx[7:1]),
+     188             :     .io_r_resp_data_0_valid      (_table_banks_1_io_r_resp_data_0_valid),
+     189             :     .io_r_resp_data_0_tag        (_table_banks_1_io_r_resp_data_0_tag),
+     190             :     .io_r_resp_data_0_ctr        (_table_banks_1_io_r_resp_data_0_ctr),
+     191             :     .io_r_resp_data_0_target     (_table_banks_1_io_r_resp_data_0_target),
+     192             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_1),
+     193             :     .io_w_req_bits_setIdx        (update_idx[7:1]),
+     194             :     .io_w_req_bits_data_0_tag    (update_tag),
+     195             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     196             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     197             :   );
+     198             :   WrBypass_41 wrbypass (
+     199             :     .clock              (clock),
+     200             :     .reset              (reset),
+     201             :     .io_wen             (io_update_valid),
+     202             :     .io_write_idx       (update_idx),
+     203             :     .io_write_data_0    (update_wdata_ctr),
+     204             :     .io_hit             (_wrbypass_io_hit),
+     205             :     .io_hit_data_0_bits (_wrbypass_io_hit_data_0_bits)
+     206             :   );
+     207             :   assign io_resp_valid =
+     208             :     (s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_valid | s1_bank_req_1h_1
+     209             :      & _table_banks_1_io_r_resp_data_0_valid)
+     210             :     & ((s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_tag : 9'h0)
+     211             :        | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_tag : 9'h0)) == s1_tag
+     212             :     & ~_resp_invalid_by_write_T_2;
+     213             :   assign io_resp_bits_ctr =
+     214             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 2'h0)
+     215             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 2'h0);
+     216             :   assign io_resp_bits_u = {1'h0, _us_io_rdata_0};
+     217             :   assign io_resp_bits_target =
+     218             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_target : 41'h0)
+     219             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_target : 41'h0);
+     220             : endmodule
+     221             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.func-sort-c.html new file mode 100644 index 0000000..8a8c0d9 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.func.html new file mode 100644 index 0000000..0dd0676 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.gcov.html new file mode 100644 index 0000000..a48f1ea --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_2.sv.gcov.html @@ -0,0 +1,298 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_2.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module ITTageTable_2(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         989 :   input  [8:0]  io_req_bits_folded_hist_hist_13_folded_hist,
+      64         602 :   input  [7:0]  io_req_bits_folded_hist_hist_4_folded_hist,
+      65          20 :   output        io_resp_valid,
+      66          54 :   output [1:0]  io_resp_bits_ctr,
+      67         123 :   output [1:0]  io_resp_bits_u,
+      68        1136 :   output [40:0] io_resp_bits_target,
+      69         598 :   input  [40:0] io_update_pc,
+      70         111 :   input  [8:0]  io_update_folded_hist_hist_13_folded_hist,
+      71         106 :   input  [7:0]  io_update_folded_hist_hist_4_folded_hist,
+      72          27 :   input         io_update_valid,
+      73          13 :   input         io_update_correct,
+      74          16 :   input         io_update_alloc,
+      75          24 :   input  [1:0]  io_update_oldCtr,
+      76          17 :   input         io_update_uValid,
+      77          13 :   input         io_update_u,
+      78          32 :   input         io_update_reset_u,
+      79         620 :   input  [40:0] io_update_target,
+      80         577 :   input  [40:0] io_update_old_target
+      81             : );
+      82             : 
+      83             :   wire        _resp_invalid_by_write_T_2;
+      84             :   wire        _wrbypass_io_hit;
+      85             :   wire [1:0]  _wrbypass_io_hit_data_0_bits;
+      86             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+      87             :   wire [8:0]  _table_banks_1_io_r_resp_data_0_tag;
+      88             :   wire [1:0]  _table_banks_1_io_r_resp_data_0_ctr;
+      89             :   wire [40:0] _table_banks_1_io_r_resp_data_0_target;
+      90             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+      91             :   wire [8:0]  _table_banks_0_io_r_resp_data_0_tag;
+      92             :   wire [1:0]  _table_banks_0_io_r_resp_data_0_ctr;
+      93             :   wire [40:0] _table_banks_0_io_r_resp_data_0_target;
+      94             :   wire        _us_io_rdata_0;
+      95        8574 :   wire [8:0]  s0_idx = io_req_bits_pc[9:1] ^ io_req_bits_folded_hist_hist_13_folded_hist;
+      96         516 :   reg  [8:0]  s1_tag;
+      97          48 :   reg         s1_bank_req_1h_0;
+      98          52 :   reg         s1_bank_req_1h_1;
+      99          34 :   reg         s1_bank_has_write_on_this_req_0;
+     100          28 :   reg         s1_bank_has_write_on_this_req_1;
+     101         126 :   wire [8:0]  update_idx = io_update_pc[9:1] ^ io_update_folded_hist_hist_13_folded_hist;
+     102         121 :   wire [8:0]  update_tag =
+     103             :     io_update_pc[18:10] ^ io_update_folded_hist_hist_13_folded_hist
+     104             :     ^ {io_update_folded_hist_hist_4_folded_hist, 1'h0};
+     105             :   assign _resp_invalid_by_write_T_2 =
+     106             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     107             :     & s1_bank_has_write_on_this_req_1;
+     108             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 = io_update_valid & ~(update_idx[0]);
+     109             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 = io_update_valid & update_idx[0];
+     110          45 :   wire [1:0]  old_ctr =
+     111             :     _wrbypass_io_hit ? _wrbypass_io_hit_data_0_bits : io_update_oldCtr;
+     112          11 :   wire        update_wdata_ctr_oldSatNotTaken = old_ctr == 2'h0;
+     113          31 :   wire [1:0]  update_wdata_ctr =
+     114             :     io_update_alloc
+     115             :       ? 2'h2
+     116             :       : (&old_ctr) & io_update_correct
+     117             :           ? 2'h3
+     118             :           : update_wdata_ctr_oldSatNotTaken & ~io_update_correct
+     119             :               ? 2'h0
+     120             :               : io_update_correct ? 2'(old_ctr + 2'h1) : 2'(old_ctr - 2'h1);
+     121         613 :   wire [40:0] update_wdata_target =
+     122             :     io_update_alloc | update_wdata_ctr_oldSatNotTaken
+     123             :       ? io_update_target
+     124             :       : io_update_old_target;
+     125      127694 :   always @(posedge clock) begin
+     126        8350 :     if (io_req_valid) begin
+     127        4175 :       s1_tag <=
+     128        4175 :         io_req_bits_pc[18:10] ^ io_req_bits_folded_hist_hist_13_folded_hist
+     129        4175 :         ^ {io_req_bits_folded_hist_hist_4_folded_hist, 1'h0};
+     130        4175 :       s1_bank_req_1h_0 <= ~(s0_idx[0]);
+     131        4175 :       s1_bank_req_1h_1 <= s0_idx[0];
+     132        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     133        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     134             :     end
+     135             :   end // always @(posedge)
+     136             :   `ifdef ENABLE_INITIAL_REG_
+     137             :     `ifdef FIRRTL_BEFORE_INITIAL
+     138             :       `FIRRTL_BEFORE_INITIAL
+     139             :     `endif // FIRRTL_BEFORE_INITIAL
+     140             :     logic [31:0] _RANDOM[0:0];
+     141          58 :     initial begin
+     142             :       `ifdef INIT_RANDOM_PROLOG_
+     143             :         `INIT_RANDOM_PROLOG_
+     144             :       `endif // INIT_RANDOM_PROLOG_
+     145             :       `ifdef RANDOMIZE_REG_INIT
+     146             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     147             :         s1_tag = _RANDOM[/*Zero width*/ 1'b0][17:9];
+     148             :         s1_bank_req_1h_0 = _RANDOM[/*Zero width*/ 1'b0][18];
+     149             :         s1_bank_req_1h_1 = _RANDOM[/*Zero width*/ 1'b0][19];
+     150             :         s1_bank_has_write_on_this_req_0 = _RANDOM[/*Zero width*/ 1'b0][20];
+     151             :         s1_bank_has_write_on_this_req_1 = _RANDOM[/*Zero width*/ 1'b0][21];
+     152             :       `endif // RANDOMIZE_REG_INIT
+     153             :     end // initial
+     154             :     `ifdef FIRRTL_AFTER_INITIAL
+     155             :       `FIRRTL_AFTER_INITIAL
+     156             :     `endif // FIRRTL_AFTER_INITIAL
+     157             :   `endif // ENABLE_INITIAL_REG_
+     158             :   Folded1WDataModuleTemplate_2 us (
+     159             :     .clock      (clock),
+     160             :     .reset      (reset),
+     161             :     .io_ren_0   (io_req_valid),
+     162             :     .io_raddr_0 (s0_idx),
+     163             :     .io_rdata_0 (_us_io_rdata_0),
+     164             :     .io_wen     (io_update_uValid),
+     165             :     .io_waddr   (update_idx),
+     166             :     .io_wdata   (io_update_u),
+     167             :     .io_resetEn (io_update_reset_u)
+     168             :   );
+     169             :   FoldedSRAMTemplate_25 table_banks_0 (
+     170             :     .clock                       (clock),
+     171             :     .reset                       (reset),
+     172             :     .io_r_req_valid              (io_req_valid & ~(s0_idx[0])),
+     173             :     .io_r_req_bits_setIdx        (s0_idx[8:1]),
+     174             :     .io_r_resp_data_0_valid      (_table_banks_0_io_r_resp_data_0_valid),
+     175             :     .io_r_resp_data_0_tag        (_table_banks_0_io_r_resp_data_0_tag),
+     176             :     .io_r_resp_data_0_ctr        (_table_banks_0_io_r_resp_data_0_ctr),
+     177             :     .io_r_resp_data_0_target     (_table_banks_0_io_r_resp_data_0_target),
+     178             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_0),
+     179             :     .io_w_req_bits_setIdx        (update_idx[8:1]),
+     180             :     .io_w_req_bits_data_0_tag    (update_tag),
+     181             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     182             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     183             :   );
+     184             :   FoldedSRAMTemplate_25 table_banks_1 (
+     185             :     .clock                       (clock),
+     186             :     .reset                       (reset),
+     187             :     .io_r_req_valid              (io_req_valid & s0_idx[0]),
+     188             :     .io_r_req_bits_setIdx        (s0_idx[8:1]),
+     189             :     .io_r_resp_data_0_valid      (_table_banks_1_io_r_resp_data_0_valid),
+     190             :     .io_r_resp_data_0_tag        (_table_banks_1_io_r_resp_data_0_tag),
+     191             :     .io_r_resp_data_0_ctr        (_table_banks_1_io_r_resp_data_0_ctr),
+     192             :     .io_r_resp_data_0_target     (_table_banks_1_io_r_resp_data_0_target),
+     193             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_1),
+     194             :     .io_w_req_bits_setIdx        (update_idx[8:1]),
+     195             :     .io_w_req_bits_data_0_tag    (update_tag),
+     196             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     197             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     198             :   );
+     199             :   WrBypass_43 wrbypass (
+     200             :     .clock              (clock),
+     201             :     .reset              (reset),
+     202             :     .io_wen             (io_update_valid),
+     203             :     .io_write_idx       (update_idx),
+     204             :     .io_write_data_0    (update_wdata_ctr),
+     205             :     .io_hit             (_wrbypass_io_hit),
+     206             :     .io_hit_data_0_bits (_wrbypass_io_hit_data_0_bits)
+     207             :   );
+     208             :   assign io_resp_valid =
+     209             :     (s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_valid | s1_bank_req_1h_1
+     210             :      & _table_banks_1_io_r_resp_data_0_valid)
+     211             :     & ((s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_tag : 9'h0)
+     212             :        | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_tag : 9'h0)) == s1_tag
+     213             :     & ~_resp_invalid_by_write_T_2;
+     214             :   assign io_resp_bits_ctr =
+     215             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 2'h0)
+     216             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 2'h0);
+     217             :   assign io_resp_bits_u = {1'h0, _us_io_rdata_0};
+     218             :   assign io_resp_bits_target =
+     219             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_target : 41'h0)
+     220             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_target : 41'h0);
+     221             : endmodule
+     222             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.func-sort-c.html new file mode 100644 index 0000000..c9a6a1d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.func.html new file mode 100644 index 0000000..f30600a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.gcov.html new file mode 100644 index 0000000..4af36b8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_3.sv.gcov.html @@ -0,0 +1,298 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_3.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module ITTageTable_3(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         987 :   input  [8:0]  io_req_bits_folded_hist_hist_6_folded_hist,
+      64         811 :   input  [7:0]  io_req_bits_folded_hist_hist_2_folded_hist,
+      65          10 :   output        io_resp_valid,
+      66          53 :   output [1:0]  io_resp_bits_ctr,
+      67         103 :   output [1:0]  io_resp_bits_u,
+      68        1103 :   output [40:0] io_resp_bits_target,
+      69         591 :   input  [40:0] io_update_pc,
+      70         128 :   input  [8:0]  io_update_folded_hist_hist_6_folded_hist,
+      71         111 :   input  [7:0]  io_update_folded_hist_hist_2_folded_hist,
+      72          26 :   input         io_update_valid,
+      73          16 :   input         io_update_correct,
+      74          17 :   input         io_update_alloc,
+      75          28 :   input  [1:0]  io_update_oldCtr,
+      76          15 :   input         io_update_uValid,
+      77          13 :   input         io_update_u,
+      78          29 :   input         io_update_reset_u,
+      79         602 :   input  [40:0] io_update_target,
+      80         599 :   input  [40:0] io_update_old_target
+      81             : );
+      82             : 
+      83             :   wire        _resp_invalid_by_write_T_2;
+      84             :   wire        _wrbypass_io_hit;
+      85             :   wire [1:0]  _wrbypass_io_hit_data_0_bits;
+      86             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+      87             :   wire [8:0]  _table_banks_1_io_r_resp_data_0_tag;
+      88             :   wire [1:0]  _table_banks_1_io_r_resp_data_0_ctr;
+      89             :   wire [40:0] _table_banks_1_io_r_resp_data_0_target;
+      90             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+      91             :   wire [8:0]  _table_banks_0_io_r_resp_data_0_tag;
+      92             :   wire [1:0]  _table_banks_0_io_r_resp_data_0_ctr;
+      93             :   wire [40:0] _table_banks_0_io_r_resp_data_0_target;
+      94             :   wire        _us_io_rdata_0;
+      95        8579 :   wire [8:0]  s0_idx = io_req_bits_pc[9:1] ^ io_req_bits_folded_hist_hist_6_folded_hist;
+      96         550 :   reg  [8:0]  s1_tag;
+      97          49 :   reg         s1_bank_req_1h_0;
+      98          51 :   reg         s1_bank_req_1h_1;
+      99          16 :   reg         s1_bank_has_write_on_this_req_0;
+     100          30 :   reg         s1_bank_has_write_on_this_req_1;
+     101         132 :   wire [8:0]  update_idx = io_update_pc[9:1] ^ io_update_folded_hist_hist_6_folded_hist;
+     102         128 :   wire [8:0]  update_tag =
+     103             :     io_update_pc[18:10] ^ io_update_folded_hist_hist_6_folded_hist
+     104             :     ^ {io_update_folded_hist_hist_2_folded_hist, 1'h0};
+     105             :   assign _resp_invalid_by_write_T_2 =
+     106             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     107             :     & s1_bank_has_write_on_this_req_1;
+     108             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 = io_update_valid & ~(update_idx[0]);
+     109             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 = io_update_valid & update_idx[0];
+     110          52 :   wire [1:0]  old_ctr =
+     111             :     _wrbypass_io_hit ? _wrbypass_io_hit_data_0_bits : io_update_oldCtr;
+     112          21 :   wire        update_wdata_ctr_oldSatNotTaken = old_ctr == 2'h0;
+     113          50 :   wire [1:0]  update_wdata_ctr =
+     114             :     io_update_alloc
+     115             :       ? 2'h2
+     116             :       : (&old_ctr) & io_update_correct
+     117             :           ? 2'h3
+     118             :           : update_wdata_ctr_oldSatNotTaken & ~io_update_correct
+     119             :               ? 2'h0
+     120             :               : io_update_correct ? 2'(old_ctr + 2'h1) : 2'(old_ctr - 2'h1);
+     121         577 :   wire [40:0] update_wdata_target =
+     122             :     io_update_alloc | update_wdata_ctr_oldSatNotTaken
+     123             :       ? io_update_target
+     124             :       : io_update_old_target;
+     125      127694 :   always @(posedge clock) begin
+     126        8350 :     if (io_req_valid) begin
+     127        4175 :       s1_tag <=
+     128        4175 :         io_req_bits_pc[18:10] ^ io_req_bits_folded_hist_hist_6_folded_hist
+     129        4175 :         ^ {io_req_bits_folded_hist_hist_2_folded_hist, 1'h0};
+     130        4175 :       s1_bank_req_1h_0 <= ~(s0_idx[0]);
+     131        4175 :       s1_bank_req_1h_1 <= s0_idx[0];
+     132        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     133        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     134             :     end
+     135             :   end // always @(posedge)
+     136             :   `ifdef ENABLE_INITIAL_REG_
+     137             :     `ifdef FIRRTL_BEFORE_INITIAL
+     138             :       `FIRRTL_BEFORE_INITIAL
+     139             :     `endif // FIRRTL_BEFORE_INITIAL
+     140             :     logic [31:0] _RANDOM[0:0];
+     141          58 :     initial begin
+     142             :       `ifdef INIT_RANDOM_PROLOG_
+     143             :         `INIT_RANDOM_PROLOG_
+     144             :       `endif // INIT_RANDOM_PROLOG_
+     145             :       `ifdef RANDOMIZE_REG_INIT
+     146             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     147             :         s1_tag = _RANDOM[/*Zero width*/ 1'b0][17:9];
+     148             :         s1_bank_req_1h_0 = _RANDOM[/*Zero width*/ 1'b0][18];
+     149             :         s1_bank_req_1h_1 = _RANDOM[/*Zero width*/ 1'b0][19];
+     150             :         s1_bank_has_write_on_this_req_0 = _RANDOM[/*Zero width*/ 1'b0][20];
+     151             :         s1_bank_has_write_on_this_req_1 = _RANDOM[/*Zero width*/ 1'b0][21];
+     152             :       `endif // RANDOMIZE_REG_INIT
+     153             :     end // initial
+     154             :     `ifdef FIRRTL_AFTER_INITIAL
+     155             :       `FIRRTL_AFTER_INITIAL
+     156             :     `endif // FIRRTL_AFTER_INITIAL
+     157             :   `endif // ENABLE_INITIAL_REG_
+     158             :   Folded1WDataModuleTemplate_2 us (
+     159             :     .clock      (clock),
+     160             :     .reset      (reset),
+     161             :     .io_ren_0   (io_req_valid),
+     162             :     .io_raddr_0 (s0_idx),
+     163             :     .io_rdata_0 (_us_io_rdata_0),
+     164             :     .io_wen     (io_update_uValid),
+     165             :     .io_waddr   (update_idx),
+     166             :     .io_wdata   (io_update_u),
+     167             :     .io_resetEn (io_update_reset_u)
+     168             :   );
+     169             :   FoldedSRAMTemplate_25 table_banks_0 (
+     170             :     .clock                       (clock),
+     171             :     .reset                       (reset),
+     172             :     .io_r_req_valid              (io_req_valid & ~(s0_idx[0])),
+     173             :     .io_r_req_bits_setIdx        (s0_idx[8:1]),
+     174             :     .io_r_resp_data_0_valid      (_table_banks_0_io_r_resp_data_0_valid),
+     175             :     .io_r_resp_data_0_tag        (_table_banks_0_io_r_resp_data_0_tag),
+     176             :     .io_r_resp_data_0_ctr        (_table_banks_0_io_r_resp_data_0_ctr),
+     177             :     .io_r_resp_data_0_target     (_table_banks_0_io_r_resp_data_0_target),
+     178             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_0),
+     179             :     .io_w_req_bits_setIdx        (update_idx[8:1]),
+     180             :     .io_w_req_bits_data_0_tag    (update_tag),
+     181             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     182             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     183             :   );
+     184             :   FoldedSRAMTemplate_25 table_banks_1 (
+     185             :     .clock                       (clock),
+     186             :     .reset                       (reset),
+     187             :     .io_r_req_valid              (io_req_valid & s0_idx[0]),
+     188             :     .io_r_req_bits_setIdx        (s0_idx[8:1]),
+     189             :     .io_r_resp_data_0_valid      (_table_banks_1_io_r_resp_data_0_valid),
+     190             :     .io_r_resp_data_0_tag        (_table_banks_1_io_r_resp_data_0_tag),
+     191             :     .io_r_resp_data_0_ctr        (_table_banks_1_io_r_resp_data_0_ctr),
+     192             :     .io_r_resp_data_0_target     (_table_banks_1_io_r_resp_data_0_target),
+     193             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_1),
+     194             :     .io_w_req_bits_setIdx        (update_idx[8:1]),
+     195             :     .io_w_req_bits_data_0_tag    (update_tag),
+     196             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     197             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     198             :   );
+     199             :   WrBypass_43 wrbypass (
+     200             :     .clock              (clock),
+     201             :     .reset              (reset),
+     202             :     .io_wen             (io_update_valid),
+     203             :     .io_write_idx       (update_idx),
+     204             :     .io_write_data_0    (update_wdata_ctr),
+     205             :     .io_hit             (_wrbypass_io_hit),
+     206             :     .io_hit_data_0_bits (_wrbypass_io_hit_data_0_bits)
+     207             :   );
+     208             :   assign io_resp_valid =
+     209             :     (s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_valid | s1_bank_req_1h_1
+     210             :      & _table_banks_1_io_r_resp_data_0_valid)
+     211             :     & ((s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_tag : 9'h0)
+     212             :        | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_tag : 9'h0)) == s1_tag
+     213             :     & ~_resp_invalid_by_write_T_2;
+     214             :   assign io_resp_bits_ctr =
+     215             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 2'h0)
+     216             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 2'h0);
+     217             :   assign io_resp_bits_u = {1'h0, _us_io_rdata_0};
+     218             :   assign io_resp_bits_target =
+     219             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_target : 41'h0)
+     220             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_target : 41'h0);
+     221             : endmodule
+     222             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.func-sort-c.html new file mode 100644 index 0000000..66f381e --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.func.html new file mode 100644 index 0000000..77e7ae3 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.gcov.html new file mode 100644 index 0000000..df92faa --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/ITTageTable_4.sv.gcov.html @@ -0,0 +1,298 @@ + + + + + + + LCOV - merged.info - BPUTop/ITTageTable_4.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - ITTageTable_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module ITTageTable_4(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         998 :   input  [8:0]  io_req_bits_folded_hist_hist_10_folded_hist,
+      64         517 :   input  [7:0]  io_req_bits_folded_hist_hist_3_folded_hist,
+      65          16 :   output        io_resp_valid,
+      66          56 :   output [1:0]  io_resp_bits_ctr,
+      67          52 :   output [1:0]  io_resp_bits_u,
+      68        1123 :   output [40:0] io_resp_bits_target,
+      69         595 :   input  [40:0] io_update_pc,
+      70         127 :   input  [8:0]  io_update_folded_hist_hist_10_folded_hist,
+      71         113 :   input  [7:0]  io_update_folded_hist_hist_3_folded_hist,
+      72          24 :   input         io_update_valid,
+      73          11 :   input         io_update_correct,
+      74          16 :   input         io_update_alloc,
+      75          28 :   input  [1:0]  io_update_oldCtr,
+      76          17 :   input         io_update_uValid,
+      77          20 :   input         io_update_u,
+      78          27 :   input         io_update_reset_u,
+      79         628 :   input  [40:0] io_update_target,
+      80         594 :   input  [40:0] io_update_old_target
+      81             : );
+      82             : 
+      83             :   wire        _resp_invalid_by_write_T_2;
+      84             :   wire        _wrbypass_io_hit;
+      85             :   wire [1:0]  _wrbypass_io_hit_data_0_bits;
+      86             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+      87             :   wire [8:0]  _table_banks_1_io_r_resp_data_0_tag;
+      88             :   wire [1:0]  _table_banks_1_io_r_resp_data_0_ctr;
+      89             :   wire [40:0] _table_banks_1_io_r_resp_data_0_target;
+      90             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+      91             :   wire [8:0]  _table_banks_0_io_r_resp_data_0_tag;
+      92             :   wire [1:0]  _table_banks_0_io_r_resp_data_0_ctr;
+      93             :   wire [40:0] _table_banks_0_io_r_resp_data_0_target;
+      94             :   wire        _us_io_rdata_0;
+      95        8597 :   wire [8:0]  s0_idx = io_req_bits_pc[9:1] ^ io_req_bits_folded_hist_hist_10_folded_hist;
+      96         534 :   reg  [8:0]  s1_tag;
+      97          41 :   reg         s1_bank_req_1h_0;
+      98          50 :   reg         s1_bank_req_1h_1;
+      99          31 :   reg         s1_bank_has_write_on_this_req_0;
+     100          29 :   reg         s1_bank_has_write_on_this_req_1;
+     101         125 :   wire [8:0]  update_idx = io_update_pc[9:1] ^ io_update_folded_hist_hist_10_folded_hist;
+     102         122 :   wire [8:0]  update_tag =
+     103             :     io_update_pc[18:10] ^ io_update_folded_hist_hist_10_folded_hist
+     104             :     ^ {io_update_folded_hist_hist_3_folded_hist, 1'h0};
+     105             :   assign _resp_invalid_by_write_T_2 =
+     106             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     107             :     & s1_bank_has_write_on_this_req_1;
+     108             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 = io_update_valid & ~(update_idx[0]);
+     109             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 = io_update_valid & update_idx[0];
+     110          38 :   wire [1:0]  old_ctr =
+     111             :     _wrbypass_io_hit ? _wrbypass_io_hit_data_0_bits : io_update_oldCtr;
+     112          18 :   wire        update_wdata_ctr_oldSatNotTaken = old_ctr == 2'h0;
+     113          33 :   wire [1:0]  update_wdata_ctr =
+     114             :     io_update_alloc
+     115             :       ? 2'h2
+     116             :       : (&old_ctr) & io_update_correct
+     117             :           ? 2'h3
+     118             :           : update_wdata_ctr_oldSatNotTaken & ~io_update_correct
+     119             :               ? 2'h0
+     120             :               : io_update_correct ? 2'(old_ctr + 2'h1) : 2'(old_ctr - 2'h1);
+     121         607 :   wire [40:0] update_wdata_target =
+     122             :     io_update_alloc | update_wdata_ctr_oldSatNotTaken
+     123             :       ? io_update_target
+     124             :       : io_update_old_target;
+     125      127694 :   always @(posedge clock) begin
+     126        8350 :     if (io_req_valid) begin
+     127        4175 :       s1_tag <=
+     128        4175 :         io_req_bits_pc[18:10] ^ io_req_bits_folded_hist_hist_10_folded_hist
+     129        4175 :         ^ {io_req_bits_folded_hist_hist_3_folded_hist, 1'h0};
+     130        4175 :       s1_bank_req_1h_0 <= ~(s0_idx[0]);
+     131        4175 :       s1_bank_req_1h_1 <= s0_idx[0];
+     132        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     133        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     134             :     end
+     135             :   end // always @(posedge)
+     136             :   `ifdef ENABLE_INITIAL_REG_
+     137             :     `ifdef FIRRTL_BEFORE_INITIAL
+     138             :       `FIRRTL_BEFORE_INITIAL
+     139             :     `endif // FIRRTL_BEFORE_INITIAL
+     140             :     logic [31:0] _RANDOM[0:0];
+     141          58 :     initial begin
+     142             :       `ifdef INIT_RANDOM_PROLOG_
+     143             :         `INIT_RANDOM_PROLOG_
+     144             :       `endif // INIT_RANDOM_PROLOG_
+     145             :       `ifdef RANDOMIZE_REG_INIT
+     146             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     147             :         s1_tag = _RANDOM[/*Zero width*/ 1'b0][17:9];
+     148             :         s1_bank_req_1h_0 = _RANDOM[/*Zero width*/ 1'b0][18];
+     149             :         s1_bank_req_1h_1 = _RANDOM[/*Zero width*/ 1'b0][19];
+     150             :         s1_bank_has_write_on_this_req_0 = _RANDOM[/*Zero width*/ 1'b0][20];
+     151             :         s1_bank_has_write_on_this_req_1 = _RANDOM[/*Zero width*/ 1'b0][21];
+     152             :       `endif // RANDOMIZE_REG_INIT
+     153             :     end // initial
+     154             :     `ifdef FIRRTL_AFTER_INITIAL
+     155             :       `FIRRTL_AFTER_INITIAL
+     156             :     `endif // FIRRTL_AFTER_INITIAL
+     157             :   `endif // ENABLE_INITIAL_REG_
+     158             :   Folded1WDataModuleTemplate_2 us (
+     159             :     .clock      (clock),
+     160             :     .reset      (reset),
+     161             :     .io_ren_0   (io_req_valid),
+     162             :     .io_raddr_0 (s0_idx),
+     163             :     .io_rdata_0 (_us_io_rdata_0),
+     164             :     .io_wen     (io_update_uValid),
+     165             :     .io_waddr   (update_idx),
+     166             :     .io_wdata   (io_update_u),
+     167             :     .io_resetEn (io_update_reset_u)
+     168             :   );
+     169             :   FoldedSRAMTemplate_25 table_banks_0 (
+     170             :     .clock                       (clock),
+     171             :     .reset                       (reset),
+     172             :     .io_r_req_valid              (io_req_valid & ~(s0_idx[0])),
+     173             :     .io_r_req_bits_setIdx        (s0_idx[8:1]),
+     174             :     .io_r_resp_data_0_valid      (_table_banks_0_io_r_resp_data_0_valid),
+     175             :     .io_r_resp_data_0_tag        (_table_banks_0_io_r_resp_data_0_tag),
+     176             :     .io_r_resp_data_0_ctr        (_table_banks_0_io_r_resp_data_0_ctr),
+     177             :     .io_r_resp_data_0_target     (_table_banks_0_io_r_resp_data_0_target),
+     178             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_0),
+     179             :     .io_w_req_bits_setIdx        (update_idx[8:1]),
+     180             :     .io_w_req_bits_data_0_tag    (update_tag),
+     181             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     182             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     183             :   );
+     184             :   FoldedSRAMTemplate_25 table_banks_1 (
+     185             :     .clock                       (clock),
+     186             :     .reset                       (reset),
+     187             :     .io_r_req_valid              (io_req_valid & s0_idx[0]),
+     188             :     .io_r_req_bits_setIdx        (s0_idx[8:1]),
+     189             :     .io_r_resp_data_0_valid      (_table_banks_1_io_r_resp_data_0_valid),
+     190             :     .io_r_resp_data_0_tag        (_table_banks_1_io_r_resp_data_0_tag),
+     191             :     .io_r_resp_data_0_ctr        (_table_banks_1_io_r_resp_data_0_ctr),
+     192             :     .io_r_resp_data_0_target     (_table_banks_1_io_r_resp_data_0_target),
+     193             :     .io_w_req_valid              (_s1_bank_has_write_on_this_req_WIRE_1),
+     194             :     .io_w_req_bits_setIdx        (update_idx[8:1]),
+     195             :     .io_w_req_bits_data_0_tag    (update_tag),
+     196             :     .io_w_req_bits_data_0_ctr    (update_wdata_ctr),
+     197             :     .io_w_req_bits_data_0_target (update_wdata_target)
+     198             :   );
+     199             :   WrBypass_43 wrbypass (
+     200             :     .clock              (clock),
+     201             :     .reset              (reset),
+     202             :     .io_wen             (io_update_valid),
+     203             :     .io_write_idx       (update_idx),
+     204             :     .io_write_data_0    (update_wdata_ctr),
+     205             :     .io_hit             (_wrbypass_io_hit),
+     206             :     .io_hit_data_0_bits (_wrbypass_io_hit_data_0_bits)
+     207             :   );
+     208             :   assign io_resp_valid =
+     209             :     (s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_valid | s1_bank_req_1h_1
+     210             :      & _table_banks_1_io_r_resp_data_0_valid)
+     211             :     & ((s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_tag : 9'h0)
+     212             :        | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_tag : 9'h0)) == s1_tag
+     213             :     & ~_resp_invalid_by_write_T_2;
+     214             :   assign io_resp_bits_ctr =
+     215             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 2'h0)
+     216             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 2'h0);
+     217             :   assign io_resp_bits_u = {1'h0, _us_io_rdata_0};
+     218             :   assign io_resp_bits_target =
+     219             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_target : 41'h0)
+     220             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_target : 41'h0);
+     221             : endmodule
+     222             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.func-sort-c.html new file mode 100644 index 0000000..ae34f0f --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1010100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.func.html new file mode 100644 index 0000000..3e58a42 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1010100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.gcov.html new file mode 100644 index 0000000..85e54c4 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule.sv.gcov.html @@ -0,0 +1,156 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1010100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module PriorityMuxModule(
+      59          69 :   input         s2_target_sel,
+      60       38209 :   input  [40:0] s2_target_src,
+      61         523 :   input         s1_target_sel,
+      62       39759 :   input  [40:0] s1_target_src,
+      63          87 :   input         s3_target_sel,
+      64       38523 :   input  [40:0] s3_target_src,
+      65          84 :   input         redirect_target_sel,
+      66        1178 :   input  [40:0] redirect_target_src,
+      67       10063 :   input  [40:0] stallPC_src,
+      68       35066 :   output [40:0] out_res
+      69             : );
+      70             : 
+      71             :   assign out_res =
+      72             :     s2_target_sel
+      73             :       ? s2_target_src
+      74             :       : s1_target_sel
+      75             :           ? s1_target_src
+      76             :           : s3_target_sel
+      77             :               ? s3_target_src
+      78             :               : redirect_target_sel ? redirect_target_src : stallPC_src;
+      79             : endmodule
+      80             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.func-sort-c.html new file mode 100644 index 0000000..e3832b4 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_12.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_12.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:148148100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.func.html new file mode 100644 index 0000000..10f23e1 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_12.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_12.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:148148100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.gcov.html new file mode 100644 index 0000000..78dced9 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_12.sv.gcov.html @@ -0,0 +1,526 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_12.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_12.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:148148100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module PriorityMuxModule_12(
+      59          53 :   input  s2_AFHOB_sel,
+      60          82 :   input  s2_AFHOB_src_afhob_5_bits_0,
+      61          71 :   input  s2_AFHOB_src_afhob_5_bits_1,
+      62          64 :   input  s2_AFHOB_src_afhob_5_bits_2,
+      63          74 :   input  s2_AFHOB_src_afhob_5_bits_3,
+      64          23 :   input  s2_AFHOB_src_afhob_4_bits_0,
+      65          26 :   input  s2_AFHOB_src_afhob_4_bits_1,
+      66          73 :   input  s2_AFHOB_src_afhob_4_bits_2,
+      67          75 :   input  s2_AFHOB_src_afhob_4_bits_3,
+      68          77 :   input  s2_AFHOB_src_afhob_3_bits_0,
+      69          77 :   input  s2_AFHOB_src_afhob_3_bits_1,
+      70          70 :   input  s2_AFHOB_src_afhob_3_bits_2,
+      71          29 :   input  s2_AFHOB_src_afhob_3_bits_3,
+      72          90 :   input  s2_AFHOB_src_afhob_2_bits_0,
+      73          73 :   input  s2_AFHOB_src_afhob_2_bits_1,
+      74          70 :   input  s2_AFHOB_src_afhob_2_bits_2,
+      75          77 :   input  s2_AFHOB_src_afhob_2_bits_3,
+      76          29 :   input  s2_AFHOB_src_afhob_1_bits_0,
+      77          70 :   input  s2_AFHOB_src_afhob_1_bits_1,
+      78          63 :   input  s2_AFHOB_src_afhob_1_bits_2,
+      79          23 :   input  s2_AFHOB_src_afhob_1_bits_3,
+      80          23 :   input  s2_AFHOB_src_afhob_0_bits_0,
+      81          66 :   input  s2_AFHOB_src_afhob_0_bits_1,
+      82          23 :   input  s2_AFHOB_src_afhob_0_bits_2,
+      83          26 :   input  s2_AFHOB_src_afhob_0_bits_3,
+      84         382 :   input  s1_AFHOB_sel,
+      85          65 :   input  s1_AFHOB_src_afhob_5_bits_0,
+      86          69 :   input  s1_AFHOB_src_afhob_5_bits_1,
+      87          59 :   input  s1_AFHOB_src_afhob_5_bits_2,
+      88          81 :   input  s1_AFHOB_src_afhob_5_bits_3,
+      89          18 :   input  s1_AFHOB_src_afhob_4_bits_0,
+      90          22 :   input  s1_AFHOB_src_afhob_4_bits_1,
+      91          83 :   input  s1_AFHOB_src_afhob_4_bits_2,
+      92          67 :   input  s1_AFHOB_src_afhob_4_bits_3,
+      93          63 :   input  s1_AFHOB_src_afhob_3_bits_0,
+      94          75 :   input  s1_AFHOB_src_afhob_3_bits_1,
+      95          63 :   input  s1_AFHOB_src_afhob_3_bits_2,
+      96          21 :   input  s1_AFHOB_src_afhob_3_bits_3,
+      97          67 :   input  s1_AFHOB_src_afhob_2_bits_0,
+      98          69 :   input  s1_AFHOB_src_afhob_2_bits_1,
+      99          67 :   input  s1_AFHOB_src_afhob_2_bits_2,
+     100          57 :   input  s1_AFHOB_src_afhob_2_bits_3,
+     101          21 :   input  s1_AFHOB_src_afhob_1_bits_0,
+     102          69 :   input  s1_AFHOB_src_afhob_1_bits_1,
+     103          74 :   input  s1_AFHOB_src_afhob_1_bits_2,
+     104          21 :   input  s1_AFHOB_src_afhob_1_bits_3,
+     105          21 :   input  s1_AFHOB_src_afhob_0_bits_0,
+     106          74 :   input  s1_AFHOB_src_afhob_0_bits_1,
+     107          18 :   input  s1_AFHOB_src_afhob_0_bits_2,
+     108          22 :   input  s1_AFHOB_src_afhob_0_bits_3,
+     109          56 :   input  s3_AFHOB_sel,
+     110          83 :   input  s3_AFHOB_src_afhob_5_bits_0,
+     111          75 :   input  s3_AFHOB_src_afhob_5_bits_1,
+     112          75 :   input  s3_AFHOB_src_afhob_5_bits_2,
+     113          80 :   input  s3_AFHOB_src_afhob_5_bits_3,
+     114          21 :   input  s3_AFHOB_src_afhob_4_bits_0,
+     115          22 :   input  s3_AFHOB_src_afhob_4_bits_1,
+     116          82 :   input  s3_AFHOB_src_afhob_4_bits_2,
+     117          76 :   input  s3_AFHOB_src_afhob_4_bits_3,
+     118          76 :   input  s3_AFHOB_src_afhob_3_bits_0,
+     119          72 :   input  s3_AFHOB_src_afhob_3_bits_1,
+     120          86 :   input  s3_AFHOB_src_afhob_3_bits_2,
+     121          30 :   input  s3_AFHOB_src_afhob_3_bits_3,
+     122          73 :   input  s3_AFHOB_src_afhob_2_bits_0,
+     123          89 :   input  s3_AFHOB_src_afhob_2_bits_1,
+     124          79 :   input  s3_AFHOB_src_afhob_2_bits_2,
+     125          83 :   input  s3_AFHOB_src_afhob_2_bits_3,
+     126          30 :   input  s3_AFHOB_src_afhob_1_bits_0,
+     127          89 :   input  s3_AFHOB_src_afhob_1_bits_1,
+     128          76 :   input  s3_AFHOB_src_afhob_1_bits_2,
+     129          25 :   input  s3_AFHOB_src_afhob_1_bits_3,
+     130          25 :   input  s3_AFHOB_src_afhob_0_bits_0,
+     131          73 :   input  s3_AFHOB_src_afhob_0_bits_1,
+     132          21 :   input  s3_AFHOB_src_afhob_0_bits_2,
+     133          22 :   input  s3_AFHOB_src_afhob_0_bits_3,
+     134          84 :   input  redirect_AFHOB_sel,
+     135          81 :   input  redirect_AFHOB_src_afhob_5_bits_0,
+     136          93 :   input  redirect_AFHOB_src_afhob_5_bits_1,
+     137          88 :   input  redirect_AFHOB_src_afhob_5_bits_2,
+     138          78 :   input  redirect_AFHOB_src_afhob_5_bits_3,
+     139          21 :   input  redirect_AFHOB_src_afhob_4_bits_0,
+     140          27 :   input  redirect_AFHOB_src_afhob_4_bits_1,
+     141          87 :   input  redirect_AFHOB_src_afhob_4_bits_2,
+     142          63 :   input  redirect_AFHOB_src_afhob_4_bits_3,
+     143          91 :   input  redirect_AFHOB_src_afhob_3_bits_0,
+     144          72 :   input  redirect_AFHOB_src_afhob_3_bits_1,
+     145          80 :   input  redirect_AFHOB_src_afhob_3_bits_2,
+     146          28 :   input  redirect_AFHOB_src_afhob_3_bits_3,
+     147          75 :   input  redirect_AFHOB_src_afhob_2_bits_0,
+     148          81 :   input  redirect_AFHOB_src_afhob_2_bits_1,
+     149          78 :   input  redirect_AFHOB_src_afhob_2_bits_2,
+     150          80 :   input  redirect_AFHOB_src_afhob_2_bits_3,
+     151          28 :   input  redirect_AFHOB_src_afhob_1_bits_0,
+     152          91 :   input  redirect_AFHOB_src_afhob_1_bits_1,
+     153          71 :   input  redirect_AFHOB_src_afhob_1_bits_2,
+     154          23 :   input  redirect_AFHOB_src_afhob_1_bits_3,
+     155          23 :   input  redirect_AFHOB_src_afhob_0_bits_0,
+     156          96 :   input  redirect_AFHOB_src_afhob_0_bits_1,
+     157          21 :   input  redirect_AFHOB_src_afhob_0_bits_2,
+     158          27 :   input  redirect_AFHOB_src_afhob_0_bits_3,
+     159          36 :   input  stallAFHOB_src_afhob_5_bits_0,
+     160          33 :   input  stallAFHOB_src_afhob_5_bits_1,
+     161          25 :   input  stallAFHOB_src_afhob_5_bits_2,
+     162          35 :   input  stallAFHOB_src_afhob_5_bits_3,
+     163          30 :   input  stallAFHOB_src_afhob_4_bits_0,
+     164          35 :   input  stallAFHOB_src_afhob_4_bits_1,
+     165          32 :   input  stallAFHOB_src_afhob_4_bits_2,
+     166          31 :   input  stallAFHOB_src_afhob_4_bits_3,
+     167          23 :   input  stallAFHOB_src_afhob_3_bits_0,
+     168          24 :   input  stallAFHOB_src_afhob_3_bits_1,
+     169          33 :   input  stallAFHOB_src_afhob_3_bits_2,
+     170          31 :   input  stallAFHOB_src_afhob_3_bits_3,
+     171          28 :   input  stallAFHOB_src_afhob_2_bits_0,
+     172          31 :   input  stallAFHOB_src_afhob_2_bits_1,
+     173          26 :   input  stallAFHOB_src_afhob_2_bits_2,
+     174          24 :   input  stallAFHOB_src_afhob_2_bits_3,
+     175          24 :   input  stallAFHOB_src_afhob_1_bits_0,
+     176          28 :   input  stallAFHOB_src_afhob_1_bits_1,
+     177          34 :   input  stallAFHOB_src_afhob_1_bits_2,
+     178          32 :   input  stallAFHOB_src_afhob_1_bits_3,
+     179          32 :   input  stallAFHOB_src_afhob_0_bits_0,
+     180          32 :   input  stallAFHOB_src_afhob_0_bits_1,
+     181          33 :   input  stallAFHOB_src_afhob_0_bits_2,
+     182          29 :   input  stallAFHOB_src_afhob_0_bits_3,
+     183          88 :   output out_res_afhob_5_bits_0,
+     184          98 :   output out_res_afhob_5_bits_1,
+     185          77 :   output out_res_afhob_5_bits_2,
+     186          87 :   output out_res_afhob_5_bits_3,
+     187          73 :   output out_res_afhob_4_bits_0,
+     188          79 :   output out_res_afhob_4_bits_1,
+     189          95 :   output out_res_afhob_4_bits_2,
+     190          73 :   output out_res_afhob_4_bits_3,
+     191         103 :   output out_res_afhob_3_bits_0,
+     192          74 :   output out_res_afhob_3_bits_1,
+     193          95 :   output out_res_afhob_3_bits_2,
+     194          88 :   output out_res_afhob_3_bits_3,
+     195          81 :   output out_res_afhob_2_bits_0,
+     196          89 :   output out_res_afhob_2_bits_1,
+     197          81 :   output out_res_afhob_2_bits_2,
+     198          77 :   output out_res_afhob_2_bits_3,
+     199          73 :   output out_res_afhob_1_bits_0,
+     200          83 :   output out_res_afhob_1_bits_1,
+     201          80 :   output out_res_afhob_1_bits_2,
+     202          67 :   output out_res_afhob_1_bits_3,
+     203          68 :   output out_res_afhob_0_bits_0,
+     204          87 :   output out_res_afhob_0_bits_1,
+     205          75 :   output out_res_afhob_0_bits_2,
+     206          80 :   output out_res_afhob_0_bits_3
+     207             : );
+     208             : 
+     209             :   assign out_res_afhob_5_bits_0 =
+     210             :     s2_AFHOB_sel
+     211             :       ? s2_AFHOB_src_afhob_5_bits_0
+     212             :       : s1_AFHOB_sel
+     213             :           ? s1_AFHOB_src_afhob_5_bits_0
+     214             :           : s3_AFHOB_sel
+     215             :               ? s3_AFHOB_src_afhob_5_bits_0
+     216             :               : redirect_AFHOB_sel
+     217             :                   ? redirect_AFHOB_src_afhob_5_bits_0
+     218             :                   : stallAFHOB_src_afhob_5_bits_0;
+     219             :   assign out_res_afhob_5_bits_1 =
+     220             :     s2_AFHOB_sel
+     221             :       ? s2_AFHOB_src_afhob_5_bits_1
+     222             :       : s1_AFHOB_sel
+     223             :           ? s1_AFHOB_src_afhob_5_bits_1
+     224             :           : s3_AFHOB_sel
+     225             :               ? s3_AFHOB_src_afhob_5_bits_1
+     226             :               : redirect_AFHOB_sel
+     227             :                   ? redirect_AFHOB_src_afhob_5_bits_1
+     228             :                   : stallAFHOB_src_afhob_5_bits_1;
+     229             :   assign out_res_afhob_5_bits_2 =
+     230             :     s2_AFHOB_sel
+     231             :       ? s2_AFHOB_src_afhob_5_bits_2
+     232             :       : s1_AFHOB_sel
+     233             :           ? s1_AFHOB_src_afhob_5_bits_2
+     234             :           : s3_AFHOB_sel
+     235             :               ? s3_AFHOB_src_afhob_5_bits_2
+     236             :               : redirect_AFHOB_sel
+     237             :                   ? redirect_AFHOB_src_afhob_5_bits_2
+     238             :                   : stallAFHOB_src_afhob_5_bits_2;
+     239             :   assign out_res_afhob_5_bits_3 =
+     240             :     s2_AFHOB_sel
+     241             :       ? s2_AFHOB_src_afhob_5_bits_3
+     242             :       : s1_AFHOB_sel
+     243             :           ? s1_AFHOB_src_afhob_5_bits_3
+     244             :           : s3_AFHOB_sel
+     245             :               ? s3_AFHOB_src_afhob_5_bits_3
+     246             :               : redirect_AFHOB_sel
+     247             :                   ? redirect_AFHOB_src_afhob_5_bits_3
+     248             :                   : stallAFHOB_src_afhob_5_bits_3;
+     249             :   assign out_res_afhob_4_bits_0 =
+     250             :     s2_AFHOB_sel
+     251             :       ? s2_AFHOB_src_afhob_4_bits_0
+     252             :       : s1_AFHOB_sel
+     253             :           ? s1_AFHOB_src_afhob_4_bits_0
+     254             :           : s3_AFHOB_sel
+     255             :               ? s3_AFHOB_src_afhob_4_bits_0
+     256             :               : redirect_AFHOB_sel
+     257             :                   ? redirect_AFHOB_src_afhob_4_bits_0
+     258             :                   : stallAFHOB_src_afhob_4_bits_0;
+     259             :   assign out_res_afhob_4_bits_1 =
+     260             :     s2_AFHOB_sel
+     261             :       ? s2_AFHOB_src_afhob_4_bits_1
+     262             :       : s1_AFHOB_sel
+     263             :           ? s1_AFHOB_src_afhob_4_bits_1
+     264             :           : s3_AFHOB_sel
+     265             :               ? s3_AFHOB_src_afhob_4_bits_1
+     266             :               : redirect_AFHOB_sel
+     267             :                   ? redirect_AFHOB_src_afhob_4_bits_1
+     268             :                   : stallAFHOB_src_afhob_4_bits_1;
+     269             :   assign out_res_afhob_4_bits_2 =
+     270             :     s2_AFHOB_sel
+     271             :       ? s2_AFHOB_src_afhob_4_bits_2
+     272             :       : s1_AFHOB_sel
+     273             :           ? s1_AFHOB_src_afhob_4_bits_2
+     274             :           : s3_AFHOB_sel
+     275             :               ? s3_AFHOB_src_afhob_4_bits_2
+     276             :               : redirect_AFHOB_sel
+     277             :                   ? redirect_AFHOB_src_afhob_4_bits_2
+     278             :                   : stallAFHOB_src_afhob_4_bits_2;
+     279             :   assign out_res_afhob_4_bits_3 =
+     280             :     s2_AFHOB_sel
+     281             :       ? s2_AFHOB_src_afhob_4_bits_3
+     282             :       : s1_AFHOB_sel
+     283             :           ? s1_AFHOB_src_afhob_4_bits_3
+     284             :           : s3_AFHOB_sel
+     285             :               ? s3_AFHOB_src_afhob_4_bits_3
+     286             :               : redirect_AFHOB_sel
+     287             :                   ? redirect_AFHOB_src_afhob_4_bits_3
+     288             :                   : stallAFHOB_src_afhob_4_bits_3;
+     289             :   assign out_res_afhob_3_bits_0 =
+     290             :     s2_AFHOB_sel
+     291             :       ? s2_AFHOB_src_afhob_3_bits_0
+     292             :       : s1_AFHOB_sel
+     293             :           ? s1_AFHOB_src_afhob_3_bits_0
+     294             :           : s3_AFHOB_sel
+     295             :               ? s3_AFHOB_src_afhob_3_bits_0
+     296             :               : redirect_AFHOB_sel
+     297             :                   ? redirect_AFHOB_src_afhob_3_bits_0
+     298             :                   : stallAFHOB_src_afhob_3_bits_0;
+     299             :   assign out_res_afhob_3_bits_1 =
+     300             :     s2_AFHOB_sel
+     301             :       ? s2_AFHOB_src_afhob_3_bits_1
+     302             :       : s1_AFHOB_sel
+     303             :           ? s1_AFHOB_src_afhob_3_bits_1
+     304             :           : s3_AFHOB_sel
+     305             :               ? s3_AFHOB_src_afhob_3_bits_1
+     306             :               : redirect_AFHOB_sel
+     307             :                   ? redirect_AFHOB_src_afhob_3_bits_1
+     308             :                   : stallAFHOB_src_afhob_3_bits_1;
+     309             :   assign out_res_afhob_3_bits_2 =
+     310             :     s2_AFHOB_sel
+     311             :       ? s2_AFHOB_src_afhob_3_bits_2
+     312             :       : s1_AFHOB_sel
+     313             :           ? s1_AFHOB_src_afhob_3_bits_2
+     314             :           : s3_AFHOB_sel
+     315             :               ? s3_AFHOB_src_afhob_3_bits_2
+     316             :               : redirect_AFHOB_sel
+     317             :                   ? redirect_AFHOB_src_afhob_3_bits_2
+     318             :                   : stallAFHOB_src_afhob_3_bits_2;
+     319             :   assign out_res_afhob_3_bits_3 =
+     320             :     s2_AFHOB_sel
+     321             :       ? s2_AFHOB_src_afhob_3_bits_3
+     322             :       : s1_AFHOB_sel
+     323             :           ? s1_AFHOB_src_afhob_3_bits_3
+     324             :           : s3_AFHOB_sel
+     325             :               ? s3_AFHOB_src_afhob_3_bits_3
+     326             :               : redirect_AFHOB_sel
+     327             :                   ? redirect_AFHOB_src_afhob_3_bits_3
+     328             :                   : stallAFHOB_src_afhob_3_bits_3;
+     329             :   assign out_res_afhob_2_bits_0 =
+     330             :     s2_AFHOB_sel
+     331             :       ? s2_AFHOB_src_afhob_2_bits_0
+     332             :       : s1_AFHOB_sel
+     333             :           ? s1_AFHOB_src_afhob_2_bits_0
+     334             :           : s3_AFHOB_sel
+     335             :               ? s3_AFHOB_src_afhob_2_bits_0
+     336             :               : redirect_AFHOB_sel
+     337             :                   ? redirect_AFHOB_src_afhob_2_bits_0
+     338             :                   : stallAFHOB_src_afhob_2_bits_0;
+     339             :   assign out_res_afhob_2_bits_1 =
+     340             :     s2_AFHOB_sel
+     341             :       ? s2_AFHOB_src_afhob_2_bits_1
+     342             :       : s1_AFHOB_sel
+     343             :           ? s1_AFHOB_src_afhob_2_bits_1
+     344             :           : s3_AFHOB_sel
+     345             :               ? s3_AFHOB_src_afhob_2_bits_1
+     346             :               : redirect_AFHOB_sel
+     347             :                   ? redirect_AFHOB_src_afhob_2_bits_1
+     348             :                   : stallAFHOB_src_afhob_2_bits_1;
+     349             :   assign out_res_afhob_2_bits_2 =
+     350             :     s2_AFHOB_sel
+     351             :       ? s2_AFHOB_src_afhob_2_bits_2
+     352             :       : s1_AFHOB_sel
+     353             :           ? s1_AFHOB_src_afhob_2_bits_2
+     354             :           : s3_AFHOB_sel
+     355             :               ? s3_AFHOB_src_afhob_2_bits_2
+     356             :               : redirect_AFHOB_sel
+     357             :                   ? redirect_AFHOB_src_afhob_2_bits_2
+     358             :                   : stallAFHOB_src_afhob_2_bits_2;
+     359             :   assign out_res_afhob_2_bits_3 =
+     360             :     s2_AFHOB_sel
+     361             :       ? s2_AFHOB_src_afhob_2_bits_3
+     362             :       : s1_AFHOB_sel
+     363             :           ? s1_AFHOB_src_afhob_2_bits_3
+     364             :           : s3_AFHOB_sel
+     365             :               ? s3_AFHOB_src_afhob_2_bits_3
+     366             :               : redirect_AFHOB_sel
+     367             :                   ? redirect_AFHOB_src_afhob_2_bits_3
+     368             :                   : stallAFHOB_src_afhob_2_bits_3;
+     369             :   assign out_res_afhob_1_bits_0 =
+     370             :     s2_AFHOB_sel
+     371             :       ? s2_AFHOB_src_afhob_1_bits_0
+     372             :       : s1_AFHOB_sel
+     373             :           ? s1_AFHOB_src_afhob_1_bits_0
+     374             :           : s3_AFHOB_sel
+     375             :               ? s3_AFHOB_src_afhob_1_bits_0
+     376             :               : redirect_AFHOB_sel
+     377             :                   ? redirect_AFHOB_src_afhob_1_bits_0
+     378             :                   : stallAFHOB_src_afhob_1_bits_0;
+     379             :   assign out_res_afhob_1_bits_1 =
+     380             :     s2_AFHOB_sel
+     381             :       ? s2_AFHOB_src_afhob_1_bits_1
+     382             :       : s1_AFHOB_sel
+     383             :           ? s1_AFHOB_src_afhob_1_bits_1
+     384             :           : s3_AFHOB_sel
+     385             :               ? s3_AFHOB_src_afhob_1_bits_1
+     386             :               : redirect_AFHOB_sel
+     387             :                   ? redirect_AFHOB_src_afhob_1_bits_1
+     388             :                   : stallAFHOB_src_afhob_1_bits_1;
+     389             :   assign out_res_afhob_1_bits_2 =
+     390             :     s2_AFHOB_sel
+     391             :       ? s2_AFHOB_src_afhob_1_bits_2
+     392             :       : s1_AFHOB_sel
+     393             :           ? s1_AFHOB_src_afhob_1_bits_2
+     394             :           : s3_AFHOB_sel
+     395             :               ? s3_AFHOB_src_afhob_1_bits_2
+     396             :               : redirect_AFHOB_sel
+     397             :                   ? redirect_AFHOB_src_afhob_1_bits_2
+     398             :                   : stallAFHOB_src_afhob_1_bits_2;
+     399             :   assign out_res_afhob_1_bits_3 =
+     400             :     s2_AFHOB_sel
+     401             :       ? s2_AFHOB_src_afhob_1_bits_3
+     402             :       : s1_AFHOB_sel
+     403             :           ? s1_AFHOB_src_afhob_1_bits_3
+     404             :           : s3_AFHOB_sel
+     405             :               ? s3_AFHOB_src_afhob_1_bits_3
+     406             :               : redirect_AFHOB_sel
+     407             :                   ? redirect_AFHOB_src_afhob_1_bits_3
+     408             :                   : stallAFHOB_src_afhob_1_bits_3;
+     409             :   assign out_res_afhob_0_bits_0 =
+     410             :     s2_AFHOB_sel
+     411             :       ? s2_AFHOB_src_afhob_0_bits_0
+     412             :       : s1_AFHOB_sel
+     413             :           ? s1_AFHOB_src_afhob_0_bits_0
+     414             :           : s3_AFHOB_sel
+     415             :               ? s3_AFHOB_src_afhob_0_bits_0
+     416             :               : redirect_AFHOB_sel
+     417             :                   ? redirect_AFHOB_src_afhob_0_bits_0
+     418             :                   : stallAFHOB_src_afhob_0_bits_0;
+     419             :   assign out_res_afhob_0_bits_1 =
+     420             :     s2_AFHOB_sel
+     421             :       ? s2_AFHOB_src_afhob_0_bits_1
+     422             :       : s1_AFHOB_sel
+     423             :           ? s1_AFHOB_src_afhob_0_bits_1
+     424             :           : s3_AFHOB_sel
+     425             :               ? s3_AFHOB_src_afhob_0_bits_1
+     426             :               : redirect_AFHOB_sel
+     427             :                   ? redirect_AFHOB_src_afhob_0_bits_1
+     428             :                   : stallAFHOB_src_afhob_0_bits_1;
+     429             :   assign out_res_afhob_0_bits_2 =
+     430             :     s2_AFHOB_sel
+     431             :       ? s2_AFHOB_src_afhob_0_bits_2
+     432             :       : s1_AFHOB_sel
+     433             :           ? s1_AFHOB_src_afhob_0_bits_2
+     434             :           : s3_AFHOB_sel
+     435             :               ? s3_AFHOB_src_afhob_0_bits_2
+     436             :               : redirect_AFHOB_sel
+     437             :                   ? redirect_AFHOB_src_afhob_0_bits_2
+     438             :                   : stallAFHOB_src_afhob_0_bits_2;
+     439             :   assign out_res_afhob_0_bits_3 =
+     440             :     s2_AFHOB_sel
+     441             :       ? s2_AFHOB_src_afhob_0_bits_3
+     442             :       : s1_AFHOB_sel
+     443             :           ? s1_AFHOB_src_afhob_0_bits_3
+     444             :           : s3_AFHOB_sel
+     445             :               ? s3_AFHOB_src_afhob_0_bits_3
+     446             :               : redirect_AFHOB_sel
+     447             :                   ? redirect_AFHOB_src_afhob_0_bits_3
+     448             :                   : stallAFHOB_src_afhob_0_bits_3;
+     449             : endmodule
+     450             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.func-sort-c.html new file mode 100644 index 0000000..a899274 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_16.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1010100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.func.html new file mode 100644 index 0000000..d212dfe --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_16.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1010100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.gcov.html new file mode 100644 index 0000000..b329ca1 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_16.sv.gcov.html @@ -0,0 +1,156 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_16.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1010100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module PriorityMuxModule_16(
+      59          59 :   input        s2_BrNumOH_sel,
+      60         175 :   input  [2:0] s2_BrNumOH_src,
+      61         387 :   input        s1_BrNumOH_sel,
+      62         137 :   input  [2:0] s1_BrNumOH_src,
+      63          58 :   input        s3_BrNumOH_sel,
+      64         186 :   input  [2:0] s3_BrNumOH_src,
+      65         252 :   input        redirect_BrNumOH_sel,
+      66         243 :   input  [2:0] redirect_BrNumOH_src,
+      67         644 :   input  [2:0] stallBrNumOH_src,
+      68         573 :   output [2:0] out_res
+      69             : );
+      70             : 
+      71             :   assign out_res =
+      72             :     s2_BrNumOH_sel
+      73             :       ? s2_BrNumOH_src
+      74             :       : s1_BrNumOH_sel
+      75             :           ? s1_BrNumOH_src
+      76             :           : s3_BrNumOH_sel
+      77             :               ? s3_BrNumOH_src
+      78             :               : redirect_BrNumOH_sel ? redirect_BrNumOH_src : stallBrNumOH_src;
+      79             : endmodule
+      80             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.func-sort-c.html new file mode 100644 index 0000000..665ebd1 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_20.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_20.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:88100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.func.html new file mode 100644 index 0000000..6be5c22 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_20.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_20.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:88100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.gcov.html new file mode 100644 index 0000000..319a6ec --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_20.sv.gcov.html @@ -0,0 +1,152 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_20.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_20.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:88100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module PriorityMuxModule_20(
+      59        3719 :   input  s2_new_bit_0_sel,
+      60        3730 :   input  s2_new_bit_0_src,
+      61        3742 :   input  s1_new_bit_0_sel,
+      62        3727 :   input  s1_new_bit_0_src,
+      63        3749 :   input  s3_new_bit_0_sel,
+      64        3843 :   input  s3_new_bit_0_src,
+      65        3790 :   input  redirect_new_bit_0_src,
+      66        3756 :   output out_res
+      67             : );
+      68             : 
+      69             :   assign out_res =
+      70             :     s2_new_bit_0_sel
+      71             :       ? s2_new_bit_0_src
+      72             :       : s1_new_bit_0_sel
+      73             :           ? s1_new_bit_0_src
+      74             :           : s3_new_bit_0_sel ? s3_new_bit_0_src : redirect_new_bit_0_src;
+      75             : endmodule
+      76             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.func-sort-c.html new file mode 100644 index 0000000..0d21fdc --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:112112100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.func.html new file mode 100644 index 0000000..fb20fd2 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:112112100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.gcov.html new file mode 100644 index 0000000..a5781e8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_4.sv.gcov.html @@ -0,0 +1,430 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_4.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:112112100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module PriorityMuxModule_4(
+      59          47 :   input         s2_FGH_sel,
+      60        1045 :   input  [10:0] s2_FGH_src_hist_17_folded_hist,
+      61        1022 :   input  [10:0] s2_FGH_src_hist_16_folded_hist,
+      62         722 :   input  [6:0]  s2_FGH_src_hist_15_folded_hist,
+      63         746 :   input  [7:0]  s2_FGH_src_hist_14_folded_hist,
+      64         918 :   input  [8:0]  s2_FGH_src_hist_13_folded_hist,
+      65         473 :   input  [3:0]  s2_FGH_src_hist_12_folded_hist,
+      66         757 :   input  [7:0]  s2_FGH_src_hist_11_folded_hist,
+      67         899 :   input  [8:0]  s2_FGH_src_hist_10_folded_hist,
+      68         743 :   input  [6:0]  s2_FGH_src_hist_9_folded_hist,
+      69         843 :   input  [7:0]  s2_FGH_src_hist_8_folded_hist,
+      70         703 :   input  [6:0]  s2_FGH_src_hist_7_folded_hist,
+      71         888 :   input  [8:0]  s2_FGH_src_hist_6_folded_hist,
+      72         641 :   input  [6:0]  s2_FGH_src_hist_5_folded_hist,
+      73         832 :   input  [7:0]  s2_FGH_src_hist_4_folded_hist,
+      74         709 :   input  [7:0]  s2_FGH_src_hist_3_folded_hist,
+      75         698 :   input  [7:0]  s2_FGH_src_hist_2_folded_hist,
+      76         999 :   input  [10:0] s2_FGH_src_hist_1_folded_hist,
+      77         772 :   input  [7:0]  s2_FGH_src_hist_0_folded_hist,
+      78         380 :   input         s1_FGH_sel,
+      79         998 :   input  [10:0] s1_FGH_src_hist_17_folded_hist,
+      80         982 :   input  [10:0] s1_FGH_src_hist_16_folded_hist,
+      81         707 :   input  [6:0]  s1_FGH_src_hist_15_folded_hist,
+      82         709 :   input  [7:0]  s1_FGH_src_hist_14_folded_hist,
+      83         840 :   input  [8:0]  s1_FGH_src_hist_13_folded_hist,
+      84         425 :   input  [3:0]  s1_FGH_src_hist_12_folded_hist,
+      85         691 :   input  [7:0]  s1_FGH_src_hist_11_folded_hist,
+      86         833 :   input  [8:0]  s1_FGH_src_hist_10_folded_hist,
+      87         710 :   input  [6:0]  s1_FGH_src_hist_9_folded_hist,
+      88         791 :   input  [7:0]  s1_FGH_src_hist_8_folded_hist,
+      89         683 :   input  [6:0]  s1_FGH_src_hist_7_folded_hist,
+      90         824 :   input  [8:0]  s1_FGH_src_hist_6_folded_hist,
+      91         607 :   input  [6:0]  s1_FGH_src_hist_5_folded_hist,
+      92         789 :   input  [7:0]  s1_FGH_src_hist_4_folded_hist,
+      93         670 :   input  [7:0]  s1_FGH_src_hist_3_folded_hist,
+      94         637 :   input  [7:0]  s1_FGH_src_hist_2_folded_hist,
+      95         924 :   input  [10:0] s1_FGH_src_hist_1_folded_hist,
+      96         701 :   input  [7:0]  s1_FGH_src_hist_0_folded_hist,
+      97          49 :   input         s3_FGH_sel,
+      98        1076 :   input  [10:0] s3_FGH_src_hist_17_folded_hist,
+      99        1086 :   input  [10:0] s3_FGH_src_hist_16_folded_hist,
+     100         735 :   input  [6:0]  s3_FGH_src_hist_15_folded_hist,
+     101         769 :   input  [7:0]  s3_FGH_src_hist_14_folded_hist,
+     102         931 :   input  [8:0]  s3_FGH_src_hist_13_folded_hist,
+     103         479 :   input  [3:0]  s3_FGH_src_hist_12_folded_hist,
+     104         810 :   input  [7:0]  s3_FGH_src_hist_11_folded_hist,
+     105         936 :   input  [8:0]  s3_FGH_src_hist_10_folded_hist,
+     106         796 :   input  [6:0]  s3_FGH_src_hist_9_folded_hist,
+     107         866 :   input  [7:0]  s3_FGH_src_hist_8_folded_hist,
+     108         701 :   input  [6:0]  s3_FGH_src_hist_7_folded_hist,
+     109         933 :   input  [8:0]  s3_FGH_src_hist_6_folded_hist,
+     110         697 :   input  [6:0]  s3_FGH_src_hist_5_folded_hist,
+     111         849 :   input  [7:0]  s3_FGH_src_hist_4_folded_hist,
+     112         764 :   input  [7:0]  s3_FGH_src_hist_3_folded_hist,
+     113         771 :   input  [7:0]  s3_FGH_src_hist_2_folded_hist,
+     114        1050 :   input  [10:0] s3_FGH_src_hist_1_folded_hist,
+     115         815 :   input  [7:0]  s3_FGH_src_hist_0_folded_hist,
+     116          84 :   input         redirect_FGHT_sel,
+     117        1047 :   input  [10:0] redirect_FGHT_src_hist_17_folded_hist,
+     118        1040 :   input  [10:0] redirect_FGHT_src_hist_16_folded_hist,
+     119         723 :   input  [6:0]  redirect_FGHT_src_hist_15_folded_hist,
+     120         755 :   input  [7:0]  redirect_FGHT_src_hist_14_folded_hist,
+     121         867 :   input  [8:0]  redirect_FGHT_src_hist_13_folded_hist,
+     122         369 :   input  [3:0]  redirect_FGHT_src_hist_12_folded_hist,
+     123         751 :   input  [7:0]  redirect_FGHT_src_hist_11_folded_hist,
+     124         900 :   input  [8:0]  redirect_FGHT_src_hist_10_folded_hist,
+     125         692 :   input  [6:0]  redirect_FGHT_src_hist_9_folded_hist,
+     126         843 :   input  [7:0]  redirect_FGHT_src_hist_8_folded_hist,
+     127         664 :   input  [6:0]  redirect_FGHT_src_hist_7_folded_hist,
+     128         897 :   input  [8:0]  redirect_FGHT_src_hist_6_folded_hist,
+     129         703 :   input  [6:0]  redirect_FGHT_src_hist_5_folded_hist,
+     130         817 :   input  [7:0]  redirect_FGHT_src_hist_4_folded_hist,
+     131         716 :   input  [7:0]  redirect_FGHT_src_hist_3_folded_hist,
+     132         798 :   input  [7:0]  redirect_FGHT_src_hist_2_folded_hist,
+     133         957 :   input  [10:0] redirect_FGHT_src_hist_1_folded_hist,
+     134         749 :   input  [7:0]  redirect_FGHT_src_hist_0_folded_hist,
+     135         407 :   input  [10:0] stallFGH_src_hist_17_folded_hist,
+     136         397 :   input  [10:0] stallFGH_src_hist_16_folded_hist,
+     137         292 :   input  [6:0]  stallFGH_src_hist_15_folded_hist,
+     138         304 :   input  [7:0]  stallFGH_src_hist_14_folded_hist,
+     139         371 :   input  [8:0]  stallFGH_src_hist_13_folded_hist,
+     140         173 :   input  [3:0]  stallFGH_src_hist_12_folded_hist,
+     141         305 :   input  [7:0]  stallFGH_src_hist_11_folded_hist,
+     142         360 :   input  [8:0]  stallFGH_src_hist_10_folded_hist,
+     143         300 :   input  [6:0]  stallFGH_src_hist_9_folded_hist,
+     144         318 :   input  [7:0]  stallFGH_src_hist_8_folded_hist,
+     145         275 :   input  [6:0]  stallFGH_src_hist_7_folded_hist,
+     146         364 :   input  [8:0]  stallFGH_src_hist_6_folded_hist,
+     147         254 :   input  [6:0]  stallFGH_src_hist_5_folded_hist,
+     148         322 :   input  [7:0]  stallFGH_src_hist_4_folded_hist,
+     149         289 :   input  [7:0]  stallFGH_src_hist_3_folded_hist,
+     150         297 :   input  [7:0]  stallFGH_src_hist_2_folded_hist,
+     151         411 :   input  [10:0] stallFGH_src_hist_1_folded_hist,
+     152         337 :   input  [7:0]  stallFGH_src_hist_0_folded_hist,
+     153        1182 :   output [10:0] out_res_hist_17_folded_hist,
+     154        1102 :   output [10:0] out_res_hist_16_folded_hist,
+     155         828 :   output [6:0]  out_res_hist_15_folded_hist,
+     156         564 :   output [7:0]  out_res_hist_14_folded_hist,
+     157         989 :   output [8:0]  out_res_hist_13_folded_hist,
+     158         474 :   output [3:0]  out_res_hist_12_folded_hist,
+     159         858 :   output [7:0]  out_res_hist_11_folded_hist,
+     160         998 :   output [8:0]  out_res_hist_10_folded_hist,
+     161         844 :   output [6:0]  out_res_hist_9_folded_hist,
+     162         930 :   output [7:0]  out_res_hist_8_folded_hist,
+     163         760 :   output [6:0]  out_res_hist_7_folded_hist,
+     164         987 :   output [8:0]  out_res_hist_6_folded_hist,
+     165         711 :   output [6:0]  out_res_hist_5_folded_hist,
+     166         602 :   output [7:0]  out_res_hist_4_folded_hist,
+     167         517 :   output [7:0]  out_res_hist_3_folded_hist,
+     168         811 :   output [7:0]  out_res_hist_2_folded_hist,
+     169        1122 :   output [10:0] out_res_hist_1_folded_hist,
+     170         827 :   output [7:0]  out_res_hist_0_folded_hist
+     171             : );
+     172             : 
+     173             :   assign out_res_hist_17_folded_hist =
+     174             :     s2_FGH_sel
+     175             :       ? s2_FGH_src_hist_17_folded_hist
+     176             :       : s1_FGH_sel
+     177             :           ? s1_FGH_src_hist_17_folded_hist
+     178             :           : s3_FGH_sel
+     179             :               ? s3_FGH_src_hist_17_folded_hist
+     180             :               : redirect_FGHT_sel
+     181             :                   ? redirect_FGHT_src_hist_17_folded_hist
+     182             :                   : stallFGH_src_hist_17_folded_hist;
+     183             :   assign out_res_hist_16_folded_hist =
+     184             :     s2_FGH_sel
+     185             :       ? s2_FGH_src_hist_16_folded_hist
+     186             :       : s1_FGH_sel
+     187             :           ? s1_FGH_src_hist_16_folded_hist
+     188             :           : s3_FGH_sel
+     189             :               ? s3_FGH_src_hist_16_folded_hist
+     190             :               : redirect_FGHT_sel
+     191             :                   ? redirect_FGHT_src_hist_16_folded_hist
+     192             :                   : stallFGH_src_hist_16_folded_hist;
+     193             :   assign out_res_hist_15_folded_hist =
+     194             :     s2_FGH_sel
+     195             :       ? s2_FGH_src_hist_15_folded_hist
+     196             :       : s1_FGH_sel
+     197             :           ? s1_FGH_src_hist_15_folded_hist
+     198             :           : s3_FGH_sel
+     199             :               ? s3_FGH_src_hist_15_folded_hist
+     200             :               : redirect_FGHT_sel
+     201             :                   ? redirect_FGHT_src_hist_15_folded_hist
+     202             :                   : stallFGH_src_hist_15_folded_hist;
+     203             :   assign out_res_hist_14_folded_hist =
+     204             :     s2_FGH_sel
+     205             :       ? s2_FGH_src_hist_14_folded_hist
+     206             :       : s1_FGH_sel
+     207             :           ? s1_FGH_src_hist_14_folded_hist
+     208             :           : s3_FGH_sel
+     209             :               ? s3_FGH_src_hist_14_folded_hist
+     210             :               : redirect_FGHT_sel
+     211             :                   ? redirect_FGHT_src_hist_14_folded_hist
+     212             :                   : stallFGH_src_hist_14_folded_hist;
+     213             :   assign out_res_hist_13_folded_hist =
+     214             :     s2_FGH_sel
+     215             :       ? s2_FGH_src_hist_13_folded_hist
+     216             :       : s1_FGH_sel
+     217             :           ? s1_FGH_src_hist_13_folded_hist
+     218             :           : s3_FGH_sel
+     219             :               ? s3_FGH_src_hist_13_folded_hist
+     220             :               : redirect_FGHT_sel
+     221             :                   ? redirect_FGHT_src_hist_13_folded_hist
+     222             :                   : stallFGH_src_hist_13_folded_hist;
+     223             :   assign out_res_hist_12_folded_hist =
+     224             :     s2_FGH_sel
+     225             :       ? s2_FGH_src_hist_12_folded_hist
+     226             :       : s1_FGH_sel
+     227             :           ? s1_FGH_src_hist_12_folded_hist
+     228             :           : s3_FGH_sel
+     229             :               ? s3_FGH_src_hist_12_folded_hist
+     230             :               : redirect_FGHT_sel
+     231             :                   ? redirect_FGHT_src_hist_12_folded_hist
+     232             :                   : stallFGH_src_hist_12_folded_hist;
+     233             :   assign out_res_hist_11_folded_hist =
+     234             :     s2_FGH_sel
+     235             :       ? s2_FGH_src_hist_11_folded_hist
+     236             :       : s1_FGH_sel
+     237             :           ? s1_FGH_src_hist_11_folded_hist
+     238             :           : s3_FGH_sel
+     239             :               ? s3_FGH_src_hist_11_folded_hist
+     240             :               : redirect_FGHT_sel
+     241             :                   ? redirect_FGHT_src_hist_11_folded_hist
+     242             :                   : stallFGH_src_hist_11_folded_hist;
+     243             :   assign out_res_hist_10_folded_hist =
+     244             :     s2_FGH_sel
+     245             :       ? s2_FGH_src_hist_10_folded_hist
+     246             :       : s1_FGH_sel
+     247             :           ? s1_FGH_src_hist_10_folded_hist
+     248             :           : s3_FGH_sel
+     249             :               ? s3_FGH_src_hist_10_folded_hist
+     250             :               : redirect_FGHT_sel
+     251             :                   ? redirect_FGHT_src_hist_10_folded_hist
+     252             :                   : stallFGH_src_hist_10_folded_hist;
+     253             :   assign out_res_hist_9_folded_hist =
+     254             :     s2_FGH_sel
+     255             :       ? s2_FGH_src_hist_9_folded_hist
+     256             :       : s1_FGH_sel
+     257             :           ? s1_FGH_src_hist_9_folded_hist
+     258             :           : s3_FGH_sel
+     259             :               ? s3_FGH_src_hist_9_folded_hist
+     260             :               : redirect_FGHT_sel
+     261             :                   ? redirect_FGHT_src_hist_9_folded_hist
+     262             :                   : stallFGH_src_hist_9_folded_hist;
+     263             :   assign out_res_hist_8_folded_hist =
+     264             :     s2_FGH_sel
+     265             :       ? s2_FGH_src_hist_8_folded_hist
+     266             :       : s1_FGH_sel
+     267             :           ? s1_FGH_src_hist_8_folded_hist
+     268             :           : s3_FGH_sel
+     269             :               ? s3_FGH_src_hist_8_folded_hist
+     270             :               : redirect_FGHT_sel
+     271             :                   ? redirect_FGHT_src_hist_8_folded_hist
+     272             :                   : stallFGH_src_hist_8_folded_hist;
+     273             :   assign out_res_hist_7_folded_hist =
+     274             :     s2_FGH_sel
+     275             :       ? s2_FGH_src_hist_7_folded_hist
+     276             :       : s1_FGH_sel
+     277             :           ? s1_FGH_src_hist_7_folded_hist
+     278             :           : s3_FGH_sel
+     279             :               ? s3_FGH_src_hist_7_folded_hist
+     280             :               : redirect_FGHT_sel
+     281             :                   ? redirect_FGHT_src_hist_7_folded_hist
+     282             :                   : stallFGH_src_hist_7_folded_hist;
+     283             :   assign out_res_hist_6_folded_hist =
+     284             :     s2_FGH_sel
+     285             :       ? s2_FGH_src_hist_6_folded_hist
+     286             :       : s1_FGH_sel
+     287             :           ? s1_FGH_src_hist_6_folded_hist
+     288             :           : s3_FGH_sel
+     289             :               ? s3_FGH_src_hist_6_folded_hist
+     290             :               : redirect_FGHT_sel
+     291             :                   ? redirect_FGHT_src_hist_6_folded_hist
+     292             :                   : stallFGH_src_hist_6_folded_hist;
+     293             :   assign out_res_hist_5_folded_hist =
+     294             :     s2_FGH_sel
+     295             :       ? s2_FGH_src_hist_5_folded_hist
+     296             :       : s1_FGH_sel
+     297             :           ? s1_FGH_src_hist_5_folded_hist
+     298             :           : s3_FGH_sel
+     299             :               ? s3_FGH_src_hist_5_folded_hist
+     300             :               : redirect_FGHT_sel
+     301             :                   ? redirect_FGHT_src_hist_5_folded_hist
+     302             :                   : stallFGH_src_hist_5_folded_hist;
+     303             :   assign out_res_hist_4_folded_hist =
+     304             :     s2_FGH_sel
+     305             :       ? s2_FGH_src_hist_4_folded_hist
+     306             :       : s1_FGH_sel
+     307             :           ? s1_FGH_src_hist_4_folded_hist
+     308             :           : s3_FGH_sel
+     309             :               ? s3_FGH_src_hist_4_folded_hist
+     310             :               : redirect_FGHT_sel
+     311             :                   ? redirect_FGHT_src_hist_4_folded_hist
+     312             :                   : stallFGH_src_hist_4_folded_hist;
+     313             :   assign out_res_hist_3_folded_hist =
+     314             :     s2_FGH_sel
+     315             :       ? s2_FGH_src_hist_3_folded_hist
+     316             :       : s1_FGH_sel
+     317             :           ? s1_FGH_src_hist_3_folded_hist
+     318             :           : s3_FGH_sel
+     319             :               ? s3_FGH_src_hist_3_folded_hist
+     320             :               : redirect_FGHT_sel
+     321             :                   ? redirect_FGHT_src_hist_3_folded_hist
+     322             :                   : stallFGH_src_hist_3_folded_hist;
+     323             :   assign out_res_hist_2_folded_hist =
+     324             :     s2_FGH_sel
+     325             :       ? s2_FGH_src_hist_2_folded_hist
+     326             :       : s1_FGH_sel
+     327             :           ? s1_FGH_src_hist_2_folded_hist
+     328             :           : s3_FGH_sel
+     329             :               ? s3_FGH_src_hist_2_folded_hist
+     330             :               : redirect_FGHT_sel
+     331             :                   ? redirect_FGHT_src_hist_2_folded_hist
+     332             :                   : stallFGH_src_hist_2_folded_hist;
+     333             :   assign out_res_hist_1_folded_hist =
+     334             :     s2_FGH_sel
+     335             :       ? s2_FGH_src_hist_1_folded_hist
+     336             :       : s1_FGH_sel
+     337             :           ? s1_FGH_src_hist_1_folded_hist
+     338             :           : s3_FGH_sel
+     339             :               ? s3_FGH_src_hist_1_folded_hist
+     340             :               : redirect_FGHT_sel
+     341             :                   ? redirect_FGHT_src_hist_1_folded_hist
+     342             :                   : stallFGH_src_hist_1_folded_hist;
+     343             :   assign out_res_hist_0_folded_hist =
+     344             :     s2_FGH_sel
+     345             :       ? s2_FGH_src_hist_0_folded_hist
+     346             :       : s1_FGH_sel
+     347             :           ? s1_FGH_src_hist_0_folded_hist
+     348             :           : s3_FGH_sel
+     349             :               ? s3_FGH_src_hist_0_folded_hist
+     350             :               : redirect_FGHT_sel
+     351             :                   ? redirect_FGHT_src_hist_0_folded_hist
+     352             :                   : stallFGH_src_hist_0_folded_hist;
+     353             : endmodule
+     354             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.func-sort-c.html new file mode 100644 index 0000000..e886007 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_8.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_8.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.func.html new file mode 100644 index 0000000..05ed04c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_8.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_8.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.gcov.html new file mode 100644 index 0000000..36c3ddb --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/PriorityMuxModule_8.sv.gcov.html @@ -0,0 +1,170 @@ + + + + + + + LCOV - merged.info - BPUTop/PriorityMuxModule_8.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - PriorityMuxModule_8.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module PriorityMuxModule_8(
+      59          72 :   input        s2_GHPtr_sel,
+      60         183 :   input        s2_GHPtr_src_flag,
+      61        1077 :   input  [7:0] s2_GHPtr_src_value,
+      62         509 :   input        s1_GHPtr_sel,
+      63         168 :   input        s1_GHPtr_src_flag,
+      64        1069 :   input  [7:0] s1_GHPtr_src_value,
+      65          72 :   input        s3_GHPtr_sel,
+      66         173 :   input        s3_GHPtr_src_flag,
+      67        1180 :   input  [7:0] s3_GHPtr_src_value,
+      68          84 :   input        redirect_GHPtr_sel,
+      69         146 :   input        redirect_GHPtr_src_flag,
+      70        1184 :   input  [7:0] redirect_GHPtr_src_value,
+      71          49 :   input        stallGHPtr_src_flag,
+      72         317 :   input  [7:0] stallGHPtr_src_value,
+      73         198 :   output       out_res_flag,
+      74        1204 :   output [7:0] out_res_value
+      75             : );
+      76             : 
+      77             :   assign out_res_flag =
+      78             :     s2_GHPtr_sel
+      79             :       ? s2_GHPtr_src_flag
+      80             :       : s1_GHPtr_sel
+      81             :           ? s1_GHPtr_src_flag
+      82             :           : s3_GHPtr_sel
+      83             :               ? s3_GHPtr_src_flag
+      84             :               : redirect_GHPtr_sel ? redirect_GHPtr_src_flag : stallGHPtr_src_flag;
+      85             :   assign out_res_value =
+      86             :     s2_GHPtr_sel
+      87             :       ? s2_GHPtr_src_value
+      88             :       : s1_GHPtr_sel
+      89             :           ? s1_GHPtr_src_value
+      90             :           : s3_GHPtr_sel
+      91             :               ? s3_GHPtr_src_value
+      92             :               : redirect_GHPtr_sel ? redirect_GHPtr_src_value : stallGHPtr_src_value;
+      93             : endmodule
+      94             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.func-sort-c.html new file mode 100644 index 0000000..2619122 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/RAS.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - RAS.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:401401100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.func.html new file mode 100644 index 0000000..1fe19a8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/RAS.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - RAS.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:401401100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.gcov.html new file mode 100644 index 0000000..10ed0e2 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RAS.sv.gcov.html @@ -0,0 +1,959 @@ + + + + + + + LCOV - merged.info - BPUTop/RAS.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - RAS.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:401401100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module RAS(
+      59      127786 :   input          clock,
+      60          62 :   input          reset,
+      61        1105 :   input  [35:0]  io_reset_vector,
+      62       10337 :   input  [40:0]  io_in_bits_s0_pc_0,
+      63       10224 :   input  [40:0]  io_in_bits_s0_pc_1,
+      64       10251 :   input  [40:0]  io_in_bits_s0_pc_2,
+      65       35066 :   input  [40:0]  io_in_bits_s0_pc_3,
+      66          79 :   input          io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0,
+      67          69 :   input          io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1,
+      68          31 :   input          io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_0,
+      69          30 :   input          io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_1,
+      70        1189 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_targets_0,
+      71        1202 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_targets_1,
+      72        1202 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_jalr_target,
+      73         116 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_0_offsets_0,
+      74         107 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_0_offsets_1,
+      75        9456 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_0_fallThroughAddr,
+      76          31 :   input          io_in_bits_resp_in_0_s2_full_pred_0_is_br_sharing,
+      77          18 :   input          io_in_bits_resp_in_0_s2_full_pred_0_hit,
+      78          59 :   input          io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0,
+      79          71 :   input          io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1,
+      80          24 :   input          io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_0,
+      81          24 :   input          io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_1,
+      82        1278 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_targets_0,
+      83        1114 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_targets_1,
+      84        1114 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_jalr_target,
+      85         108 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_1_offsets_0,
+      86         119 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_1_offsets_1,
+      87        9458 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_1_fallThroughAddr,
+      88          17 :   input          io_in_bits_resp_in_0_s2_full_pred_1_is_br_sharing,
+      89          25 :   input          io_in_bits_resp_in_0_s2_full_pred_1_hit,
+      90          72 :   input          io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0,
+      91          58 :   input          io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1,
+      92          31 :   input          io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_0,
+      93          28 :   input          io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1,
+      94        1211 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_targets_0,
+      95        1198 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_targets_1,
+      96        1198 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_jalr_target,
+      97         114 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_2_offsets_0,
+      98         120 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_2_offsets_1,
+      99        9409 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_2_fallThroughAddr,
+     100          23 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_jalr,
+     101          21 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_call,
+     102          26 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_ret,
+     103          25 :   input          io_in_bits_resp_in_0_s2_full_pred_2_last_may_be_rvi_call,
+     104          23 :   input          io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing,
+     105          29 :   input          io_in_bits_resp_in_0_s2_full_pred_2_hit,
+     106          79 :   input          io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0,
+     107          76 :   input          io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1,
+     108          27 :   input          io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_0,
+     109          30 :   input          io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_1,
+     110        1252 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_targets_0,
+     111        1179 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_targets_1,
+     112        1179 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_jalr_target,
+     113         119 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_3_offsets_0,
+     114         105 :   input  [3:0]   io_in_bits_resp_in_0_s2_full_pred_3_offsets_1,
+     115        9476 :   input  [40:0]  io_in_bits_resp_in_0_s2_full_pred_3_fallThroughAddr,
+     116          33 :   input          io_in_bits_resp_in_0_s2_full_pred_3_fallThroughErr,
+     117          22 :   input          io_in_bits_resp_in_0_s2_full_pred_3_is_br_sharing,
+     118          18 :   input          io_in_bits_resp_in_0_s2_full_pred_3_hit,
+     119          82 :   input          io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0,
+     120          70 :   input          io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1,
+     121          30 :   input          io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_0,
+     122          33 :   input          io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_1,
+     123        1241 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_targets_0,
+     124        1247 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_targets_1,
+     125        1318 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_jalr_target,
+     126        9423 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_0_fallThroughAddr,
+     127          39 :   input          io_in_bits_resp_in_0_s3_full_pred_0_fallThroughErr,
+     128          26 :   input          io_in_bits_resp_in_0_s3_full_pred_0_is_br_sharing,
+     129          24 :   input          io_in_bits_resp_in_0_s3_full_pred_0_hit,
+     130          80 :   input          io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0,
+     131          75 :   input          io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1,
+     132          30 :   input          io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_0,
+     133          39 :   input          io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_1,
+     134        1262 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_targets_0,
+     135        1289 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_targets_1,
+     136        1313 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_jalr_target,
+     137        9377 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_1_fallThroughAddr,
+     138          35 :   input          io_in_bits_resp_in_0_s3_full_pred_1_fallThroughErr,
+     139          24 :   input          io_in_bits_resp_in_0_s3_full_pred_1_is_br_sharing,
+     140          33 :   input          io_in_bits_resp_in_0_s3_full_pred_1_hit,
+     141          86 :   input          io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0,
+     142          70 :   input          io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1,
+     143          26 :   input          io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_0,
+     144          30 :   input          io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1,
+     145        1286 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_targets_0,
+     146        1331 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_targets_1,
+     147        1351 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_jalr_target,
+     148        9424 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_2_fallThroughAddr,
+     149          35 :   input          io_in_bits_resp_in_0_s3_full_pred_2_fallThroughErr,
+     150          23 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_jalr,
+     151          23 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_call,
+     152          24 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_ret,
+     153          21 :   input          io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing,
+     154          29 :   input          io_in_bits_resp_in_0_s3_full_pred_2_hit,
+     155          75 :   input          io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0,
+     156          76 :   input          io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1,
+     157          41 :   input          io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_0,
+     158          42 :   input          io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_1,
+     159        1231 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_targets_0,
+     160        1247 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_targets_1,
+     161        1327 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_jalr_target,
+     162         128 :   input  [3:0]   io_in_bits_resp_in_0_s3_full_pred_3_offsets_0,
+     163         137 :   input  [3:0]   io_in_bits_resp_in_0_s3_full_pred_3_offsets_1,
+     164        9391 :   input  [40:0]  io_in_bits_resp_in_0_s3_full_pred_3_fallThroughAddr,
+     165          41 :   input          io_in_bits_resp_in_0_s3_full_pred_3_fallThroughErr,
+     166          30 :   input          io_in_bits_resp_in_0_s3_full_pred_3_is_br_sharing,
+     167          27 :   input          io_in_bits_resp_in_0_s3_full_pred_3_hit,
+     168          29 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_valid,
+     169         126 :   input  [3:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_offset,
+     170         409 :   input  [11:0]  io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_lower,
+     171          65 :   input  [1:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_tarStat,
+     172          32 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_sharing,
+     173          30 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_valid,
+     174         116 :   input  [3:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_offset,
+     175         705 :   input  [19:0]  io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_lower,
+     176          65 :   input  [1:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_tarStat,
+     177          37 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_sharing,
+     178          33 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_valid,
+     179         142 :   input  [3:0]   io_in_bits_resp_in_0_last_stage_ftb_entry_pftAddr,
+     180          35 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_carry,
+     181          34 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_isCall,
+     182          33 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_isRet,
+     183          36 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_isJalr,
+     184          31 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_last_may_be_rvi_call,
+     185          27 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_0,
+     186          33 :   input          io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_1,
+     187        9399 :   output [40:0]  io_out_s2_pc_0,
+     188        9353 :   output [40:0]  io_out_s2_pc_1,
+     189        9356 :   output [40:0]  io_out_s2_pc_2,
+     190        9388 :   output [40:0]  io_out_s2_pc_3,
+     191          79 :   output         io_out_s2_full_pred_0_br_taken_mask_0,
+     192          69 :   output         io_out_s2_full_pred_0_br_taken_mask_1,
+     193          31 :   output         io_out_s2_full_pred_0_slot_valids_0,
+     194          30 :   output         io_out_s2_full_pred_0_slot_valids_1,
+     195        1189 :   output [40:0]  io_out_s2_full_pred_0_targets_0,
+     196        1454 :   output [40:0]  io_out_s2_full_pred_0_targets_1,
+     197         116 :   output [3:0]   io_out_s2_full_pred_0_offsets_0,
+     198         107 :   output [3:0]   io_out_s2_full_pred_0_offsets_1,
+     199        9456 :   output [40:0]  io_out_s2_full_pred_0_fallThroughAddr,
+     200          31 :   output         io_out_s2_full_pred_0_is_br_sharing,
+     201          18 :   output         io_out_s2_full_pred_0_hit,
+     202          59 :   output         io_out_s2_full_pred_1_br_taken_mask_0,
+     203          71 :   output         io_out_s2_full_pred_1_br_taken_mask_1,
+     204          24 :   output         io_out_s2_full_pred_1_slot_valids_0,
+     205          24 :   output         io_out_s2_full_pred_1_slot_valids_1,
+     206        1278 :   output [40:0]  io_out_s2_full_pred_1_targets_0,
+     207        1345 :   output [40:0]  io_out_s2_full_pred_1_targets_1,
+     208         108 :   output [3:0]   io_out_s2_full_pred_1_offsets_0,
+     209         119 :   output [3:0]   io_out_s2_full_pred_1_offsets_1,
+     210        9458 :   output [40:0]  io_out_s2_full_pred_1_fallThroughAddr,
+     211          17 :   output         io_out_s2_full_pred_1_is_br_sharing,
+     212          25 :   output         io_out_s2_full_pred_1_hit,
+     213          72 :   output         io_out_s2_full_pred_2_br_taken_mask_0,
+     214          58 :   output         io_out_s2_full_pred_2_br_taken_mask_1,
+     215          31 :   output         io_out_s2_full_pred_2_slot_valids_0,
+     216          28 :   output         io_out_s2_full_pred_2_slot_valids_1,
+     217        1211 :   output [40:0]  io_out_s2_full_pred_2_targets_0,
+     218        1384 :   output [40:0]  io_out_s2_full_pred_2_targets_1,
+     219         114 :   output [3:0]   io_out_s2_full_pred_2_offsets_0,
+     220         120 :   output [3:0]   io_out_s2_full_pred_2_offsets_1,
+     221        9409 :   output [40:0]  io_out_s2_full_pred_2_fallThroughAddr,
+     222          23 :   output         io_out_s2_full_pred_2_is_br_sharing,
+     223          29 :   output         io_out_s2_full_pred_2_hit,
+     224          79 :   output         io_out_s2_full_pred_3_br_taken_mask_0,
+     225          76 :   output         io_out_s2_full_pred_3_br_taken_mask_1,
+     226          27 :   output         io_out_s2_full_pred_3_slot_valids_0,
+     227          30 :   output         io_out_s2_full_pred_3_slot_valids_1,
+     228        1252 :   output [40:0]  io_out_s2_full_pred_3_targets_0,
+     229        1433 :   output [40:0]  io_out_s2_full_pred_3_targets_1,
+     230         119 :   output [3:0]   io_out_s2_full_pred_3_offsets_0,
+     231         105 :   output [3:0]   io_out_s2_full_pred_3_offsets_1,
+     232        9476 :   output [40:0]  io_out_s2_full_pred_3_fallThroughAddr,
+     233          41 :   output         io_out_s2_full_pred_3_fallThroughErr,
+     234          22 :   output         io_out_s2_full_pred_3_is_br_sharing,
+     235          18 :   output         io_out_s2_full_pred_3_hit,
+     236        9337 :   output [40:0]  io_out_s3_pc_0,
+     237        9352 :   output [40:0]  io_out_s3_pc_1,
+     238        9331 :   output [40:0]  io_out_s3_pc_2,
+     239        9336 :   output [40:0]  io_out_s3_pc_3,
+     240          82 :   output         io_out_s3_full_pred_0_br_taken_mask_0,
+     241          70 :   output         io_out_s3_full_pred_0_br_taken_mask_1,
+     242          30 :   output         io_out_s3_full_pred_0_slot_valids_0,
+     243          33 :   output         io_out_s3_full_pred_0_slot_valids_1,
+     244        1241 :   output [40:0]  io_out_s3_full_pred_0_targets_0,
+     245        1451 :   output [40:0]  io_out_s3_full_pred_0_targets_1,
+     246        9423 :   output [40:0]  io_out_s3_full_pred_0_fallThroughAddr,
+     247          39 :   output         io_out_s3_full_pred_0_fallThroughErr,
+     248          26 :   output         io_out_s3_full_pred_0_is_br_sharing,
+     249          24 :   output         io_out_s3_full_pred_0_hit,
+     250          80 :   output         io_out_s3_full_pred_1_br_taken_mask_0,
+     251          75 :   output         io_out_s3_full_pred_1_br_taken_mask_1,
+     252          30 :   output         io_out_s3_full_pred_1_slot_valids_0,
+     253          39 :   output         io_out_s3_full_pred_1_slot_valids_1,
+     254        1262 :   output [40:0]  io_out_s3_full_pred_1_targets_0,
+     255        1497 :   output [40:0]  io_out_s3_full_pred_1_targets_1,
+     256        9377 :   output [40:0]  io_out_s3_full_pred_1_fallThroughAddr,
+     257          35 :   output         io_out_s3_full_pred_1_fallThroughErr,
+     258          24 :   output         io_out_s3_full_pred_1_is_br_sharing,
+     259          33 :   output         io_out_s3_full_pred_1_hit,
+     260          86 :   output         io_out_s3_full_pred_2_br_taken_mask_0,
+     261          70 :   output         io_out_s3_full_pred_2_br_taken_mask_1,
+     262          26 :   output         io_out_s3_full_pred_2_slot_valids_0,
+     263          30 :   output         io_out_s3_full_pred_2_slot_valids_1,
+     264        1286 :   output [40:0]  io_out_s3_full_pred_2_targets_0,
+     265        1563 :   output [40:0]  io_out_s3_full_pred_2_targets_1,
+     266        9424 :   output [40:0]  io_out_s3_full_pred_2_fallThroughAddr,
+     267          35 :   output         io_out_s3_full_pred_2_fallThroughErr,
+     268          21 :   output         io_out_s3_full_pred_2_is_br_sharing,
+     269          29 :   output         io_out_s3_full_pred_2_hit,
+     270          75 :   output         io_out_s3_full_pred_3_br_taken_mask_0,
+     271          76 :   output         io_out_s3_full_pred_3_br_taken_mask_1,
+     272          41 :   output         io_out_s3_full_pred_3_slot_valids_0,
+     273          42 :   output         io_out_s3_full_pred_3_slot_valids_1,
+     274        1231 :   output [40:0]  io_out_s3_full_pred_3_targets_0,
+     275        1446 :   output [40:0]  io_out_s3_full_pred_3_targets_1,
+     276         136 :   output [3:0]   io_out_s3_full_pred_3_offsets_0,
+     277         131 :   output [3:0]   io_out_s3_full_pred_3_offsets_1,
+     278        9391 :   output [40:0]  io_out_s3_full_pred_3_fallThroughAddr,
+     279          41 :   output         io_out_s3_full_pred_3_fallThroughErr,
+     280          30 :   output         io_out_s3_full_pred_3_is_br_sharing,
+     281          27 :   output         io_out_s3_full_pred_3_hit,
+     282        3801 :   output [222:0] io_out_last_stage_meta,
+     283         149 :   output [3:0]   io_out_last_stage_spec_info_ssp,
+     284          80 :   output [1:0]   io_out_last_stage_spec_info_sctr,
+     285          41 :   output         io_out_last_stage_spec_info_TOSW_flag,
+     286         183 :   output [4:0]   io_out_last_stage_spec_info_TOSW_value,
+     287          40 :   output         io_out_last_stage_spec_info_TOSR_flag,
+     288         214 :   output [4:0]   io_out_last_stage_spec_info_TOSR_value,
+     289          31 :   output         io_out_last_stage_spec_info_NOS_flag,
+     290         160 :   output [4:0]   io_out_last_stage_spec_info_NOS_value,
+     291        1348 :   output [40:0]  io_out_last_stage_spec_info_topAddr,
+     292          33 :   output         io_out_last_stage_ftb_entry_valid,
+     293         119 :   output [3:0]   io_out_last_stage_ftb_entry_brSlots_0_offset,
+     294         411 :   output [11:0]  io_out_last_stage_ftb_entry_brSlots_0_lower,
+     295          59 :   output [1:0]   io_out_last_stage_ftb_entry_brSlots_0_tarStat,
+     296          34 :   output         io_out_last_stage_ftb_entry_brSlots_0_sharing,
+     297          29 :   output         io_out_last_stage_ftb_entry_brSlots_0_valid,
+     298         125 :   output [3:0]   io_out_last_stage_ftb_entry_tailSlot_offset,
+     299         676 :   output [19:0]  io_out_last_stage_ftb_entry_tailSlot_lower,
+     300          70 :   output [1:0]   io_out_last_stage_ftb_entry_tailSlot_tarStat,
+     301          30 :   output         io_out_last_stage_ftb_entry_tailSlot_sharing,
+     302          31 :   output         io_out_last_stage_ftb_entry_tailSlot_valid,
+     303         140 :   output [3:0]   io_out_last_stage_ftb_entry_pftAddr,
+     304          33 :   output         io_out_last_stage_ftb_entry_carry,
+     305          35 :   output         io_out_last_stage_ftb_entry_isCall,
+     306          29 :   output         io_out_last_stage_ftb_entry_isRet,
+     307          40 :   output         io_out_last_stage_ftb_entry_isJalr,
+     308          36 :   output         io_out_last_stage_ftb_entry_last_may_be_rvi_call,
+     309          27 :   output         io_out_last_stage_ftb_entry_always_taken_0,
+     310          32 :   output         io_out_last_stage_ftb_entry_always_taken_1,
+     311          82 :   input          io_ctrl_ras_enable,
+     312          75 :   input          io_s0_fire_0,
+     313          76 :   input          io_s0_fire_1,
+     314          76 :   input          io_s0_fire_2,
+     315          73 :   input          io_s0_fire_3,
+     316         133 :   input          io_s1_fire_0,
+     317         131 :   input          io_s1_fire_1,
+     318         135 :   input          io_s1_fire_2,
+     319         133 :   input          io_s1_fire_3,
+     320         127 :   input          io_s2_fire_0,
+     321         127 :   input          io_s2_fire_1,
+     322         127 :   input          io_s2_fire_2,
+     323         127 :   input          io_s2_fire_3,
+     324         127 :   input          io_s3_fire_2,
+     325          20 :   input          io_s3_redirect_2,
+     326         105 :   input          io_update_valid,
+     327         137 :   input  [3:0]   io_update_bits_ftb_entry_tailSlot_offset,
+     328          28 :   input          io_update_bits_ftb_entry_tailSlot_valid,
+     329          39 :   input          io_update_bits_ftb_entry_isCall,
+     330          36 :   input          io_update_bits_ftb_entry_isRet,
+     331          22 :   input          io_update_bits_cfi_idx_valid,
+     332         155 :   input  [3:0]   io_update_bits_cfi_idx_bits,
+     333          40 :   input          io_update_bits_jmp_taken,
+     334        6212 :   input  [222:0] io_update_bits_meta,
+     335          84 :   input          io_redirect_valid,
+     336          28 :   input          io_redirect_bits_level,
+     337        1207 :   input  [40:0]  io_redirect_bits_cfiUpdate_pc,
+     338          27 :   input          io_redirect_bits_cfiUpdate_pd_isRVC,
+     339          37 :   input          io_redirect_bits_cfiUpdate_pd_isCall,
+     340          37 :   input          io_redirect_bits_cfiUpdate_pd_isRet,
+     341         115 :   input  [3:0]   io_redirect_bits_cfiUpdate_ssp,
+     342          73 :   input  [1:0]   io_redirect_bits_cfiUpdate_sctr,
+     343          43 :   input          io_redirect_bits_cfiUpdate_TOSW_flag,
+     344         147 :   input  [4:0]   io_redirect_bits_cfiUpdate_TOSW_value,
+     345          42 :   input          io_redirect_bits_cfiUpdate_TOSR_flag,
+     346         138 :   input  [4:0]   io_redirect_bits_cfiUpdate_TOSR_value,
+     347          40 :   input          io_redirect_bits_cfiUpdate_NOS_flag,
+     348         150 :   input  [4:0]   io_redirect_bits_cfiUpdate_NOS_value
+     349             : );
+     350             : 
+     351             :   wire [40:0] _RASStack_io_spec_pop_addr;
+     352             :   wire [3:0]  _RASStack_io_ssp;
+     353             :   wire [2:0]  _RASStack_io_sctr;
+     354             :   wire        _RASStack_io_TOSR_flag;
+     355             :   wire [4:0]  _RASStack_io_TOSR_value;
+     356             :   wire        _RASStack_io_TOSW_flag;
+     357             :   wire [4:0]  _RASStack_io_TOSW_value;
+     358             :   wire        _RASStack_io_NOS_flag;
+     359             :   wire [4:0]  _RASStack_io_NOS_value;
+     360             :   wire [35:0] _reset_vector_delay_io_out;
+     361        9961 :   reg  [40:0] s1_pc_dup_0;
+     362        9944 :   reg  [40:0] s1_pc_dup_1;
+     363        9990 :   reg  [40:0] s1_pc_dup_2;
+     364        9974 :   reg  [40:0] s1_pc_dup_3;
+     365        9399 :   reg  [40:0] s2_pc_dup_0;
+     366        9353 :   reg  [40:0] s2_pc_dup_1;
+     367        9356 :   reg  [40:0] s2_pc_dup_2;
+     368        9388 :   reg  [40:0] s2_pc_dup_3;
+     369        9337 :   reg  [40:0] s3_pc_dup_0;
+     370        9352 :   reg  [40:0] s3_pc_dup_1;
+     371        9331 :   reg  [40:0] s3_pc_dup_2;
+     372        9336 :   reg  [40:0] s3_pc_dup_3;
+     373          78 :   reg         REG;
+     374         102 :   reg         REG_1;
+     375             :   wire [40:0] _s2_spec_new_addr_T_1 =
+     376             :     41'(io_in_bits_resp_in_0_s2_full_pred_2_fallThroughAddr
+     377             :         + {39'h0, io_in_bits_resp_in_0_s2_full_pred_2_last_may_be_rvi_call, 1'h0});
+     378             :   wire        _s2_spec_pop_T_8 =
+     379             :     io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_0
+     380             :     & io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0;
+     381             :   wire        _s2_spec_pop_T_9 =
+     382             :     io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing
+     383             :     & io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1;
+     384          16 :   wire        s2_spec_push =
+     385             :     io_s2_fire_2 & ~(_s2_spec_pop_T_8 & io_in_bits_resp_in_0_s2_full_pred_2_hit)
+     386             :     & io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1
+     387             :     & (_s2_spec_pop_T_9 | ~io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing)
+     388             :     & io_in_bits_resp_in_0_s2_full_pred_2_hit
+     389             :     & ~io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing
+     390             :     & io_in_bits_resp_in_0_s2_full_pred_2_is_call & ~io_s3_redirect_2;
+     391          16 :   wire        s2_spec_pop =
+     392             :     io_s2_fire_2 & ~(_s2_spec_pop_T_8 & io_in_bits_resp_in_0_s2_full_pred_2_hit)
+     393             :     & io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1
+     394             :     & (_s2_spec_pop_T_9 | ~io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing)
+     395             :     & io_in_bits_resp_in_0_s2_full_pred_2_hit
+     396             :     & ~io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing
+     397             :     & io_in_bits_resp_in_0_s2_full_pred_2_is_ret & ~io_s3_redirect_2;
+     398             :   wire        _GEN = io_in_bits_resp_in_0_s2_full_pred_2_is_ret & io_ctrl_ras_enable;
+     399        1303 :   reg  [40:0] s3_top;
+     400        9375 :   reg  [40:0] s3_spec_new_addr;
+     401             :   wire        _GEN_0 = io_in_bits_resp_in_0_s3_full_pred_2_is_ret & io_ctrl_ras_enable;
+     402          25 :   reg         s3_pushed_in_s2;
+     403          23 :   reg         s3_popped_in_s2;
+     404             :   wire        _s3_pop_T_8 =
+     405             :     io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_0
+     406             :     & io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0;
+     407             :   wire        _s3_pop_T_9 =
+     408             :     io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing
+     409             :     & io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1;
+     410          16 :   wire        s3_push =
+     411             :     ~(_s3_pop_T_8 & io_in_bits_resp_in_0_s3_full_pred_2_hit)
+     412             :     & io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1
+     413             :     & (_s3_pop_T_9 | ~io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing)
+     414             :     & io_in_bits_resp_in_0_s3_full_pred_2_hit
+     415             :     & ~io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing
+     416             :     & io_in_bits_resp_in_0_s3_full_pred_2_is_call;
+     417          14 :   wire        s3_pop =
+     418             :     ~(_s3_pop_T_8 & io_in_bits_resp_in_0_s3_full_pred_2_hit)
+     419             :     & io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1
+     420             :     & (_s3_pop_T_9 | ~io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing)
+     421             :     & io_in_bits_resp_in_0_s3_full_pred_2_hit
+     422             :     & ~io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing
+     423             :     & io_in_bits_resp_in_0_s3_full_pred_2_is_ret;
+     424         156 :   reg  [3:0]  s3_meta_ssp;
+     425         124 :   reg  [2:0]  s3_meta_sctr;
+     426          48 :   reg         s3_meta_TOSW_flag;
+     427         173 :   reg  [4:0]  s3_meta_TOSW_value;
+     428          41 :   reg         s3_meta_TOSR_flag;
+     429         218 :   reg  [4:0]  s3_meta_TOSR_value;
+     430          28 :   reg         s3_meta_NOS_flag;
+     431         172 :   reg  [4:0]  s3_meta_NOS_value;
+     432          83 :   reg         redirect_next_valid_last_r;
+     433          23 :   reg         redirect_next_bits_r_level;
+     434        1032 :   reg  [40:0] redirect_next_bits_r_cfiUpdate_pc;
+     435          38 :   reg         redirect_next_bits_r_cfiUpdate_pd_isRVC;
+     436          29 :   reg         redirect_next_bits_r_cfiUpdate_pd_isCall;
+     437          34 :   reg         redirect_next_bits_r_cfiUpdate_pd_isRet;
+     438         106 :   reg  [3:0]  redirect_next_bits_r_cfiUpdate_ssp;
+     439          62 :   reg  [1:0]  redirect_next_bits_r_cfiUpdate_sctr;
+     440          28 :   reg         redirect_next_bits_r_cfiUpdate_TOSW_flag;
+     441         151 :   reg  [4:0]  redirect_next_bits_r_cfiUpdate_TOSW_value;
+     442          32 :   reg         redirect_next_bits_r_cfiUpdate_TOSR_flag;
+     443         132 :   reg  [4:0]  redirect_next_bits_r_cfiUpdate_TOSR_value;
+     444          37 :   reg         redirect_next_bits_r_cfiUpdate_NOS_flag;
+     445         134 :   reg  [4:0]  redirect_next_bits_r_cfiUpdate_NOS_value;
+     446             :   wire        _GEN_1 =
+     447             :     io_update_bits_cfi_idx_bits == io_update_bits_ftb_entry_tailSlot_offset;
+     448             :   wire [40:0] _GEN_2 = {5'h0, _reset_vector_delay_io_out};
+     449      127694 :   always @(posedge clock) begin
+     450         110 :     if (REG_1) begin
+     451          55 :       s1_pc_dup_0 <= _GEN_2;
+     452          55 :       s1_pc_dup_1 <= _GEN_2;
+     453          55 :       s1_pc_dup_2 <= _GEN_2;
+     454          55 :       s1_pc_dup_3 <= _GEN_2;
+     455             :     end
+     456       63792 :     else begin
+     457        8350 :       if (io_s0_fire_0)
+     458        4175 :         s1_pc_dup_0 <= io_in_bits_s0_pc_0;
+     459        8350 :       if (io_s0_fire_1)
+     460        4175 :         s1_pc_dup_1 <= io_in_bits_s0_pc_1;
+     461        8350 :       if (io_s0_fire_2)
+     462        4175 :         s1_pc_dup_2 <= io_in_bits_s0_pc_2;
+     463        8350 :       if (io_s0_fire_3)
+     464        4175 :         s1_pc_dup_3 <= io_in_bits_s0_pc_3;
+     465             :     end
+     466        8252 :     if (io_s1_fire_0)
+     467        4126 :       s2_pc_dup_0 <= s1_pc_dup_0;
+     468        8252 :     if (io_s1_fire_1)
+     469        4126 :       s2_pc_dup_1 <= s1_pc_dup_1;
+     470        8252 :     if (io_s1_fire_2)
+     471        4126 :       s2_pc_dup_2 <= s1_pc_dup_2;
+     472        8252 :     if (io_s1_fire_3)
+     473        4126 :       s2_pc_dup_3 <= s1_pc_dup_3;
+     474        8150 :     if (io_s2_fire_0)
+     475        4075 :       s3_pc_dup_0 <= s2_pc_dup_0;
+     476        8150 :     if (io_s2_fire_1)
+     477        4075 :       s3_pc_dup_1 <= s2_pc_dup_1;
+     478        8150 :     if (io_s2_fire_2) begin
+     479        4075 :       s3_pc_dup_2 <= s2_pc_dup_2;
+     480        4075 :       s3_top <= _RASStack_io_spec_pop_addr;
+     481        4075 :       s3_spec_new_addr <= _s2_spec_new_addr_T_1;
+     482        4075 :       s3_pushed_in_s2 <= s2_spec_push;
+     483        4075 :       s3_popped_in_s2 <= s2_spec_pop;
+     484        4075 :       s3_meta_ssp <= _RASStack_io_ssp;
+     485        4075 :       s3_meta_sctr <= _RASStack_io_sctr;
+     486        4075 :       s3_meta_TOSW_flag <= _RASStack_io_TOSW_flag;
+     487        4075 :       s3_meta_TOSW_value <= _RASStack_io_TOSW_value;
+     488        4075 :       s3_meta_TOSR_flag <= _RASStack_io_TOSR_flag;
+     489        4075 :       s3_meta_TOSR_value <= _RASStack_io_TOSR_value;
+     490        4075 :       s3_meta_NOS_flag <= _RASStack_io_NOS_flag;
+     491        4075 :       s3_meta_NOS_value <= _RASStack_io_NOS_value;
+     492             :     end
+     493        8150 :     if (io_s2_fire_3)
+     494        4075 :       s3_pc_dup_3 <= s2_pc_dup_3;
+     495       63847 :     REG <= reset;
+     496       63847 :     REG_1 <= REG & ~reset;
+     497          72 :     if (io_redirect_valid) begin
+     498          36 :       redirect_next_bits_r_level <= io_redirect_bits_level;
+     499          36 :       redirect_next_bits_r_cfiUpdate_pc <= io_redirect_bits_cfiUpdate_pc;
+     500          36 :       redirect_next_bits_r_cfiUpdate_pd_isRVC <= io_redirect_bits_cfiUpdate_pd_isRVC;
+     501          36 :       redirect_next_bits_r_cfiUpdate_pd_isCall <= io_redirect_bits_cfiUpdate_pd_isCall;
+     502          36 :       redirect_next_bits_r_cfiUpdate_pd_isRet <= io_redirect_bits_cfiUpdate_pd_isRet;
+     503          36 :       redirect_next_bits_r_cfiUpdate_ssp <= io_redirect_bits_cfiUpdate_ssp;
+     504          36 :       redirect_next_bits_r_cfiUpdate_sctr <= io_redirect_bits_cfiUpdate_sctr;
+     505          36 :       redirect_next_bits_r_cfiUpdate_TOSW_flag <= io_redirect_bits_cfiUpdate_TOSW_flag;
+     506          36 :       redirect_next_bits_r_cfiUpdate_TOSW_value <= io_redirect_bits_cfiUpdate_TOSW_value;
+     507          36 :       redirect_next_bits_r_cfiUpdate_TOSR_flag <= io_redirect_bits_cfiUpdate_TOSR_flag;
+     508          36 :       redirect_next_bits_r_cfiUpdate_TOSR_value <= io_redirect_bits_cfiUpdate_TOSR_value;
+     509          36 :       redirect_next_bits_r_cfiUpdate_NOS_flag <= io_redirect_bits_cfiUpdate_NOS_flag;
+     510          36 :       redirect_next_bits_r_cfiUpdate_NOS_value <= io_redirect_bits_cfiUpdate_NOS_value;
+     511             :     end
+     512             :   end // always @(posedge)
+     513      127730 :   always @(posedge clock or posedge reset) begin
+     514         272 :     if (reset)
+     515         136 :       redirect_next_valid_last_r <= 1'h0;
+     516         126 :     else if (io_redirect_valid | redirect_next_valid_last_r)
+     517          63 :       redirect_next_valid_last_r <= io_redirect_valid;
+     518             :   end // always @(posedge, posedge)
+     519             :   `ifdef ENABLE_INITIAL_REG_
+     520             :     `ifdef FIRRTL_BEFORE_INITIAL
+     521             :       `FIRRTL_BEFORE_INITIAL
+     522             :     `endif // FIRRTL_BEFORE_INITIAL
+     523             :     logic [31:0] _RANDOM[0:21];
+     524          58 :     initial begin
+     525             :       `ifdef INIT_RANDOM_PROLOG_
+     526             :         `INIT_RANDOM_PROLOG_
+     527             :       `endif // INIT_RANDOM_PROLOG_
+     528             :       `ifdef RANDOMIZE_REG_INIT
+     529             :         for (logic [4:0] i = 5'h0; i < 5'h16; i += 5'h1) begin
+     530             :           _RANDOM[i] = `RANDOM;
+     531             :         end
+     532             :         s1_pc_dup_0 = {_RANDOM[5'h0], _RANDOM[5'h1][8:0]};
+     533             :         s1_pc_dup_1 = {_RANDOM[5'h1][31:9], _RANDOM[5'h2][17:0]};
+     534             :         s1_pc_dup_2 = {_RANDOM[5'h2][31:18], _RANDOM[5'h3][26:0]};
+     535             :         s1_pc_dup_3 = {_RANDOM[5'h3][31:27], _RANDOM[5'h4], _RANDOM[5'h5][3:0]};
+     536             :         s2_pc_dup_0 = {_RANDOM[5'h5][31:4], _RANDOM[5'h6][12:0]};
+     537             :         s2_pc_dup_1 = {_RANDOM[5'h6][31:13], _RANDOM[5'h7][21:0]};
+     538             :         s2_pc_dup_2 = {_RANDOM[5'h7][31:22], _RANDOM[5'h8][30:0]};
+     539             :         s2_pc_dup_3 = {_RANDOM[5'h8][31], _RANDOM[5'h9], _RANDOM[5'hA][7:0]};
+     540             :         s3_pc_dup_0 = {_RANDOM[5'hA][31:8], _RANDOM[5'hB][16:0]};
+     541             :         s3_pc_dup_1 = {_RANDOM[5'hB][31:17], _RANDOM[5'hC][25:0]};
+     542             :         s3_pc_dup_2 = {_RANDOM[5'hC][31:26], _RANDOM[5'hD], _RANDOM[5'hE][2:0]};
+     543             :         s3_pc_dup_3 = {_RANDOM[5'hE][31:3], _RANDOM[5'hF][11:0]};
+     544             :         REG = _RANDOM[5'hF][12];
+     545             :         REG_1 = _RANDOM[5'hF][13];
+     546             :         s3_top = {_RANDOM[5'hF][31:14], _RANDOM[5'h10][22:0]};
+     547             :         s3_spec_new_addr = {_RANDOM[5'h10][31:23], _RANDOM[5'h11]};
+     548             :         s3_pushed_in_s2 = _RANDOM[5'h12][0];
+     549             :         s3_popped_in_s2 = _RANDOM[5'h12][1];
+     550             :         s3_meta_ssp = _RANDOM[5'h12][5:2];
+     551             :         s3_meta_sctr = _RANDOM[5'h12][8:6];
+     552             :         s3_meta_TOSW_flag = _RANDOM[5'h12][9];
+     553             :         s3_meta_TOSW_value = _RANDOM[5'h12][14:10];
+     554             :         s3_meta_TOSR_flag = _RANDOM[5'h12][15];
+     555             :         s3_meta_TOSR_value = _RANDOM[5'h12][20:16];
+     556             :         s3_meta_NOS_flag = _RANDOM[5'h12][21];
+     557             :         s3_meta_NOS_value = _RANDOM[5'h12][26:22];
+     558             :         redirect_next_valid_last_r = _RANDOM[5'h12][27];
+     559             :         redirect_next_bits_r_level = _RANDOM[5'h13][17];
+     560             :         redirect_next_bits_r_cfiUpdate_pc = {_RANDOM[5'h13][31:19], _RANDOM[5'h14][27:0]};
+     561             :         redirect_next_bits_r_cfiUpdate_pd_isRVC = _RANDOM[5'h14][29];
+     562             :         redirect_next_bits_r_cfiUpdate_pd_isCall = _RANDOM[5'h15][0];
+     563             :         redirect_next_bits_r_cfiUpdate_pd_isRet = _RANDOM[5'h15][1];
+     564             :         redirect_next_bits_r_cfiUpdate_ssp = _RANDOM[5'h15][5:2];
+     565             :         redirect_next_bits_r_cfiUpdate_sctr = _RANDOM[5'h15][7:6];
+     566             :         redirect_next_bits_r_cfiUpdate_TOSW_flag = _RANDOM[5'h15][8];
+     567             :         redirect_next_bits_r_cfiUpdate_TOSW_value = _RANDOM[5'h15][13:9];
+     568             :         redirect_next_bits_r_cfiUpdate_TOSR_flag = _RANDOM[5'h15][14];
+     569             :         redirect_next_bits_r_cfiUpdate_TOSR_value = _RANDOM[5'h15][19:15];
+     570             :         redirect_next_bits_r_cfiUpdate_NOS_flag = _RANDOM[5'h15][20];
+     571             :         redirect_next_bits_r_cfiUpdate_NOS_value = _RANDOM[5'h15][25:21];
+     572             :       `endif // RANDOMIZE_REG_INIT
+     573          17 :       if (reset)
+     574          12 :         redirect_next_valid_last_r = 1'h0;
+     575             :     end // initial
+     576             :     `ifdef FIRRTL_AFTER_INITIAL
+     577             :       `FIRRTL_AFTER_INITIAL
+     578             :     `endif // FIRRTL_AFTER_INITIAL
+     579             :   `endif // ENABLE_INITIAL_REG_
+     580             :   DelayN_2 reset_vector_delay (
+     581             :     .clock  (clock),
+     582             :     .io_in  (io_reset_vector),
+     583             :     .io_out (_reset_vector_delay_io_out)
+     584             :   );
+     585             :   RASStack RASStack (
+     586             :     .clock                       (clock),
+     587             :     .reset                       (reset),
+     588             :     .io_spec_push_valid          (s2_spec_push),
+     589             :     .io_spec_pop_valid           (s2_spec_pop),
+     590             :     .io_spec_push_addr           (_s2_spec_new_addr_T_1),
+     591             :     .io_s2_fire                  (io_s2_fire_2),
+     592             :     .io_s3_fire                  (io_s3_fire_2),
+     593             :     .io_s3_cancel
+     594             :       (io_s3_fire_2 & (s3_pushed_in_s2 != s3_push | s3_popped_in_s2 != s3_pop)),
+     595             :     .io_s3_meta_ssp              (s3_meta_ssp),
+     596             :     .io_s3_meta_sctr             (s3_meta_sctr),
+     597             :     .io_s3_meta_TOSW_flag        (s3_meta_TOSW_flag),
+     598             :     .io_s3_meta_TOSW_value       (s3_meta_TOSW_value),
+     599             :     .io_s3_meta_TOSR_flag        (s3_meta_TOSR_flag),
+     600             :     .io_s3_meta_TOSR_value       (s3_meta_TOSR_value),
+     601             :     .io_s3_meta_NOS_flag         (s3_meta_NOS_flag),
+     602             :     .io_s3_meta_NOS_value        (s3_meta_NOS_value),
+     603             :     .io_s3_missed_pop            (s3_pop & ~s3_popped_in_s2),
+     604             :     .io_s3_missed_push           (s3_push & ~s3_pushed_in_s2),
+     605             :     .io_s3_pushAddr              (s3_spec_new_addr),
+     606             :     .io_spec_pop_addr            (_RASStack_io_spec_pop_addr),
+     607             :     .io_commit_push_valid
+     608             :       (io_update_valid & io_update_bits_ftb_entry_tailSlot_valid
+     609             :        & io_update_bits_ftb_entry_isCall & io_update_bits_jmp_taken
+     610             :        & io_update_bits_cfi_idx_valid & _GEN_1),
+     611             :     .io_commit_pop_valid
+     612             :       (io_update_valid & io_update_bits_ftb_entry_tailSlot_valid
+     613             :        & io_update_bits_ftb_entry_isRet & io_update_bits_jmp_taken
+     614             :        & io_update_bits_cfi_idx_valid & _GEN_1),
+     615             :     .io_commit_meta_TOSW_flag    (io_update_bits_meta[17]),
+     616             :     .io_commit_meta_TOSW_value   (io_update_bits_meta[16:12]),
+     617             :     .io_commit_meta_ssp          (io_update_bits_meta[24:21]),
+     618             :     .io_redirect_valid           (redirect_next_valid_last_r),
+     619             :     .io_redirect_isCall
+     620             :       (redirect_next_valid_last_r & ~redirect_next_bits_r_level
+     621             :        & redirect_next_bits_r_cfiUpdate_pd_isCall),
+     622             :     .io_redirect_isRet
+     623             :       (redirect_next_valid_last_r & ~redirect_next_bits_r_level
+     624             :        & redirect_next_bits_r_cfiUpdate_pd_isRet),
+     625             :     .io_redirect_meta_ssp        (redirect_next_bits_r_cfiUpdate_ssp),
+     626             :     .io_redirect_meta_sctr       ({1'h0, redirect_next_bits_r_cfiUpdate_sctr}),
+     627             :     .io_redirect_meta_TOSW_flag  (redirect_next_bits_r_cfiUpdate_TOSW_flag),
+     628             :     .io_redirect_meta_TOSW_value (redirect_next_bits_r_cfiUpdate_TOSW_value),
+     629             :     .io_redirect_meta_TOSR_flag  (redirect_next_bits_r_cfiUpdate_TOSR_flag),
+     630             :     .io_redirect_meta_TOSR_value (redirect_next_bits_r_cfiUpdate_TOSR_value),
+     631             :     .io_redirect_meta_NOS_flag   (redirect_next_bits_r_cfiUpdate_NOS_flag),
+     632             :     .io_redirect_meta_NOS_value  (redirect_next_bits_r_cfiUpdate_NOS_value),
+     633             :     .io_redirect_callAddr
+     634             :       (41'(redirect_next_bits_r_cfiUpdate_pc
+     635             :            + {38'h0, redirect_next_bits_r_cfiUpdate_pd_isRVC ? 3'h2 : 3'h4})),
+     636             :     .io_ssp                      (_RASStack_io_ssp),
+     637             :     .io_sctr                     (_RASStack_io_sctr),
+     638             :     .io_TOSR_flag                (_RASStack_io_TOSR_flag),
+     639             :     .io_TOSR_value               (_RASStack_io_TOSR_value),
+     640             :     .io_TOSW_flag                (_RASStack_io_TOSW_flag),
+     641             :     .io_TOSW_value               (_RASStack_io_TOSW_value),
+     642             :     .io_NOS_flag                 (_RASStack_io_NOS_flag),
+     643             :     .io_NOS_value                (_RASStack_io_NOS_value)
+     644             :   );
+     645             :   assign io_out_s2_pc_0 = s2_pc_dup_0;
+     646             :   assign io_out_s2_pc_1 = s2_pc_dup_1;
+     647             :   assign io_out_s2_pc_2 = s2_pc_dup_2;
+     648             :   assign io_out_s2_pc_3 = s2_pc_dup_3;
+     649             :   assign io_out_s2_full_pred_0_br_taken_mask_0 =
+     650             :     io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_0;
+     651             :   assign io_out_s2_full_pred_0_br_taken_mask_1 =
+     652             :     io_in_bits_resp_in_0_s2_full_pred_0_br_taken_mask_1;
+     653             :   assign io_out_s2_full_pred_0_slot_valids_0 =
+     654             :     io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_0;
+     655             :   assign io_out_s2_full_pred_0_slot_valids_1 =
+     656             :     io_in_bits_resp_in_0_s2_full_pred_0_slot_valids_1;
+     657             :   assign io_out_s2_full_pred_0_targets_0 = io_in_bits_resp_in_0_s2_full_pred_0_targets_0;
+     658             :   assign io_out_s2_full_pred_0_targets_1 =
+     659             :     io_in_bits_resp_in_0_s2_full_pred_2_is_jalr
+     660             :       ? (_GEN
+     661             :            ? _RASStack_io_spec_pop_addr
+     662             :            : io_in_bits_resp_in_0_s2_full_pred_0_jalr_target)
+     663             :       : io_in_bits_resp_in_0_s2_full_pred_0_targets_1;
+     664             :   assign io_out_s2_full_pred_0_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_0_offsets_0;
+     665             :   assign io_out_s2_full_pred_0_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_0_offsets_1;
+     666             :   assign io_out_s2_full_pred_0_fallThroughAddr =
+     667             :     io_in_bits_resp_in_0_s2_full_pred_0_fallThroughAddr;
+     668             :   assign io_out_s2_full_pred_0_is_br_sharing =
+     669             :     io_in_bits_resp_in_0_s2_full_pred_0_is_br_sharing;
+     670             :   assign io_out_s2_full_pred_0_hit = io_in_bits_resp_in_0_s2_full_pred_0_hit;
+     671             :   assign io_out_s2_full_pred_1_br_taken_mask_0 =
+     672             :     io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_0;
+     673             :   assign io_out_s2_full_pred_1_br_taken_mask_1 =
+     674             :     io_in_bits_resp_in_0_s2_full_pred_1_br_taken_mask_1;
+     675             :   assign io_out_s2_full_pred_1_slot_valids_0 =
+     676             :     io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_0;
+     677             :   assign io_out_s2_full_pred_1_slot_valids_1 =
+     678             :     io_in_bits_resp_in_0_s2_full_pred_1_slot_valids_1;
+     679             :   assign io_out_s2_full_pred_1_targets_0 = io_in_bits_resp_in_0_s2_full_pred_1_targets_0;
+     680             :   assign io_out_s2_full_pred_1_targets_1 =
+     681             :     io_in_bits_resp_in_0_s2_full_pred_2_is_jalr
+     682             :       ? (_GEN
+     683             :            ? _RASStack_io_spec_pop_addr
+     684             :            : io_in_bits_resp_in_0_s2_full_pred_1_jalr_target)
+     685             :       : io_in_bits_resp_in_0_s2_full_pred_1_targets_1;
+     686             :   assign io_out_s2_full_pred_1_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_1_offsets_0;
+     687             :   assign io_out_s2_full_pred_1_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_1_offsets_1;
+     688             :   assign io_out_s2_full_pred_1_fallThroughAddr =
+     689             :     io_in_bits_resp_in_0_s2_full_pred_1_fallThroughAddr;
+     690             :   assign io_out_s2_full_pred_1_is_br_sharing =
+     691             :     io_in_bits_resp_in_0_s2_full_pred_1_is_br_sharing;
+     692             :   assign io_out_s2_full_pred_1_hit = io_in_bits_resp_in_0_s2_full_pred_1_hit;
+     693             :   assign io_out_s2_full_pred_2_br_taken_mask_0 =
+     694             :     io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_0;
+     695             :   assign io_out_s2_full_pred_2_br_taken_mask_1 =
+     696             :     io_in_bits_resp_in_0_s2_full_pred_2_br_taken_mask_1;
+     697             :   assign io_out_s2_full_pred_2_slot_valids_0 =
+     698             :     io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_0;
+     699             :   assign io_out_s2_full_pred_2_slot_valids_1 =
+     700             :     io_in_bits_resp_in_0_s2_full_pred_2_slot_valids_1;
+     701             :   assign io_out_s2_full_pred_2_targets_0 = io_in_bits_resp_in_0_s2_full_pred_2_targets_0;
+     702             :   assign io_out_s2_full_pred_2_targets_1 =
+     703             :     io_in_bits_resp_in_0_s2_full_pred_2_is_jalr
+     704             :       ? (_GEN
+     705             :            ? _RASStack_io_spec_pop_addr
+     706             :            : io_in_bits_resp_in_0_s2_full_pred_2_jalr_target)
+     707             :       : io_in_bits_resp_in_0_s2_full_pred_2_targets_1;
+     708             :   assign io_out_s2_full_pred_2_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_2_offsets_0;
+     709             :   assign io_out_s2_full_pred_2_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_2_offsets_1;
+     710             :   assign io_out_s2_full_pred_2_fallThroughAddr =
+     711             :     io_in_bits_resp_in_0_s2_full_pred_2_fallThroughAddr;
+     712             :   assign io_out_s2_full_pred_2_is_br_sharing =
+     713             :     io_in_bits_resp_in_0_s2_full_pred_2_is_br_sharing;
+     714             :   assign io_out_s2_full_pred_2_hit = io_in_bits_resp_in_0_s2_full_pred_2_hit;
+     715             :   assign io_out_s2_full_pred_3_br_taken_mask_0 =
+     716             :     io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_0;
+     717             :   assign io_out_s2_full_pred_3_br_taken_mask_1 =
+     718             :     io_in_bits_resp_in_0_s2_full_pred_3_br_taken_mask_1;
+     719             :   assign io_out_s2_full_pred_3_slot_valids_0 =
+     720             :     io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_0;
+     721             :   assign io_out_s2_full_pred_3_slot_valids_1 =
+     722             :     io_in_bits_resp_in_0_s2_full_pred_3_slot_valids_1;
+     723             :   assign io_out_s2_full_pred_3_targets_0 = io_in_bits_resp_in_0_s2_full_pred_3_targets_0;
+     724             :   assign io_out_s2_full_pred_3_targets_1 =
+     725             :     io_in_bits_resp_in_0_s2_full_pred_2_is_jalr
+     726             :       ? (_GEN
+     727             :            ? _RASStack_io_spec_pop_addr
+     728             :            : io_in_bits_resp_in_0_s2_full_pred_3_jalr_target)
+     729             :       : io_in_bits_resp_in_0_s2_full_pred_3_targets_1;
+     730             :   assign io_out_s2_full_pred_3_offsets_0 = io_in_bits_resp_in_0_s2_full_pred_3_offsets_0;
+     731             :   assign io_out_s2_full_pred_3_offsets_1 = io_in_bits_resp_in_0_s2_full_pred_3_offsets_1;
+     732             :   assign io_out_s2_full_pred_3_fallThroughAddr =
+     733             :     io_in_bits_resp_in_0_s2_full_pred_3_fallThroughAddr;
+     734             :   assign io_out_s2_full_pred_3_fallThroughErr =
+     735             :     io_in_bits_resp_in_0_s2_full_pred_3_fallThroughErr;
+     736             :   assign io_out_s2_full_pred_3_is_br_sharing =
+     737             :     io_in_bits_resp_in_0_s2_full_pred_3_is_br_sharing;
+     738             :   assign io_out_s2_full_pred_3_hit = io_in_bits_resp_in_0_s2_full_pred_3_hit;
+     739             :   assign io_out_s3_pc_0 = s3_pc_dup_0;
+     740             :   assign io_out_s3_pc_1 = s3_pc_dup_1;
+     741             :   assign io_out_s3_pc_2 = s3_pc_dup_2;
+     742             :   assign io_out_s3_pc_3 = s3_pc_dup_3;
+     743             :   assign io_out_s3_full_pred_0_br_taken_mask_0 =
+     744             :     io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_0;
+     745             :   assign io_out_s3_full_pred_0_br_taken_mask_1 =
+     746             :     io_in_bits_resp_in_0_s3_full_pred_0_br_taken_mask_1;
+     747             :   assign io_out_s3_full_pred_0_slot_valids_0 =
+     748             :     io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_0;
+     749             :   assign io_out_s3_full_pred_0_slot_valids_1 =
+     750             :     io_in_bits_resp_in_0_s3_full_pred_0_slot_valids_1;
+     751             :   assign io_out_s3_full_pred_0_targets_0 = io_in_bits_resp_in_0_s3_full_pred_0_targets_0;
+     752             :   assign io_out_s3_full_pred_0_targets_1 =
+     753             :     io_in_bits_resp_in_0_s3_full_pred_2_is_jalr
+     754             :       ? (_GEN_0 ? s3_top : io_in_bits_resp_in_0_s3_full_pred_0_jalr_target)
+     755             :       : io_in_bits_resp_in_0_s3_full_pred_0_targets_1;
+     756             :   assign io_out_s3_full_pred_0_fallThroughAddr =
+     757             :     io_in_bits_resp_in_0_s3_full_pred_0_fallThroughAddr;
+     758             :   assign io_out_s3_full_pred_0_fallThroughErr =
+     759             :     io_in_bits_resp_in_0_s3_full_pred_0_fallThroughErr;
+     760             :   assign io_out_s3_full_pred_0_is_br_sharing =
+     761             :     io_in_bits_resp_in_0_s3_full_pred_0_is_br_sharing;
+     762             :   assign io_out_s3_full_pred_0_hit = io_in_bits_resp_in_0_s3_full_pred_0_hit;
+     763             :   assign io_out_s3_full_pred_1_br_taken_mask_0 =
+     764             :     io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_0;
+     765             :   assign io_out_s3_full_pred_1_br_taken_mask_1 =
+     766             :     io_in_bits_resp_in_0_s3_full_pred_1_br_taken_mask_1;
+     767             :   assign io_out_s3_full_pred_1_slot_valids_0 =
+     768             :     io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_0;
+     769             :   assign io_out_s3_full_pred_1_slot_valids_1 =
+     770             :     io_in_bits_resp_in_0_s3_full_pred_1_slot_valids_1;
+     771             :   assign io_out_s3_full_pred_1_targets_0 = io_in_bits_resp_in_0_s3_full_pred_1_targets_0;
+     772             :   assign io_out_s3_full_pred_1_targets_1 =
+     773             :     io_in_bits_resp_in_0_s3_full_pred_2_is_jalr
+     774             :       ? (_GEN_0 ? s3_top : io_in_bits_resp_in_0_s3_full_pred_1_jalr_target)
+     775             :       : io_in_bits_resp_in_0_s3_full_pred_1_targets_1;
+     776             :   assign io_out_s3_full_pred_1_fallThroughAddr =
+     777             :     io_in_bits_resp_in_0_s3_full_pred_1_fallThroughAddr;
+     778             :   assign io_out_s3_full_pred_1_fallThroughErr =
+     779             :     io_in_bits_resp_in_0_s3_full_pred_1_fallThroughErr;
+     780             :   assign io_out_s3_full_pred_1_is_br_sharing =
+     781             :     io_in_bits_resp_in_0_s3_full_pred_1_is_br_sharing;
+     782             :   assign io_out_s3_full_pred_1_hit = io_in_bits_resp_in_0_s3_full_pred_1_hit;
+     783             :   assign io_out_s3_full_pred_2_br_taken_mask_0 =
+     784             :     io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_0;
+     785             :   assign io_out_s3_full_pred_2_br_taken_mask_1 =
+     786             :     io_in_bits_resp_in_0_s3_full_pred_2_br_taken_mask_1;
+     787             :   assign io_out_s3_full_pred_2_slot_valids_0 =
+     788             :     io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_0;
+     789             :   assign io_out_s3_full_pred_2_slot_valids_1 =
+     790             :     io_in_bits_resp_in_0_s3_full_pred_2_slot_valids_1;
+     791             :   assign io_out_s3_full_pred_2_targets_0 = io_in_bits_resp_in_0_s3_full_pred_2_targets_0;
+     792             :   assign io_out_s3_full_pred_2_targets_1 =
+     793             :     io_in_bits_resp_in_0_s3_full_pred_2_is_jalr
+     794             :       ? (_GEN_0 ? s3_top : io_in_bits_resp_in_0_s3_full_pred_2_jalr_target)
+     795             :       : io_in_bits_resp_in_0_s3_full_pred_2_targets_1;
+     796             :   assign io_out_s3_full_pred_2_fallThroughAddr =
+     797             :     io_in_bits_resp_in_0_s3_full_pred_2_fallThroughAddr;
+     798             :   assign io_out_s3_full_pred_2_fallThroughErr =
+     799             :     io_in_bits_resp_in_0_s3_full_pred_2_fallThroughErr;
+     800             :   assign io_out_s3_full_pred_2_is_br_sharing =
+     801             :     io_in_bits_resp_in_0_s3_full_pred_2_is_br_sharing;
+     802             :   assign io_out_s3_full_pred_2_hit = io_in_bits_resp_in_0_s3_full_pred_2_hit;
+     803             :   assign io_out_s3_full_pred_3_br_taken_mask_0 =
+     804             :     io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_0;
+     805             :   assign io_out_s3_full_pred_3_br_taken_mask_1 =
+     806             :     io_in_bits_resp_in_0_s3_full_pred_3_br_taken_mask_1;
+     807             :   assign io_out_s3_full_pred_3_slot_valids_0 =
+     808             :     io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_0;
+     809             :   assign io_out_s3_full_pred_3_slot_valids_1 =
+     810             :     io_in_bits_resp_in_0_s3_full_pred_3_slot_valids_1;
+     811             :   assign io_out_s3_full_pred_3_targets_0 = io_in_bits_resp_in_0_s3_full_pred_3_targets_0;
+     812             :   assign io_out_s3_full_pred_3_targets_1 =
+     813             :     io_in_bits_resp_in_0_s3_full_pred_2_is_jalr
+     814             :       ? (_GEN_0 ? s3_top : io_in_bits_resp_in_0_s3_full_pred_3_jalr_target)
+     815             :       : io_in_bits_resp_in_0_s3_full_pred_3_targets_1;
+     816             :   assign io_out_s3_full_pred_3_offsets_0 = io_in_bits_resp_in_0_s3_full_pred_3_offsets_0;
+     817             :   assign io_out_s3_full_pred_3_offsets_1 = io_in_bits_resp_in_0_s3_full_pred_3_offsets_1;
+     818             :   assign io_out_s3_full_pred_3_fallThroughAddr =
+     819             :     io_in_bits_resp_in_0_s3_full_pred_3_fallThroughAddr;
+     820             :   assign io_out_s3_full_pred_3_fallThroughErr =
+     821             :     io_in_bits_resp_in_0_s3_full_pred_3_fallThroughErr;
+     822             :   assign io_out_s3_full_pred_3_is_br_sharing =
+     823             :     io_in_bits_resp_in_0_s3_full_pred_3_is_br_sharing;
+     824             :   assign io_out_s3_full_pred_3_hit = io_in_bits_resp_in_0_s3_full_pred_3_hit;
+     825             :   assign io_out_last_stage_meta =
+     826             :     {198'h0,
+     827             :      s3_meta_ssp,
+     828             :      s3_meta_sctr,
+     829             :      s3_meta_TOSW_flag,
+     830             :      s3_meta_TOSW_value,
+     831             :      s3_meta_TOSR_flag,
+     832             :      s3_meta_TOSR_value,
+     833             :      s3_meta_NOS_flag,
+     834             :      s3_meta_NOS_value};
+     835             :   assign io_out_last_stage_spec_info_ssp = s3_meta_ssp;
+     836             :   assign io_out_last_stage_spec_info_sctr = s3_meta_sctr[1:0];
+     837             :   assign io_out_last_stage_spec_info_TOSW_flag = s3_meta_TOSW_flag;
+     838             :   assign io_out_last_stage_spec_info_TOSW_value = s3_meta_TOSW_value;
+     839             :   assign io_out_last_stage_spec_info_TOSR_flag = s3_meta_TOSR_flag;
+     840             :   assign io_out_last_stage_spec_info_TOSR_value = s3_meta_TOSR_value;
+     841             :   assign io_out_last_stage_spec_info_NOS_flag = s3_meta_NOS_flag;
+     842             :   assign io_out_last_stage_spec_info_NOS_value = s3_meta_NOS_value;
+     843             :   assign io_out_last_stage_spec_info_topAddr = s3_top;
+     844             :   assign io_out_last_stage_ftb_entry_valid =
+     845             :     io_in_bits_resp_in_0_last_stage_ftb_entry_valid;
+     846             :   assign io_out_last_stage_ftb_entry_brSlots_0_offset =
+     847             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_offset;
+     848             :   assign io_out_last_stage_ftb_entry_brSlots_0_lower =
+     849             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_lower;
+     850             :   assign io_out_last_stage_ftb_entry_brSlots_0_tarStat =
+     851             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_tarStat;
+     852             :   assign io_out_last_stage_ftb_entry_brSlots_0_sharing =
+     853             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_sharing;
+     854             :   assign io_out_last_stage_ftb_entry_brSlots_0_valid =
+     855             :     io_in_bits_resp_in_0_last_stage_ftb_entry_brSlots_0_valid;
+     856             :   assign io_out_last_stage_ftb_entry_tailSlot_offset =
+     857             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_offset;
+     858             :   assign io_out_last_stage_ftb_entry_tailSlot_lower =
+     859             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_lower;
+     860             :   assign io_out_last_stage_ftb_entry_tailSlot_tarStat =
+     861             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_tarStat;
+     862             :   assign io_out_last_stage_ftb_entry_tailSlot_sharing =
+     863             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_sharing;
+     864             :   assign io_out_last_stage_ftb_entry_tailSlot_valid =
+     865             :     io_in_bits_resp_in_0_last_stage_ftb_entry_tailSlot_valid;
+     866             :   assign io_out_last_stage_ftb_entry_pftAddr =
+     867             :     io_in_bits_resp_in_0_last_stage_ftb_entry_pftAddr;
+     868             :   assign io_out_last_stage_ftb_entry_carry =
+     869             :     io_in_bits_resp_in_0_last_stage_ftb_entry_carry;
+     870             :   assign io_out_last_stage_ftb_entry_isCall =
+     871             :     io_in_bits_resp_in_0_last_stage_ftb_entry_isCall;
+     872             :   assign io_out_last_stage_ftb_entry_isRet =
+     873             :     io_in_bits_resp_in_0_last_stage_ftb_entry_isRet;
+     874             :   assign io_out_last_stage_ftb_entry_isJalr =
+     875             :     io_in_bits_resp_in_0_last_stage_ftb_entry_isJalr;
+     876             :   assign io_out_last_stage_ftb_entry_last_may_be_rvi_call =
+     877             :     io_in_bits_resp_in_0_last_stage_ftb_entry_last_may_be_rvi_call;
+     878             :   assign io_out_last_stage_ftb_entry_always_taken_0 =
+     879             :     io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_0;
+     880             :   assign io_out_last_stage_ftb_entry_always_taken_1 =
+     881             :     io_in_bits_resp_in_0_last_stage_ftb_entry_always_taken_1;
+     882             : endmodule
+     883             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.func-sort-c.html new file mode 100644 index 0000000..3a3d308 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/RASStack.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - RASStack.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:790120565.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.func.html new file mode 100644 index 0000000..0c746f8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/RASStack.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - RASStack.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:790120565.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.gcov.html new file mode 100644 index 0000000..17c7161 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/RASStack.sv.gcov.html @@ -0,0 +1,2010 @@ + + + + + + + LCOV - merged.info - BPUTop/RASStack.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - RASStack.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:790120565.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module RASStack(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          16 :   input         io_spec_push_valid,
+      62          16 :   input         io_spec_pop_valid,
+      63        9401 :   input  [40:0] io_spec_push_addr,
+      64         127 :   input         io_s2_fire,
+      65         127 :   input         io_s3_fire,
+      66          22 :   input         io_s3_cancel,
+      67         156 :   input  [3:0]  io_s3_meta_ssp,
+      68         124 :   input  [2:0]  io_s3_meta_sctr,
+      69          48 :   input         io_s3_meta_TOSW_flag,
+      70         173 :   input  [4:0]  io_s3_meta_TOSW_value,
+      71          41 :   input         io_s3_meta_TOSR_flag,
+      72         218 :   input  [4:0]  io_s3_meta_TOSR_value,
+      73          28 :   input         io_s3_meta_NOS_flag,
+      74         172 :   input  [4:0]  io_s3_meta_NOS_value,
+      75          10 :   input         io_s3_missed_pop,
+      76          19 :   input         io_s3_missed_push,
+      77        9375 :   input  [40:0] io_s3_pushAddr,
+      78        1235 :   output [40:0] io_spec_pop_addr,
+      79          33 :   input         io_commit_push_valid,
+      80          29 :   input         io_commit_pop_valid,
+      81          34 :   input         io_commit_meta_TOSW_flag,
+      82         148 :   input  [4:0]  io_commit_meta_TOSW_value,
+      83         100 :   input  [3:0]  io_commit_meta_ssp,
+      84          83 :   input         io_redirect_valid,
+      85          25 :   input         io_redirect_isCall,
+      86          23 :   input         io_redirect_isRet,
+      87         106 :   input  [3:0]  io_redirect_meta_ssp,
+      88          78 :   input  [2:0]  io_redirect_meta_sctr,
+      89          28 :   input         io_redirect_meta_TOSW_flag,
+      90         151 :   input  [4:0]  io_redirect_meta_TOSW_value,
+      91          32 :   input         io_redirect_meta_TOSR_flag,
+      92         132 :   input  [4:0]  io_redirect_meta_TOSR_value,
+      93          37 :   input         io_redirect_meta_NOS_flag,
+      94         134 :   input  [4:0]  io_redirect_meta_NOS_value,
+      95        1100 :   input  [40:0] io_redirect_callAddr,
+      96         120 :   output [3:0]  io_ssp,
+      97         107 :   output [2:0]  io_sctr,
+      98          31 :   output        io_TOSR_flag,
+      99         180 :   output [4:0]  io_TOSR_value,
+     100          36 :   output        io_TOSW_flag,
+     101         174 :   output [4:0]  io_TOSW_value,
+     102          29 :   output        io_NOS_flag,
+     103         142 :   output [4:0]  io_NOS_value
+     104             : );
+     105             : 
+     106         963 :   reg  [40:0]       commit_stack_0_retAddr;
+     107         203 :   reg  [7:0]        commit_stack_0_ctr;
+     108         905 :   reg  [40:0]       commit_stack_1_retAddr;
+     109         182 :   reg  [7:0]        commit_stack_1_ctr;
+     110         967 :   reg  [40:0]       commit_stack_2_retAddr;
+     111         192 :   reg  [7:0]        commit_stack_2_ctr;
+     112         942 :   reg  [40:0]       commit_stack_3_retAddr;
+     113         197 :   reg  [7:0]        commit_stack_3_ctr;
+     114         909 :   reg  [40:0]       commit_stack_4_retAddr;
+     115         172 :   reg  [7:0]        commit_stack_4_ctr;
+     116         965 :   reg  [40:0]       commit_stack_5_retAddr;
+     117         180 :   reg  [7:0]        commit_stack_5_ctr;
+     118         980 :   reg  [40:0]       commit_stack_6_retAddr;
+     119         182 :   reg  [7:0]        commit_stack_6_ctr;
+     120         977 :   reg  [40:0]       commit_stack_7_retAddr;
+     121         178 :   reg  [7:0]        commit_stack_7_ctr;
+     122         950 :   reg  [40:0]       commit_stack_8_retAddr;
+     123         176 :   reg  [7:0]        commit_stack_8_ctr;
+     124         957 :   reg  [40:0]       commit_stack_9_retAddr;
+     125         171 :   reg  [7:0]        commit_stack_9_ctr;
+     126         968 :   reg  [40:0]       commit_stack_10_retAddr;
+     127         211 :   reg  [7:0]        commit_stack_10_ctr;
+     128         939 :   reg  [40:0]       commit_stack_11_retAddr;
+     129         164 :   reg  [7:0]        commit_stack_11_ctr;
+     130         953 :   reg  [40:0]       commit_stack_12_retAddr;
+     131         187 :   reg  [7:0]        commit_stack_12_ctr;
+     132         931 :   reg  [40:0]       commit_stack_13_retAddr;
+     133         173 :   reg  [7:0]        commit_stack_13_ctr;
+     134         933 :   reg  [40:0]       commit_stack_14_retAddr;
+     135         187 :   reg  [7:0]        commit_stack_14_ctr;
+     136         940 :   reg  [40:0]       commit_stack_15_retAddr;
+     137         187 :   reg  [7:0]        commit_stack_15_ctr;
+     138         946 :   reg  [40:0]       spec_queue_0_retAddr;
+     139         202 :   reg  [7:0]        spec_queue_0_ctr;
+     140         974 :   reg  [40:0]       spec_queue_1_retAddr;
+     141         169 :   reg  [7:0]        spec_queue_1_ctr;
+     142         912 :   reg  [40:0]       spec_queue_2_retAddr;
+     143         185 :   reg  [7:0]        spec_queue_2_ctr;
+     144         955 :   reg  [40:0]       spec_queue_3_retAddr;
+     145         185 :   reg  [7:0]        spec_queue_3_ctr;
+     146         946 :   reg  [40:0]       spec_queue_4_retAddr;
+     147         184 :   reg  [7:0]        spec_queue_4_ctr;
+     148         997 :   reg  [40:0]       spec_queue_5_retAddr;
+     149         195 :   reg  [7:0]        spec_queue_5_ctr;
+     150         924 :   reg  [40:0]       spec_queue_6_retAddr;
+     151         184 :   reg  [7:0]        spec_queue_6_ctr;
+     152         956 :   reg  [40:0]       spec_queue_7_retAddr;
+     153         172 :   reg  [7:0]        spec_queue_7_ctr;
+     154         973 :   reg  [40:0]       spec_queue_8_retAddr;
+     155         183 :   reg  [7:0]        spec_queue_8_ctr;
+     156         980 :   reg  [40:0]       spec_queue_9_retAddr;
+     157         183 :   reg  [7:0]        spec_queue_9_ctr;
+     158         943 :   reg  [40:0]       spec_queue_10_retAddr;
+     159         172 :   reg  [7:0]        spec_queue_10_ctr;
+     160         924 :   reg  [40:0]       spec_queue_11_retAddr;
+     161         202 :   reg  [7:0]        spec_queue_11_ctr;
+     162         918 :   reg  [40:0]       spec_queue_12_retAddr;
+     163         185 :   reg  [7:0]        spec_queue_12_ctr;
+     164        1005 :   reg  [40:0]       spec_queue_13_retAddr;
+     165         196 :   reg  [7:0]        spec_queue_13_ctr;
+     166         958 :   reg  [40:0]       spec_queue_14_retAddr;
+     167         192 :   reg  [7:0]        spec_queue_14_ctr;
+     168         972 :   reg  [40:0]       spec_queue_15_retAddr;
+     169         188 :   reg  [7:0]        spec_queue_15_ctr;
+     170         928 :   reg  [40:0]       spec_queue_16_retAddr;
+     171         175 :   reg  [7:0]        spec_queue_16_ctr;
+     172        1001 :   reg  [40:0]       spec_queue_17_retAddr;
+     173         189 :   reg  [7:0]        spec_queue_17_ctr;
+     174         968 :   reg  [40:0]       spec_queue_18_retAddr;
+     175         177 :   reg  [7:0]        spec_queue_18_ctr;
+     176         948 :   reg  [40:0]       spec_queue_19_retAddr;
+     177         204 :   reg  [7:0]        spec_queue_19_ctr;
+     178         920 :   reg  [40:0]       spec_queue_20_retAddr;
+     179         181 :   reg  [7:0]        spec_queue_20_ctr;
+     180         941 :   reg  [40:0]       spec_queue_21_retAddr;
+     181         192 :   reg  [7:0]        spec_queue_21_ctr;
+     182         968 :   reg  [40:0]       spec_queue_22_retAddr;
+     183         198 :   reg  [7:0]        spec_queue_22_ctr;
+     184         907 :   reg  [40:0]       spec_queue_23_retAddr;
+     185         181 :   reg  [7:0]        spec_queue_23_ctr;
+     186         942 :   reg  [40:0]       spec_queue_24_retAddr;
+     187         201 :   reg  [7:0]        spec_queue_24_ctr;
+     188         938 :   reg  [40:0]       spec_queue_25_retAddr;
+     189         185 :   reg  [7:0]        spec_queue_25_ctr;
+     190         935 :   reg  [40:0]       spec_queue_26_retAddr;
+     191         169 :   reg  [7:0]        spec_queue_26_ctr;
+     192         959 :   reg  [40:0]       spec_queue_27_retAddr;
+     193         189 :   reg  [7:0]        spec_queue_27_ctr;
+     194         937 :   reg  [40:0]       spec_queue_28_retAddr;
+     195         181 :   reg  [7:0]        spec_queue_28_ctr;
+     196         949 :   reg  [40:0]       spec_queue_29_retAddr;
+     197         197 :   reg  [7:0]        spec_queue_29_ctr;
+     198         957 :   reg  [40:0]       spec_queue_30_retAddr;
+     199         180 :   reg  [7:0]        spec_queue_30_ctr;
+     200         948 :   reg  [40:0]       spec_queue_31_retAddr;
+     201         167 :   reg  [7:0]        spec_queue_31_ctr;
+     202          25 :   reg               spec_nos_0_flag;
+     203         115 :   reg  [4:0]        spec_nos_0_value;
+     204          19 :   reg               spec_nos_1_flag;
+     205         106 :   reg  [4:0]        spec_nos_1_value;
+     206          27 :   reg               spec_nos_2_flag;
+     207         107 :   reg  [4:0]        spec_nos_2_value;
+     208          24 :   reg               spec_nos_3_flag;
+     209         110 :   reg  [4:0]        spec_nos_3_value;
+     210          24 :   reg               spec_nos_4_flag;
+     211         116 :   reg  [4:0]        spec_nos_4_value;
+     212          25 :   reg               spec_nos_5_flag;
+     213         121 :   reg  [4:0]        spec_nos_5_value;
+     214          23 :   reg               spec_nos_6_flag;
+     215         109 :   reg  [4:0]        spec_nos_6_value;
+     216          24 :   reg               spec_nos_7_flag;
+     217         117 :   reg  [4:0]        spec_nos_7_value;
+     218          19 :   reg               spec_nos_8_flag;
+     219         112 :   reg  [4:0]        spec_nos_8_value;
+     220          24 :   reg               spec_nos_9_flag;
+     221         108 :   reg  [4:0]        spec_nos_9_value;
+     222          21 :   reg               spec_nos_10_flag;
+     223         115 :   reg  [4:0]        spec_nos_10_value;
+     224          23 :   reg               spec_nos_11_flag;
+     225         127 :   reg  [4:0]        spec_nos_11_value;
+     226          22 :   reg               spec_nos_12_flag;
+     227         113 :   reg  [4:0]        spec_nos_12_value;
+     228          17 :   reg               spec_nos_13_flag;
+     229         122 :   reg  [4:0]        spec_nos_13_value;
+     230          22 :   reg               spec_nos_14_flag;
+     231         121 :   reg  [4:0]        spec_nos_14_value;
+     232          17 :   reg               spec_nos_15_flag;
+     233         116 :   reg  [4:0]        spec_nos_15_value;
+     234          21 :   reg               spec_nos_16_flag;
+     235         116 :   reg  [4:0]        spec_nos_16_value;
+     236          26 :   reg               spec_nos_17_flag;
+     237         123 :   reg  [4:0]        spec_nos_17_value;
+     238          24 :   reg               spec_nos_18_flag;
+     239         103 :   reg  [4:0]        spec_nos_18_value;
+     240          25 :   reg               spec_nos_19_flag;
+     241         109 :   reg  [4:0]        spec_nos_19_value;
+     242          19 :   reg               spec_nos_20_flag;
+     243         112 :   reg  [4:0]        spec_nos_20_value;
+     244          27 :   reg               spec_nos_21_flag;
+     245         110 :   reg  [4:0]        spec_nos_21_value;
+     246          25 :   reg               spec_nos_22_flag;
+     247         129 :   reg  [4:0]        spec_nos_22_value;
+     248          24 :   reg               spec_nos_23_flag;
+     249         125 :   reg  [4:0]        spec_nos_23_value;
+     250          24 :   reg               spec_nos_24_flag;
+     251         107 :   reg  [4:0]        spec_nos_24_value;
+     252          22 :   reg               spec_nos_25_flag;
+     253         121 :   reg  [4:0]        spec_nos_25_value;
+     254          23 :   reg               spec_nos_26_flag;
+     255         118 :   reg  [4:0]        spec_nos_26_value;
+     256          21 :   reg               spec_nos_27_flag;
+     257         127 :   reg  [4:0]        spec_nos_27_value;
+     258          22 :   reg               spec_nos_28_flag;
+     259         125 :   reg  [4:0]        spec_nos_28_value;
+     260          24 :   reg               spec_nos_29_flag;
+     261         115 :   reg  [4:0]        spec_nos_29_value;
+     262          25 :   reg               spec_nos_30_flag;
+     263         105 :   reg  [4:0]        spec_nos_30_value;
+     264          24 :   reg               spec_nos_31_flag;
+     265         115 :   reg  [4:0]        spec_nos_31_value;
+     266          89 :   reg  [3:0]        nsp;
+     267         120 :   reg  [3:0]        ssp;
+     268         107 :   reg  [2:0]        sctr;
+     269          31 :   reg               TOSR_flag;
+     270         180 :   reg  [4:0]        TOSR_value;
+     271          36 :   reg               TOSW_flag;
+     272         174 :   reg  [4:0]        TOSW_value;
+     273          21 :   reg               BOS_flag;
+     274         104 :   reg  [4:0]        BOS_value;
+     275          19 :   reg               spec_overflowed;
+     276         606 :   reg  [40:0]       writeBypassEntry_retAddr;
+     277         103 :   reg  [7:0]        writeBypassEntry_ctr;
+     278          13 :   reg               writeBypassNos_flag;
+     279          77 :   reg  [4:0]        writeBypassNos_value;
+     280          27 :   reg               writeBypassValid;
+     281             :   wire              _realPush_T_4 = io_redirect_valid & io_redirect_isCall;
+     282             :   wire              _GEN = TOSR_value >= BOS_value;
+     283          28 :   wire              topEntry_inflightValid =
+     284             :     (TOSR_flag ^ BOS_flag ^ _GEN) & (TOSR_flag ^ TOSW_flag ^ TOSR_value < TOSW_value);
+     285             :   wire [31:0][40:0] _GEN_0 =
+     286             :     {{spec_queue_31_retAddr},
+     287             :      {spec_queue_30_retAddr},
+     288             :      {spec_queue_29_retAddr},
+     289             :      {spec_queue_28_retAddr},
+     290             :      {spec_queue_27_retAddr},
+     291             :      {spec_queue_26_retAddr},
+     292             :      {spec_queue_25_retAddr},
+     293             :      {spec_queue_24_retAddr},
+     294             :      {spec_queue_23_retAddr},
+     295             :      {spec_queue_22_retAddr},
+     296             :      {spec_queue_21_retAddr},
+     297             :      {spec_queue_20_retAddr},
+     298             :      {spec_queue_19_retAddr},
+     299             :      {spec_queue_18_retAddr},
+     300             :      {spec_queue_17_retAddr},
+     301             :      {spec_queue_16_retAddr},
+     302             :      {spec_queue_15_retAddr},
+     303             :      {spec_queue_14_retAddr},
+     304             :      {spec_queue_13_retAddr},
+     305             :      {spec_queue_12_retAddr},
+     306             :      {spec_queue_11_retAddr},
+     307             :      {spec_queue_10_retAddr},
+     308             :      {spec_queue_9_retAddr},
+     309             :      {spec_queue_8_retAddr},
+     310             :      {spec_queue_7_retAddr},
+     311             :      {spec_queue_6_retAddr},
+     312             :      {spec_queue_5_retAddr},
+     313             :      {spec_queue_4_retAddr},
+     314             :      {spec_queue_3_retAddr},
+     315             :      {spec_queue_2_retAddr},
+     316             :      {spec_queue_1_retAddr},
+     317             :      {spec_queue_0_retAddr}};
+     318             :   wire [40:0]       _GEN_1 = _GEN_0[TOSR_value];
+     319             :   wire [31:0][7:0]  _GEN_2 =
+     320             :     {{spec_queue_31_ctr},
+     321             :      {spec_queue_30_ctr},
+     322             :      {spec_queue_29_ctr},
+     323             :      {spec_queue_28_ctr},
+     324             :      {spec_queue_27_ctr},
+     325             :      {spec_queue_26_ctr},
+     326             :      {spec_queue_25_ctr},
+     327             :      {spec_queue_24_ctr},
+     328             :      {spec_queue_23_ctr},
+     329             :      {spec_queue_22_ctr},
+     330             :      {spec_queue_21_ctr},
+     331             :      {spec_queue_20_ctr},
+     332             :      {spec_queue_19_ctr},
+     333             :      {spec_queue_18_ctr},
+     334             :      {spec_queue_17_ctr},
+     335             :      {spec_queue_16_ctr},
+     336             :      {spec_queue_15_ctr},
+     337             :      {spec_queue_14_ctr},
+     338             :      {spec_queue_13_ctr},
+     339             :      {spec_queue_12_ctr},
+     340             :      {spec_queue_11_ctr},
+     341             :      {spec_queue_10_ctr},
+     342             :      {spec_queue_9_ctr},
+     343             :      {spec_queue_8_ctr},
+     344             :      {spec_queue_7_ctr},
+     345             :      {spec_queue_6_ctr},
+     346             :      {spec_queue_5_ctr},
+     347             :      {spec_queue_4_ctr},
+     348             :      {spec_queue_3_ctr},
+     349             :      {spec_queue_2_ctr},
+     350             :      {spec_queue_1_ctr},
+     351             :      {spec_queue_0_ctr}};
+     352             :   wire [15:0][40:0] _GEN_3 =
+     353             :     {{commit_stack_15_retAddr},
+     354             :      {commit_stack_14_retAddr},
+     355             :      {commit_stack_13_retAddr},
+     356             :      {commit_stack_12_retAddr},
+     357             :      {commit_stack_11_retAddr},
+     358             :      {commit_stack_10_retAddr},
+     359             :      {commit_stack_9_retAddr},
+     360             :      {commit_stack_8_retAddr},
+     361             :      {commit_stack_7_retAddr},
+     362             :      {commit_stack_6_retAddr},
+     363             :      {commit_stack_5_retAddr},
+     364             :      {commit_stack_4_retAddr},
+     365             :      {commit_stack_3_retAddr},
+     366             :      {commit_stack_2_retAddr},
+     367             :      {commit_stack_1_retAddr},
+     368             :      {commit_stack_0_retAddr}};
+     369             :   wire [40:0]       _GEN_4 = _GEN_3[ssp];
+     370             :   wire [15:0][7:0]  _GEN_5 =
+     371             :     {{commit_stack_15_ctr},
+     372             :      {commit_stack_14_ctr},
+     373             :      {commit_stack_13_ctr},
+     374             :      {commit_stack_12_ctr},
+     375             :      {commit_stack_11_ctr},
+     376             :      {commit_stack_10_ctr},
+     377             :      {commit_stack_9_ctr},
+     378             :      {commit_stack_8_ctr},
+     379             :      {commit_stack_7_ctr},
+     380             :      {commit_stack_6_ctr},
+     381             :      {commit_stack_5_ctr},
+     382             :      {commit_stack_4_ctr},
+     383             :      {commit_stack_3_ctr},
+     384             :      {commit_stack_2_ctr},
+     385             :      {commit_stack_1_ctr},
+     386             :      {commit_stack_0_ctr}};
+     387             :   wire [31:0]       _GEN_6 =
+     388             :     {{spec_nos_31_flag},
+     389             :      {spec_nos_30_flag},
+     390             :      {spec_nos_29_flag},
+     391             :      {spec_nos_28_flag},
+     392             :      {spec_nos_27_flag},
+     393             :      {spec_nos_26_flag},
+     394             :      {spec_nos_25_flag},
+     395             :      {spec_nos_24_flag},
+     396             :      {spec_nos_23_flag},
+     397             :      {spec_nos_22_flag},
+     398             :      {spec_nos_21_flag},
+     399             :      {spec_nos_20_flag},
+     400             :      {spec_nos_19_flag},
+     401             :      {spec_nos_18_flag},
+     402             :      {spec_nos_17_flag},
+     403             :      {spec_nos_16_flag},
+     404             :      {spec_nos_15_flag},
+     405             :      {spec_nos_14_flag},
+     406             :      {spec_nos_13_flag},
+     407             :      {spec_nos_12_flag},
+     408             :      {spec_nos_11_flag},
+     409             :      {spec_nos_10_flag},
+     410             :      {spec_nos_9_flag},
+     411             :      {spec_nos_8_flag},
+     412             :      {spec_nos_7_flag},
+     413             :      {spec_nos_6_flag},
+     414             :      {spec_nos_5_flag},
+     415             :      {spec_nos_4_flag},
+     416             :      {spec_nos_3_flag},
+     417             :      {spec_nos_2_flag},
+     418             :      {spec_nos_1_flag},
+     419             :      {spec_nos_0_flag}};
+     420             :   wire [31:0][4:0]  _GEN_7 =
+     421             :     {{spec_nos_31_value},
+     422             :      {spec_nos_30_value},
+     423             :      {spec_nos_29_value},
+     424             :      {spec_nos_28_value},
+     425             :      {spec_nos_27_value},
+     426             :      {spec_nos_26_value},
+     427             :      {spec_nos_25_value},
+     428             :      {spec_nos_24_value},
+     429             :      {spec_nos_23_value},
+     430             :      {spec_nos_22_value},
+     431             :      {spec_nos_21_value},
+     432             :      {spec_nos_20_value},
+     433             :      {spec_nos_19_value},
+     434             :      {spec_nos_18_value},
+     435             :      {spec_nos_17_value},
+     436             :      {spec_nos_16_value},
+     437             :      {spec_nos_15_value},
+     438             :      {spec_nos_14_value},
+     439             :      {spec_nos_13_value},
+     440             :      {spec_nos_12_value},
+     441             :      {spec_nos_11_value},
+     442             :      {spec_nos_10_value},
+     443             :      {spec_nos_9_value},
+     444             :      {spec_nos_8_value},
+     445             :      {spec_nos_7_value},
+     446             :      {spec_nos_6_value},
+     447             :      {spec_nos_5_value},
+     448             :      {spec_nos_4_value},
+     449             :      {spec_nos_3_value},
+     450             :      {spec_nos_2_value},
+     451             :      {spec_nos_1_value},
+     452             :      {spec_nos_0_value}};
+     453             :   wire [4:0]        _GEN_8 = _GEN_7[TOSR_value];
+     454          29 :   wire              topNos_flag =
+     455             :     writeBypassValid ? writeBypassNos_flag : _GEN_6[TOSR_value];
+     456         142 :   wire [4:0]        topNos_value = writeBypassValid ? writeBypassNos_value : _GEN_8;
+     457          41 :   wire              differentFlag_15 = io_redirect_meta_TOSR_flag ^ BOS_flag;
+     458          23 :   wire              compare_15 = io_redirect_meta_TOSR_value < BOS_value;
+     459          32 :   wire              differentFlag_16 =
+     460             :     io_redirect_meta_TOSR_flag ^ io_redirect_meta_TOSW_flag;
+     461          27 :   wire              compare_16 =
+     462             :     io_redirect_meta_TOSR_value < io_redirect_meta_TOSW_value;
+     463          32 :   wire              redirectTopEntry_inflightValid =
+     464             :     (differentFlag_15 ^ ~compare_15) & (differentFlag_16 ^ compare_16);
+     465        9630 :   wire [40:0]       writeEntry_retAddr =
+     466             :     _realPush_T_4 ? io_redirect_callAddr : io_spec_push_addr;
+     467             :   wire              _writeEntry_ctr_T_1 =
+     468             :     (redirectTopEntry_inflightValid
+     469             :        ? _GEN_0[io_redirect_meta_TOSR_value]
+     470             :        : _GEN_3[io_redirect_meta_ssp]) == io_redirect_callAddr;
+     471             :   wire [2:0]        _sctr_T_12 = 3'(io_redirect_meta_sctr + 3'h1);
+     472             :   wire              _writeEntry_ctr_T_7 =
+     473             :     (writeBypassValid
+     474             :        ? writeBypassEntry_retAddr
+     475             :        : topEntry_inflightValid ? _GEN_1 : _GEN_4) == io_spec_push_addr;
+     476             :   wire [2:0]        _sctr_T = 3'(sctr + 3'h1);
+     477        1235 :   reg  [40:0]       timingTop_retAddr;
+     478        9381 :   reg  [40:0]       realWriteEntry_next_retAddr;
+     479         239 :   reg  [7:0]        realWriteEntry_next_ctr;
+     480             :   wire              _GEN_9 = io_redirect_isCall | ~io_s3_missed_push;
+     481        9410 :   wire [40:0]       realWriteEntry_retAddr =
+     482             :     _GEN_9 ? realWriteEntry_next_retAddr : io_s3_pushAddr;
+     483         193 :   reg  [4:0]        realWriteAddr_next_value;
+     484          32 :   reg               realNos_next_flag;
+     485         216 :   reg  [4:0]        realNos_next_value;
+     486          31 :   reg               realPush_r;
+     487          28 :   reg               realPush_REG;
+     488          32 :   wire              realPush =
+     489             :     io_s3_fire & (~io_s3_cancel & realPush_r | io_s3_missed_push) | realPush_REG;
+     490             :   wire              _GEN_10 = _writeEntry_ctr_T_1 & io_redirect_meta_sctr != 3'h7;
+     491             :   wire              _GEN_11 =
+     492             :     io_redirect_isRet & (differentFlag_15 ^ ~compare_15)
+     493             :     & (differentFlag_16 ^ compare_16);
+     494             :   wire [3:0]        _sctr_T_16 = 4'(io_redirect_meta_ssp - 4'h1);
+     495             :   wire [3:0]        _sctr_T_8 = 4'(io_s3_meta_ssp - 4'h1);
+     496             :   wire              _GEN_12 = _writeEntry_ctr_T_7 & sctr != 3'h7;
+     497             :   wire [3:0]        _sctr_T_4 = 4'(ssp - 4'h1);
+     498          23 :   wire              writeBypassValidWire =
+     499             :     _realPush_T_4 | ~io_redirect_valid
+     500             :     & (io_s2_fire ? io_spec_push_valid : ~io_s3_fire & writeBypassValid);
+     501          42 :   wire              differentFlag_10 = io_s3_meta_TOSR_flag ^ BOS_flag;
+     502          18 :   wire              compare_10 = io_s3_meta_TOSR_value < BOS_value;
+     503          53 :   wire              differentFlag_11 = io_s3_meta_TOSR_flag ^ io_s3_meta_TOSW_flag;
+     504          41 :   wire              compare_11 = io_s3_meta_TOSR_value < io_s3_meta_TOSW_value;
+     505          35 :   wire              s3TopEntry_inflightValid =
+     506             :     (differentFlag_10 ^ ~compare_10) & (differentFlag_11 ^ compare_11);
+     507             :   wire              _GEN_13 = io_redirect_meta_NOS_value >= BOS_value;
+     508             :   wire              _GEN_14 = io_redirect_meta_NOS_flag ^ BOS_flag ^ _GEN_13;
+     509             :   wire              _GEN_15 = topNos_value >= BOS_value;
+     510             :   wire              _GEN_16 = topNos_flag ^ BOS_flag ^ _GEN_15;
+     511             :   wire              _GEN_17 = io_s3_meta_NOS_value >= BOS_value;
+     512             :   wire              _GEN_18 = io_s3_meta_NOS_flag ^ BOS_flag ^ _GEN_17;
+     513             :   wire              _s3_missPushEntry_ctr_T =
+     514             :     (s3TopEntry_inflightValid
+     515             :        ? _GEN_0[io_s3_meta_TOSR_value]
+     516             :        : _GEN_3[io_s3_meta_ssp]) == io_s3_pushAddr;
+     517             :   wire [2:0]        _sctr_T_10 = 3'(io_s3_meta_sctr + 3'h1);
+     518         121 :   wire [7:0]        s3_missPushEntry_ctr =
+     519             :     {5'h0,
+     520             :      _s3_missPushEntry_ctr_T
+     521             :      & (s3TopEntry_inflightValid
+     522             :           ? _GEN_2[io_s3_meta_TOSR_value]
+     523             :           : _GEN_5[io_s3_meta_ssp]) < 8'h7
+     524             :        ? _sctr_T_10
+     525             :        : 3'h0};
+     526         185 :   wire [4:0]        realWriteAddr_value =
+     527             :     _GEN_9 ? realWriteAddr_next_value : io_s3_meta_TOSW_value;
+     528          35 :   wire              realNos_flag = _GEN_9 ? realNos_next_flag : io_s3_meta_TOSR_flag;
+     529         215 :   wire [4:0]        realNos_value = _GEN_9 ? realNos_next_value : io_s3_meta_TOSR_value;
+     530             :   wire [5:0]        _GEN_19 = {TOSW_flag, TOSW_value};
+     531             :   wire [5:0]        _GEN_20 = {BOS_flag, BOS_value};
+     532             :   wire              _GEN_21 =
+     533             :     io_spec_push_valid & 6'(_GEN_19 + 6'h1) == {BOS_flag, BOS_value};
+     534             :   wire [5:0]        _GEN_22 = {io_s3_meta_TOSW_flag, io_s3_meta_TOSW_value};
+     535             :   wire              _GEN_23 = 6'(_GEN_22 + 6'h1) == {BOS_flag, BOS_value};
+     536             :   wire [7:0]        _GEN_24 = _GEN_5[nsp];
+     537             :   wire [7:0]        _commit_stack_ctr_T = 8'(_GEN_24 - 8'h1);
+     538             :   wire              _GEN_25 = io_commit_meta_ssp == 4'h0;
+     539             :   wire              _GEN_26 = io_commit_pop_valid & (|_GEN_24) & _GEN_25;
+     540             :   wire              _GEN_27 = io_commit_meta_ssp == 4'h1;
+     541             :   wire              _GEN_28 = io_commit_pop_valid & (|_GEN_24) & _GEN_27;
+     542             :   wire              _GEN_29 = io_commit_meta_ssp == 4'h2;
+     543             :   wire              _GEN_30 = io_commit_pop_valid & (|_GEN_24) & _GEN_29;
+     544             :   wire              _GEN_31 = io_commit_meta_ssp == 4'h3;
+     545             :   wire              _GEN_32 = io_commit_pop_valid & (|_GEN_24) & _GEN_31;
+     546             :   wire              _GEN_33 = io_commit_meta_ssp == 4'h4;
+     547             :   wire              _GEN_34 = io_commit_pop_valid & (|_GEN_24) & _GEN_33;
+     548             :   wire              _GEN_35 = io_commit_meta_ssp == 4'h5;
+     549             :   wire              _GEN_36 = io_commit_pop_valid & (|_GEN_24) & _GEN_35;
+     550             :   wire              _GEN_37 = io_commit_meta_ssp == 4'h6;
+     551             :   wire              _GEN_38 = io_commit_pop_valid & (|_GEN_24) & _GEN_37;
+     552             :   wire              _GEN_39 = io_commit_meta_ssp == 4'h7;
+     553             :   wire              _GEN_40 = io_commit_pop_valid & (|_GEN_24) & _GEN_39;
+     554             :   wire              _GEN_41 = io_commit_meta_ssp == 4'h8;
+     555             :   wire              _GEN_42 = io_commit_pop_valid & (|_GEN_24) & _GEN_41;
+     556             :   wire              _GEN_43 = io_commit_meta_ssp == 4'h9;
+     557             :   wire              _GEN_44 = io_commit_pop_valid & (|_GEN_24) & _GEN_43;
+     558             :   wire              _GEN_45 = io_commit_meta_ssp == 4'hA;
+     559             :   wire              _GEN_46 = io_commit_pop_valid & (|_GEN_24) & _GEN_45;
+     560             :   wire              _GEN_47 = io_commit_meta_ssp == 4'hB;
+     561             :   wire              _GEN_48 = io_commit_pop_valid & (|_GEN_24) & _GEN_47;
+     562             :   wire              _GEN_49 = io_commit_meta_ssp == 4'hC;
+     563             :   wire              _GEN_50 = io_commit_pop_valid & (|_GEN_24) & _GEN_49;
+     564             :   wire              _GEN_51 = io_commit_meta_ssp == 4'hD;
+     565             :   wire              _GEN_52 = io_commit_pop_valid & (|_GEN_24) & _GEN_51;
+     566             :   wire              _GEN_53 = io_commit_meta_ssp == 4'hE;
+     567             :   wire              _GEN_54 = io_commit_pop_valid & (|_GEN_24) & _GEN_53;
+     568             :   wire              _GEN_55 = io_commit_pop_valid & (|_GEN_24) & (&io_commit_meta_ssp);
+     569             :   wire              _GEN_56 =
+     570             :     _GEN_24 < 8'h7 & _GEN_3[nsp] == _GEN_0[io_commit_meta_TOSW_value];
+     571             :   wire [3:0]        _nsp_T_2 = 4'(io_commit_meta_ssp + 4'h1);
+     572             :   wire              _GEN_57 = _nsp_T_2 == 4'h0;
+     573             :   wire              _GEN_58 = _nsp_T_2 == 4'h1;
+     574             :   wire              _GEN_59 = _nsp_T_2 == 4'h2;
+     575             :   wire              _GEN_60 = _nsp_T_2 == 4'h3;
+     576             :   wire              _GEN_61 = _nsp_T_2 == 4'h4;
+     577             :   wire              _GEN_62 = _nsp_T_2 == 4'h5;
+     578             :   wire              _GEN_63 = _nsp_T_2 == 4'h6;
+     579             :   wire              _GEN_64 = _nsp_T_2 == 4'h7;
+     580             :   wire              _GEN_65 = _nsp_T_2 == 4'h8;
+     581             :   wire              _GEN_66 = _nsp_T_2 == 4'h9;
+     582             :   wire              _GEN_67 = _nsp_T_2 == 4'hA;
+     583             :   wire              _GEN_68 = _nsp_T_2 == 4'hB;
+     584             :   wire              _GEN_69 = _nsp_T_2 == 4'hC;
+     585             :   wire              _GEN_70 = _nsp_T_2 == 4'hD;
+     586             :   wire              _GEN_71 = _nsp_T_2 == 4'hE;
+     587             :   wire [5:0]        _GEN_72 = {io_commit_meta_TOSW_flag, io_commit_meta_TOSW_value};
+     588             :   wire [5:0]        _new_ptr_T_11 = 6'(_GEN_72 + 6'h1);
+     589             :   wire              _GEN_73 =
+     590             :     io_commit_push_valid
+     591             :     & (~spec_overflowed | _new_ptr_T_11[5] ^ BOS_flag ^ _new_ptr_T_11[4:0] > BOS_value);
+     592             :   wire [5:0]        _GEN_74 = {io_redirect_meta_TOSW_flag, io_redirect_meta_TOSW_value};
+     593             :   wire              _GEN_75 =
+     594             :     io_redirect_valid & io_redirect_isCall & 6'(_GEN_74 + 6'h1) == {BOS_flag, BOS_value};
+     595             :   wire [7:0]        _commit_stack_ctr_T_2 = 8'(_GEN_24 + 8'h1);
+     596             :   wire [5:0]        _GEN_76 = 6'(_GEN_74 + 6'h1);
+     597          19 :   wire              inflightValid_8 =
+     598             :     _GEN_14
+     599             :     & (io_redirect_meta_NOS_flag ^ io_redirect_meta_TOSW_flag
+     600             :        ^ io_redirect_meta_NOS_value < io_redirect_meta_TOSW_value);
+     601             :   wire              _GEN_77 =
+     602             :     io_s3_missed_pop & (differentFlag_10 ^ ~compare_10) & (differentFlag_11 ^ compare_11);
+     603          25 :   wire              inflightValid_6 =
+     604             :     _GEN_18
+     605             :     & (io_s3_meta_NOS_flag ^ io_s3_meta_TOSW_flag
+     606             :        ^ io_s3_meta_NOS_value < io_s3_meta_TOSW_value);
+     607             :   wire [5:0]        _GEN_78 = 6'(_GEN_22 + 6'h1);
+     608             :   wire              _GEN_79 = _s3_missPushEntry_ctr_T & io_s3_meta_sctr != 3'h7;
+     609          24 :   wire              inflightValid_4 =
+     610             :     _GEN_16 & (topNos_flag ^ TOSW_flag ^ topNos_value < TOSW_value);
+     611             :   wire [5:0]        _GEN_80 = 6'(_GEN_19 + 6'h1);
+     612             :   wire [5:0]        _BOS_new_ptr_T_16 = 6'(_GEN_20 + 6'h1);
+     613             :   wire [5:0]        _BOS_new_ptr_T_11 = 6'(_GEN_72 + 6'h1);
+     614             :   wire [5:0]        _BOS_new_ptr_T_6 = 6'(_GEN_20 + 6'h1);
+     615             :   wire [5:0]        _BOS_new_ptr_T_1 = 6'(_GEN_20 + 6'h1);
+     616      127730 :   always @(posedge clock or posedge reset) begin
+     617         272 :     if (reset) begin
+     618         136 :       commit_stack_0_retAddr <= 41'h0;
+     619         136 :       commit_stack_0_ctr <= 8'h0;
+     620         136 :       commit_stack_1_retAddr <= 41'h0;
+     621         136 :       commit_stack_1_ctr <= 8'h0;
+     622         136 :       commit_stack_2_retAddr <= 41'h0;
+     623         136 :       commit_stack_2_ctr <= 8'h0;
+     624         136 :       commit_stack_3_retAddr <= 41'h0;
+     625         136 :       commit_stack_3_ctr <= 8'h0;
+     626         136 :       commit_stack_4_retAddr <= 41'h0;
+     627         136 :       commit_stack_4_ctr <= 8'h0;
+     628         136 :       commit_stack_5_retAddr <= 41'h0;
+     629         136 :       commit_stack_5_ctr <= 8'h0;
+     630         136 :       commit_stack_6_retAddr <= 41'h0;
+     631         136 :       commit_stack_6_ctr <= 8'h0;
+     632         136 :       commit_stack_7_retAddr <= 41'h0;
+     633         136 :       commit_stack_7_ctr <= 8'h0;
+     634         136 :       commit_stack_8_retAddr <= 41'h0;
+     635         136 :       commit_stack_8_ctr <= 8'h0;
+     636         136 :       commit_stack_9_retAddr <= 41'h0;
+     637         136 :       commit_stack_9_ctr <= 8'h0;
+     638         136 :       commit_stack_10_retAddr <= 41'h0;
+     639         136 :       commit_stack_10_ctr <= 8'h0;
+     640         136 :       commit_stack_11_retAddr <= 41'h0;
+     641         136 :       commit_stack_11_ctr <= 8'h0;
+     642         136 :       commit_stack_12_retAddr <= 41'h0;
+     643         136 :       commit_stack_12_ctr <= 8'h0;
+     644         136 :       commit_stack_13_retAddr <= 41'h0;
+     645         136 :       commit_stack_13_ctr <= 8'h0;
+     646         136 :       commit_stack_14_retAddr <= 41'h0;
+     647         136 :       commit_stack_14_ctr <= 8'h0;
+     648         136 :       commit_stack_15_retAddr <= 41'h0;
+     649         136 :       commit_stack_15_ctr <= 8'h0;
+     650         136 :       spec_queue_0_retAddr <= 41'h0;
+     651         136 :       spec_queue_0_ctr <= 8'h0;
+     652         136 :       spec_queue_1_retAddr <= 41'h0;
+     653         136 :       spec_queue_1_ctr <= 8'h0;
+     654         136 :       spec_queue_2_retAddr <= 41'h0;
+     655         136 :       spec_queue_2_ctr <= 8'h0;
+     656         136 :       spec_queue_3_retAddr <= 41'h0;
+     657         136 :       spec_queue_3_ctr <= 8'h0;
+     658         136 :       spec_queue_4_retAddr <= 41'h0;
+     659         136 :       spec_queue_4_ctr <= 8'h0;
+     660         136 :       spec_queue_5_retAddr <= 41'h0;
+     661         136 :       spec_queue_5_ctr <= 8'h0;
+     662         136 :       spec_queue_6_retAddr <= 41'h0;
+     663         136 :       spec_queue_6_ctr <= 8'h0;
+     664         136 :       spec_queue_7_retAddr <= 41'h0;
+     665         136 :       spec_queue_7_ctr <= 8'h0;
+     666         136 :       spec_queue_8_retAddr <= 41'h0;
+     667         136 :       spec_queue_8_ctr <= 8'h0;
+     668         136 :       spec_queue_9_retAddr <= 41'h0;
+     669         136 :       spec_queue_9_ctr <= 8'h0;
+     670         136 :       spec_queue_10_retAddr <= 41'h0;
+     671         136 :       spec_queue_10_ctr <= 8'h0;
+     672         136 :       spec_queue_11_retAddr <= 41'h0;
+     673         136 :       spec_queue_11_ctr <= 8'h0;
+     674         136 :       spec_queue_12_retAddr <= 41'h0;
+     675         136 :       spec_queue_12_ctr <= 8'h0;
+     676         136 :       spec_queue_13_retAddr <= 41'h0;
+     677         136 :       spec_queue_13_ctr <= 8'h0;
+     678         136 :       spec_queue_14_retAddr <= 41'h0;
+     679         136 :       spec_queue_14_ctr <= 8'h0;
+     680         136 :       spec_queue_15_retAddr <= 41'h0;
+     681         136 :       spec_queue_15_ctr <= 8'h0;
+     682         136 :       spec_queue_16_retAddr <= 41'h0;
+     683         136 :       spec_queue_16_ctr <= 8'h0;
+     684         136 :       spec_queue_17_retAddr <= 41'h0;
+     685         136 :       spec_queue_17_ctr <= 8'h0;
+     686         136 :       spec_queue_18_retAddr <= 41'h0;
+     687         136 :       spec_queue_18_ctr <= 8'h0;
+     688         136 :       spec_queue_19_retAddr <= 41'h0;
+     689         136 :       spec_queue_19_ctr <= 8'h0;
+     690         136 :       spec_queue_20_retAddr <= 41'h0;
+     691         136 :       spec_queue_20_ctr <= 8'h0;
+     692         136 :       spec_queue_21_retAddr <= 41'h0;
+     693         136 :       spec_queue_21_ctr <= 8'h0;
+     694         136 :       spec_queue_22_retAddr <= 41'h0;
+     695         136 :       spec_queue_22_ctr <= 8'h0;
+     696         136 :       spec_queue_23_retAddr <= 41'h0;
+     697         136 :       spec_queue_23_ctr <= 8'h0;
+     698         136 :       spec_queue_24_retAddr <= 41'h0;
+     699         136 :       spec_queue_24_ctr <= 8'h0;
+     700         136 :       spec_queue_25_retAddr <= 41'h0;
+     701         136 :       spec_queue_25_ctr <= 8'h0;
+     702         136 :       spec_queue_26_retAddr <= 41'h0;
+     703         136 :       spec_queue_26_ctr <= 8'h0;
+     704         136 :       spec_queue_27_retAddr <= 41'h0;
+     705         136 :       spec_queue_27_ctr <= 8'h0;
+     706         136 :       spec_queue_28_retAddr <= 41'h0;
+     707         136 :       spec_queue_28_ctr <= 8'h0;
+     708         136 :       spec_queue_29_retAddr <= 41'h0;
+     709         136 :       spec_queue_29_ctr <= 8'h0;
+     710         136 :       spec_queue_30_retAddr <= 41'h0;
+     711         136 :       spec_queue_30_ctr <= 8'h0;
+     712         136 :       spec_queue_31_retAddr <= 41'h0;
+     713         136 :       spec_queue_31_ctr <= 8'h0;
+     714         136 :       spec_nos_0_flag <= 1'h0;
+     715         136 :       spec_nos_0_value <= 5'h0;
+     716         136 :       spec_nos_1_flag <= 1'h0;
+     717         136 :       spec_nos_1_value <= 5'h0;
+     718         136 :       spec_nos_2_flag <= 1'h0;
+     719         136 :       spec_nos_2_value <= 5'h0;
+     720         136 :       spec_nos_3_flag <= 1'h0;
+     721         136 :       spec_nos_3_value <= 5'h0;
+     722         136 :       spec_nos_4_flag <= 1'h0;
+     723         136 :       spec_nos_4_value <= 5'h0;
+     724         136 :       spec_nos_5_flag <= 1'h0;
+     725         136 :       spec_nos_5_value <= 5'h0;
+     726         136 :       spec_nos_6_flag <= 1'h0;
+     727         136 :       spec_nos_6_value <= 5'h0;
+     728         136 :       spec_nos_7_flag <= 1'h0;
+     729         136 :       spec_nos_7_value <= 5'h0;
+     730         136 :       spec_nos_8_flag <= 1'h0;
+     731         136 :       spec_nos_8_value <= 5'h0;
+     732         136 :       spec_nos_9_flag <= 1'h0;
+     733         136 :       spec_nos_9_value <= 5'h0;
+     734         136 :       spec_nos_10_flag <= 1'h0;
+     735         136 :       spec_nos_10_value <= 5'h0;
+     736         136 :       spec_nos_11_flag <= 1'h0;
+     737         136 :       spec_nos_11_value <= 5'h0;
+     738         136 :       spec_nos_12_flag <= 1'h0;
+     739         136 :       spec_nos_12_value <= 5'h0;
+     740         136 :       spec_nos_13_flag <= 1'h0;
+     741         136 :       spec_nos_13_value <= 5'h0;
+     742         136 :       spec_nos_14_flag <= 1'h0;
+     743         136 :       spec_nos_14_value <= 5'h0;
+     744         136 :       spec_nos_15_flag <= 1'h0;
+     745         136 :       spec_nos_15_value <= 5'h0;
+     746         136 :       spec_nos_16_flag <= 1'h0;
+     747         136 :       spec_nos_16_value <= 5'h0;
+     748         136 :       spec_nos_17_flag <= 1'h0;
+     749         136 :       spec_nos_17_value <= 5'h0;
+     750         136 :       spec_nos_18_flag <= 1'h0;
+     751         136 :       spec_nos_18_value <= 5'h0;
+     752         136 :       spec_nos_19_flag <= 1'h0;
+     753         136 :       spec_nos_19_value <= 5'h0;
+     754         136 :       spec_nos_20_flag <= 1'h0;
+     755         136 :       spec_nos_20_value <= 5'h0;
+     756         136 :       spec_nos_21_flag <= 1'h0;
+     757         136 :       spec_nos_21_value <= 5'h0;
+     758         136 :       spec_nos_22_flag <= 1'h0;
+     759         136 :       spec_nos_22_value <= 5'h0;
+     760         136 :       spec_nos_23_flag <= 1'h0;
+     761         136 :       spec_nos_23_value <= 5'h0;
+     762         136 :       spec_nos_24_flag <= 1'h0;
+     763         136 :       spec_nos_24_value <= 5'h0;
+     764         136 :       spec_nos_25_flag <= 1'h0;
+     765         136 :       spec_nos_25_value <= 5'h0;
+     766         136 :       spec_nos_26_flag <= 1'h0;
+     767         136 :       spec_nos_26_value <= 5'h0;
+     768         136 :       spec_nos_27_flag <= 1'h0;
+     769         136 :       spec_nos_27_value <= 5'h0;
+     770         136 :       spec_nos_28_flag <= 1'h0;
+     771         136 :       spec_nos_28_value <= 5'h0;
+     772         136 :       spec_nos_29_flag <= 1'h0;
+     773         136 :       spec_nos_29_value <= 5'h0;
+     774         136 :       spec_nos_30_flag <= 1'h0;
+     775         136 :       spec_nos_30_value <= 5'h0;
+     776         136 :       spec_nos_31_flag <= 1'h0;
+     777         136 :       spec_nos_31_value <= 5'h0;
+     778         136 :       nsp <= 4'h0;
+     779         136 :       ssp <= 4'h0;
+     780         136 :       sctr <= 3'h0;
+     781         136 :       TOSR_flag <= 1'h1;
+     782         136 :       TOSR_value <= 5'h1F;
+     783         136 :       TOSW_flag <= 1'h0;
+     784         136 :       TOSW_value <= 5'h0;
+     785         136 :       BOS_flag <= 1'h0;
+     786         136 :       BOS_value <= 5'h0;
+     787         136 :       spec_overflowed <= 1'h0;
+     788         136 :       writeBypassValid <= 1'h0;
+     789         136 :       timingTop_retAddr <= 41'h0;
+     790             :     end
+     791       63729 :     else begin
+     792           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_57) begin
+     793             :       end
+     794             :       else
+     795           0 :         commit_stack_0_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+     796          14 :       if (io_commit_push_valid) begin
+     797           0 :         if (_GEN_56) begin
+     798          14 :           if (_GEN_25)
+     799           7 :             commit_stack_0_ctr <= _commit_stack_ctr_T_2;
+     800           0 :           else if (_GEN_26)
+     801           0 :             commit_stack_0_ctr <= _commit_stack_ctr_T;
+     802           0 :           if (_GEN_27)
+     803           0 :             commit_stack_1_ctr <= _commit_stack_ctr_T_2;
+     804           0 :           else if (_GEN_28)
+     805           0 :             commit_stack_1_ctr <= _commit_stack_ctr_T;
+     806           0 :           if (_GEN_29)
+     807           0 :             commit_stack_2_ctr <= _commit_stack_ctr_T_2;
+     808           0 :           else if (_GEN_30)
+     809           0 :             commit_stack_2_ctr <= _commit_stack_ctr_T;
+     810           0 :           if (_GEN_31)
+     811           0 :             commit_stack_3_ctr <= _commit_stack_ctr_T_2;
+     812           0 :           else if (_GEN_32)
+     813           0 :             commit_stack_3_ctr <= _commit_stack_ctr_T;
+     814           0 :           if (_GEN_33)
+     815           0 :             commit_stack_4_ctr <= _commit_stack_ctr_T_2;
+     816           0 :           else if (_GEN_34)
+     817           0 :             commit_stack_4_ctr <= _commit_stack_ctr_T;
+     818           0 :           if (_GEN_35)
+     819           0 :             commit_stack_5_ctr <= _commit_stack_ctr_T_2;
+     820           0 :           else if (_GEN_36)
+     821           0 :             commit_stack_5_ctr <= _commit_stack_ctr_T;
+     822           0 :           if (_GEN_37)
+     823           0 :             commit_stack_6_ctr <= _commit_stack_ctr_T_2;
+     824           0 :           else if (_GEN_38)
+     825           0 :             commit_stack_6_ctr <= _commit_stack_ctr_T;
+     826           0 :           if (_GEN_39)
+     827           0 :             commit_stack_7_ctr <= _commit_stack_ctr_T_2;
+     828           0 :           else if (_GEN_40)
+     829           0 :             commit_stack_7_ctr <= _commit_stack_ctr_T;
+     830           0 :           if (_GEN_41)
+     831           0 :             commit_stack_8_ctr <= _commit_stack_ctr_T_2;
+     832           0 :           else if (_GEN_42)
+     833           0 :             commit_stack_8_ctr <= _commit_stack_ctr_T;
+     834           0 :           if (_GEN_43)
+     835           0 :             commit_stack_9_ctr <= _commit_stack_ctr_T_2;
+     836           0 :           else if (_GEN_44)
+     837           0 :             commit_stack_9_ctr <= _commit_stack_ctr_T;
+     838           0 :           if (_GEN_45)
+     839           0 :             commit_stack_10_ctr <= _commit_stack_ctr_T_2;
+     840           0 :           else if (_GEN_46)
+     841           0 :             commit_stack_10_ctr <= _commit_stack_ctr_T;
+     842           0 :           if (_GEN_47)
+     843           0 :             commit_stack_11_ctr <= _commit_stack_ctr_T_2;
+     844           0 :           else if (_GEN_48)
+     845           0 :             commit_stack_11_ctr <= _commit_stack_ctr_T;
+     846           0 :           if (_GEN_49)
+     847           0 :             commit_stack_12_ctr <= _commit_stack_ctr_T_2;
+     848           0 :           else if (_GEN_50)
+     849           0 :             commit_stack_12_ctr <= _commit_stack_ctr_T;
+     850           0 :           if (_GEN_51)
+     851           0 :             commit_stack_13_ctr <= _commit_stack_ctr_T_2;
+     852           0 :           else if (_GEN_52)
+     853           0 :             commit_stack_13_ctr <= _commit_stack_ctr_T;
+     854           0 :           if (_GEN_53)
+     855           0 :             commit_stack_14_ctr <= _commit_stack_ctr_T_2;
+     856           0 :           else if (_GEN_54)
+     857           0 :             commit_stack_14_ctr <= _commit_stack_ctr_T;
+     858           0 :           if (&io_commit_meta_ssp)
+     859           0 :             commit_stack_15_ctr <= _commit_stack_ctr_T_2;
+     860           0 :           else if (_GEN_55)
+     861           0 :             commit_stack_15_ctr <= _commit_stack_ctr_T;
+     862           7 :           nsp <= io_commit_meta_ssp;
+     863             :         end
+     864           0 :         else begin
+     865           0 :           if (_GEN_57)
+     866           0 :             commit_stack_0_ctr <= 8'h0;
+     867           0 :           else if (_GEN_26)
+     868           0 :             commit_stack_0_ctr <= _commit_stack_ctr_T;
+     869           0 :           if (_GEN_58)
+     870           0 :             commit_stack_1_ctr <= 8'h0;
+     871           0 :           else if (_GEN_28)
+     872           0 :             commit_stack_1_ctr <= _commit_stack_ctr_T;
+     873           0 :           if (_GEN_59)
+     874           0 :             commit_stack_2_ctr <= 8'h0;
+     875           0 :           else if (_GEN_30)
+     876           0 :             commit_stack_2_ctr <= _commit_stack_ctr_T;
+     877           0 :           if (_GEN_60)
+     878           0 :             commit_stack_3_ctr <= 8'h0;
+     879           0 :           else if (_GEN_32)
+     880           0 :             commit_stack_3_ctr <= _commit_stack_ctr_T;
+     881           0 :           if (_GEN_61)
+     882           0 :             commit_stack_4_ctr <= 8'h0;
+     883           0 :           else if (_GEN_34)
+     884           0 :             commit_stack_4_ctr <= _commit_stack_ctr_T;
+     885           0 :           if (_GEN_62)
+     886           0 :             commit_stack_5_ctr <= 8'h0;
+     887           0 :           else if (_GEN_36)
+     888           0 :             commit_stack_5_ctr <= _commit_stack_ctr_T;
+     889           0 :           if (_GEN_63)
+     890           0 :             commit_stack_6_ctr <= 8'h0;
+     891           0 :           else if (_GEN_38)
+     892           0 :             commit_stack_6_ctr <= _commit_stack_ctr_T;
+     893           0 :           if (_GEN_64)
+     894           0 :             commit_stack_7_ctr <= 8'h0;
+     895           0 :           else if (_GEN_40)
+     896           0 :             commit_stack_7_ctr <= _commit_stack_ctr_T;
+     897           0 :           if (_GEN_65)
+     898           0 :             commit_stack_8_ctr <= 8'h0;
+     899           0 :           else if (_GEN_42)
+     900           0 :             commit_stack_8_ctr <= _commit_stack_ctr_T;
+     901           0 :           if (_GEN_66)
+     902           0 :             commit_stack_9_ctr <= 8'h0;
+     903           0 :           else if (_GEN_44)
+     904           0 :             commit_stack_9_ctr <= _commit_stack_ctr_T;
+     905           0 :           if (_GEN_67)
+     906           0 :             commit_stack_10_ctr <= 8'h0;
+     907           0 :           else if (_GEN_46)
+     908           0 :             commit_stack_10_ctr <= _commit_stack_ctr_T;
+     909           0 :           if (_GEN_68)
+     910           0 :             commit_stack_11_ctr <= 8'h0;
+     911           0 :           else if (_GEN_48)
+     912           0 :             commit_stack_11_ctr <= _commit_stack_ctr_T;
+     913           0 :           if (_GEN_69)
+     914           0 :             commit_stack_12_ctr <= 8'h0;
+     915           0 :           else if (_GEN_50)
+     916           0 :             commit_stack_12_ctr <= _commit_stack_ctr_T;
+     917           0 :           if (_GEN_70)
+     918           0 :             commit_stack_13_ctr <= 8'h0;
+     919           0 :           else if (_GEN_52)
+     920           0 :             commit_stack_13_ctr <= _commit_stack_ctr_T;
+     921           0 :           if (_GEN_71)
+     922           0 :             commit_stack_14_ctr <= 8'h0;
+     923           0 :           else if (_GEN_54)
+     924           0 :             commit_stack_14_ctr <= _commit_stack_ctr_T;
+     925           0 :           if (&_nsp_T_2)
+     926           0 :             commit_stack_15_ctr <= 8'h0;
+     927           0 :           else if (_GEN_55)
+     928           0 :             commit_stack_15_ctr <= _commit_stack_ctr_T;
+     929           0 :           nsp <= _nsp_T_2;
+     930             :         end
+     931             :       end
+     932       63722 :       else begin
+     933           0 :         if (_GEN_26)
+     934           0 :           commit_stack_0_ctr <= _commit_stack_ctr_T;
+     935           0 :         if (_GEN_28)
+     936           0 :           commit_stack_1_ctr <= _commit_stack_ctr_T;
+     937           0 :         if (_GEN_30)
+     938           0 :           commit_stack_2_ctr <= _commit_stack_ctr_T;
+     939           0 :         if (_GEN_32)
+     940           0 :           commit_stack_3_ctr <= _commit_stack_ctr_T;
+     941           0 :         if (_GEN_34)
+     942           0 :           commit_stack_4_ctr <= _commit_stack_ctr_T;
+     943           0 :         if (_GEN_36)
+     944           0 :           commit_stack_5_ctr <= _commit_stack_ctr_T;
+     945           0 :         if (_GEN_38)
+     946           0 :           commit_stack_6_ctr <= _commit_stack_ctr_T;
+     947           0 :         if (_GEN_40)
+     948           0 :           commit_stack_7_ctr <= _commit_stack_ctr_T;
+     949           0 :         if (_GEN_42)
+     950           0 :           commit_stack_8_ctr <= _commit_stack_ctr_T;
+     951           0 :         if (_GEN_44)
+     952           0 :           commit_stack_9_ctr <= _commit_stack_ctr_T;
+     953           0 :         if (_GEN_46)
+     954           0 :           commit_stack_10_ctr <= _commit_stack_ctr_T;
+     955           0 :         if (_GEN_48)
+     956           0 :           commit_stack_11_ctr <= _commit_stack_ctr_T;
+     957           0 :         if (_GEN_50)
+     958           0 :           commit_stack_12_ctr <= _commit_stack_ctr_T;
+     959           0 :         if (_GEN_52)
+     960           0 :           commit_stack_13_ctr <= _commit_stack_ctr_T;
+     961           0 :         if (_GEN_54)
+     962           0 :           commit_stack_14_ctr <= _commit_stack_ctr_T;
+     963           0 :         if (_GEN_55)
+     964           0 :           commit_stack_15_ctr <= _commit_stack_ctr_T;
+     965           0 :         if (io_commit_pop_valid) begin
+     966           0 :           if (|_GEN_24)
+     967           0 :             nsp <= io_commit_meta_ssp;
+     968             :           else
+     969           0 :             nsp <= 4'(io_commit_meta_ssp - 4'h1);
+     970             :         end
+     971             :       end
+     972           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_58) begin
+     973             :       end
+     974             :       else
+     975           0 :         commit_stack_1_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+     976           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_59) begin
+     977             :       end
+     978             :       else
+     979           0 :         commit_stack_2_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+     980           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_60) begin
+     981             :       end
+     982             :       else
+     983           0 :         commit_stack_3_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+     984           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_61) begin
+     985             :       end
+     986             :       else
+     987           0 :         commit_stack_4_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+     988           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_62) begin
+     989             :       end
+     990             :       else
+     991           0 :         commit_stack_5_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+     992           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_63) begin
+     993             :       end
+     994             :       else
+     995           0 :         commit_stack_6_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+     996           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_64) begin
+     997             :       end
+     998             :       else
+     999           0 :         commit_stack_7_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1000           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_65) begin
+    1001             :       end
+    1002             :       else
+    1003           0 :         commit_stack_8_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1004           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_66) begin
+    1005             :       end
+    1006             :       else
+    1007           0 :         commit_stack_9_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1008           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_67) begin
+    1009             :       end
+    1010             :       else
+    1011           0 :         commit_stack_10_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1012           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_68) begin
+    1013             :       end
+    1014             :       else
+    1015           0 :         commit_stack_11_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1016           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_69) begin
+    1017             :       end
+    1018             :       else
+    1019           0 :         commit_stack_12_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1020           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_70) begin
+    1021             :       end
+    1022             :       else
+    1023           0 :         commit_stack_13_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1024           0 :       if (~io_commit_push_valid | _GEN_56 | ~_GEN_71) begin
+    1025             :       end
+    1026             :       else
+    1027           0 :         commit_stack_14_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1028           0 :       if (~io_commit_push_valid | _GEN_56 | ~(&_nsp_T_2)) begin
+    1029             :       end
+    1030             :       else
+    1031           0 :         commit_stack_15_retAddr <= _GEN_0[io_commit_meta_TOSW_value];
+    1032           2 :       if (realPush & realWriteAddr_value == 5'h0) begin
+    1033           1 :         spec_queue_0_retAddr <= realWriteEntry_retAddr;
+    1034           0 :         if (_GEN_9)
+    1035           1 :           spec_queue_0_ctr <= realWriteEntry_next_ctr;
+    1036             :         else
+    1037           0 :           spec_queue_0_ctr <= s3_missPushEntry_ctr;
+    1038           1 :         spec_nos_0_flag <= realNos_flag;
+    1039           1 :         spec_nos_0_value <= realNos_value;
+    1040             :       end
+    1041           0 :       if (realPush & realWriteAddr_value == 5'h1) begin
+    1042           0 :         spec_queue_1_retAddr <= realWriteEntry_retAddr;
+    1043           0 :         if (_GEN_9)
+    1044           0 :           spec_queue_1_ctr <= realWriteEntry_next_ctr;
+    1045             :         else
+    1046           0 :           spec_queue_1_ctr <= s3_missPushEntry_ctr;
+    1047           0 :         spec_nos_1_flag <= realNos_flag;
+    1048           0 :         spec_nos_1_value <= realNos_value;
+    1049             :       end
+    1050           0 :       if (realPush & realWriteAddr_value == 5'h2) begin
+    1051           0 :         spec_queue_2_retAddr <= realWriteEntry_retAddr;
+    1052           0 :         if (_GEN_9)
+    1053           0 :           spec_queue_2_ctr <= realWriteEntry_next_ctr;
+    1054             :         else
+    1055           0 :           spec_queue_2_ctr <= s3_missPushEntry_ctr;
+    1056           0 :         spec_nos_2_flag <= realNos_flag;
+    1057           0 :         spec_nos_2_value <= realNos_value;
+    1058             :       end
+    1059           0 :       if (realPush & realWriteAddr_value == 5'h3) begin
+    1060           0 :         spec_queue_3_retAddr <= realWriteEntry_retAddr;
+    1061           0 :         if (_GEN_9)
+    1062           0 :           spec_queue_3_ctr <= realWriteEntry_next_ctr;
+    1063             :         else
+    1064           0 :           spec_queue_3_ctr <= s3_missPushEntry_ctr;
+    1065           0 :         spec_nos_3_flag <= realNos_flag;
+    1066           0 :         spec_nos_3_value <= realNos_value;
+    1067             :       end
+    1068           0 :       if (realPush & realWriteAddr_value == 5'h4) begin
+    1069           0 :         spec_queue_4_retAddr <= realWriteEntry_retAddr;
+    1070           0 :         if (_GEN_9)
+    1071           0 :           spec_queue_4_ctr <= realWriteEntry_next_ctr;
+    1072             :         else
+    1073           0 :           spec_queue_4_ctr <= s3_missPushEntry_ctr;
+    1074           0 :         spec_nos_4_flag <= realNos_flag;
+    1075           0 :         spec_nos_4_value <= realNos_value;
+    1076             :       end
+    1077           2 :       if (realPush & realWriteAddr_value == 5'h5) begin
+    1078           1 :         spec_queue_5_retAddr <= realWriteEntry_retAddr;
+    1079           0 :         if (_GEN_9)
+    1080           1 :           spec_queue_5_ctr <= realWriteEntry_next_ctr;
+    1081             :         else
+    1082           0 :           spec_queue_5_ctr <= s3_missPushEntry_ctr;
+    1083           1 :         spec_nos_5_flag <= realNos_flag;
+    1084           1 :         spec_nos_5_value <= realNos_value;
+    1085             :       end
+    1086           0 :       if (realPush & realWriteAddr_value == 5'h6) begin
+    1087           0 :         spec_queue_6_retAddr <= realWriteEntry_retAddr;
+    1088           0 :         if (_GEN_9)
+    1089           0 :           spec_queue_6_ctr <= realWriteEntry_next_ctr;
+    1090             :         else
+    1091           0 :           spec_queue_6_ctr <= s3_missPushEntry_ctr;
+    1092           0 :         spec_nos_6_flag <= realNos_flag;
+    1093           0 :         spec_nos_6_value <= realNos_value;
+    1094             :       end
+    1095           2 :       if (realPush & realWriteAddr_value == 5'h7) begin
+    1096           1 :         spec_queue_7_retAddr <= realWriteEntry_retAddr;
+    1097           0 :         if (_GEN_9)
+    1098           1 :           spec_queue_7_ctr <= realWriteEntry_next_ctr;
+    1099             :         else
+    1100           0 :           spec_queue_7_ctr <= s3_missPushEntry_ctr;
+    1101           1 :         spec_nos_7_flag <= realNos_flag;
+    1102           1 :         spec_nos_7_value <= realNos_value;
+    1103             :       end
+    1104           0 :       if (realPush & realWriteAddr_value == 5'h8) begin
+    1105           0 :         spec_queue_8_retAddr <= realWriteEntry_retAddr;
+    1106           0 :         if (_GEN_9)
+    1107           0 :           spec_queue_8_ctr <= realWriteEntry_next_ctr;
+    1108             :         else
+    1109           0 :           spec_queue_8_ctr <= s3_missPushEntry_ctr;
+    1110           0 :         spec_nos_8_flag <= realNos_flag;
+    1111           0 :         spec_nos_8_value <= realNos_value;
+    1112             :       end
+    1113           0 :       if (realPush & realWriteAddr_value == 5'h9) begin
+    1114           0 :         spec_queue_9_retAddr <= realWriteEntry_retAddr;
+    1115           0 :         if (_GEN_9)
+    1116           0 :           spec_queue_9_ctr <= realWriteEntry_next_ctr;
+    1117             :         else
+    1118           0 :           spec_queue_9_ctr <= s3_missPushEntry_ctr;
+    1119           0 :         spec_nos_9_flag <= realNos_flag;
+    1120           0 :         spec_nos_9_value <= realNos_value;
+    1121             :       end
+    1122           0 :       if (realPush & realWriteAddr_value == 5'hA) begin
+    1123           0 :         spec_queue_10_retAddr <= realWriteEntry_retAddr;
+    1124           0 :         if (_GEN_9)
+    1125           0 :           spec_queue_10_ctr <= realWriteEntry_next_ctr;
+    1126             :         else
+    1127           0 :           spec_queue_10_ctr <= s3_missPushEntry_ctr;
+    1128           0 :         spec_nos_10_flag <= realNos_flag;
+    1129           0 :         spec_nos_10_value <= realNos_value;
+    1130             :       end
+    1131           0 :       if (realPush & realWriteAddr_value == 5'hB) begin
+    1132           0 :         spec_queue_11_retAddr <= realWriteEntry_retAddr;
+    1133           0 :         if (_GEN_9)
+    1134           0 :           spec_queue_11_ctr <= realWriteEntry_next_ctr;
+    1135             :         else
+    1136           0 :           spec_queue_11_ctr <= s3_missPushEntry_ctr;
+    1137           0 :         spec_nos_11_flag <= realNos_flag;
+    1138           0 :         spec_nos_11_value <= realNos_value;
+    1139             :       end
+    1140           0 :       if (realPush & realWriteAddr_value == 5'hC) begin
+    1141           0 :         spec_queue_12_retAddr <= realWriteEntry_retAddr;
+    1142           0 :         if (_GEN_9)
+    1143           0 :           spec_queue_12_ctr <= realWriteEntry_next_ctr;
+    1144             :         else
+    1145           0 :           spec_queue_12_ctr <= s3_missPushEntry_ctr;
+    1146           0 :         spec_nos_12_flag <= realNos_flag;
+    1147           0 :         spec_nos_12_value <= realNos_value;
+    1148             :       end
+    1149           2 :       if (realPush & realWriteAddr_value == 5'hD) begin
+    1150           1 :         spec_queue_13_retAddr <= realWriteEntry_retAddr;
+    1151           0 :         if (_GEN_9)
+    1152           1 :           spec_queue_13_ctr <= realWriteEntry_next_ctr;
+    1153             :         else
+    1154           0 :           spec_queue_13_ctr <= s3_missPushEntry_ctr;
+    1155           1 :         spec_nos_13_flag <= realNos_flag;
+    1156           1 :         spec_nos_13_value <= realNos_value;
+    1157             :       end
+    1158           0 :       if (realPush & realWriteAddr_value == 5'hE) begin
+    1159           0 :         spec_queue_14_retAddr <= realWriteEntry_retAddr;
+    1160           0 :         if (_GEN_9)
+    1161           0 :           spec_queue_14_ctr <= realWriteEntry_next_ctr;
+    1162             :         else
+    1163           0 :           spec_queue_14_ctr <= s3_missPushEntry_ctr;
+    1164           0 :         spec_nos_14_flag <= realNos_flag;
+    1165           0 :         spec_nos_14_value <= realNos_value;
+    1166             :       end
+    1167           2 :       if (realPush & realWriteAddr_value == 5'hF) begin
+    1168           1 :         spec_queue_15_retAddr <= realWriteEntry_retAddr;
+    1169           0 :         if (_GEN_9)
+    1170           1 :           spec_queue_15_ctr <= realWriteEntry_next_ctr;
+    1171             :         else
+    1172           0 :           spec_queue_15_ctr <= s3_missPushEntry_ctr;
+    1173           1 :         spec_nos_15_flag <= realNos_flag;
+    1174           1 :         spec_nos_15_value <= realNos_value;
+    1175             :       end
+    1176           0 :       if (realPush & realWriteAddr_value == 5'h10) begin
+    1177           0 :         spec_queue_16_retAddr <= realWriteEntry_retAddr;
+    1178           0 :         if (_GEN_9)
+    1179           0 :           spec_queue_16_ctr <= realWriteEntry_next_ctr;
+    1180             :         else
+    1181           0 :           spec_queue_16_ctr <= s3_missPushEntry_ctr;
+    1182           0 :         spec_nos_16_flag <= realNos_flag;
+    1183           0 :         spec_nos_16_value <= realNos_value;
+    1184             :       end
+    1185           2 :       if (realPush & realWriteAddr_value == 5'h11) begin
+    1186           1 :         spec_queue_17_retAddr <= realWriteEntry_retAddr;
+    1187           0 :         if (_GEN_9)
+    1188           1 :           spec_queue_17_ctr <= realWriteEntry_next_ctr;
+    1189             :         else
+    1190           0 :           spec_queue_17_ctr <= s3_missPushEntry_ctr;
+    1191           1 :         spec_nos_17_flag <= realNos_flag;
+    1192           1 :         spec_nos_17_value <= realNos_value;
+    1193             :       end
+    1194           0 :       if (realPush & realWriteAddr_value == 5'h12) begin
+    1195           0 :         spec_queue_18_retAddr <= realWriteEntry_retAddr;
+    1196           0 :         if (_GEN_9)
+    1197           0 :           spec_queue_18_ctr <= realWriteEntry_next_ctr;
+    1198             :         else
+    1199           0 :           spec_queue_18_ctr <= s3_missPushEntry_ctr;
+    1200           0 :         spec_nos_18_flag <= realNos_flag;
+    1201           0 :         spec_nos_18_value <= realNos_value;
+    1202             :       end
+    1203           0 :       if (realPush & realWriteAddr_value == 5'h13) begin
+    1204           0 :         spec_queue_19_retAddr <= realWriteEntry_retAddr;
+    1205           0 :         if (_GEN_9)
+    1206           0 :           spec_queue_19_ctr <= realWriteEntry_next_ctr;
+    1207             :         else
+    1208           0 :           spec_queue_19_ctr <= s3_missPushEntry_ctr;
+    1209           0 :         spec_nos_19_flag <= realNos_flag;
+    1210           0 :         spec_nos_19_value <= realNos_value;
+    1211             :       end
+    1212           0 :       if (realPush & realWriteAddr_value == 5'h14) begin
+    1213           0 :         spec_queue_20_retAddr <= realWriteEntry_retAddr;
+    1214           0 :         if (_GEN_9)
+    1215           0 :           spec_queue_20_ctr <= realWriteEntry_next_ctr;
+    1216             :         else
+    1217           0 :           spec_queue_20_ctr <= s3_missPushEntry_ctr;
+    1218           0 :         spec_nos_20_flag <= realNos_flag;
+    1219           0 :         spec_nos_20_value <= realNos_value;
+    1220             :       end
+    1221           0 :       if (realPush & realWriteAddr_value == 5'h15) begin
+    1222           0 :         spec_queue_21_retAddr <= realWriteEntry_retAddr;
+    1223           0 :         if (_GEN_9)
+    1224           0 :           spec_queue_21_ctr <= realWriteEntry_next_ctr;
+    1225             :         else
+    1226           0 :           spec_queue_21_ctr <= s3_missPushEntry_ctr;
+    1227           0 :         spec_nos_21_flag <= realNos_flag;
+    1228           0 :         spec_nos_21_value <= realNos_value;
+    1229             :       end
+    1230           0 :       if (realPush & realWriteAddr_value == 5'h16) begin
+    1231           0 :         spec_queue_22_retAddr <= realWriteEntry_retAddr;
+    1232           0 :         if (_GEN_9)
+    1233           0 :           spec_queue_22_ctr <= realWriteEntry_next_ctr;
+    1234             :         else
+    1235           0 :           spec_queue_22_ctr <= s3_missPushEntry_ctr;
+    1236           0 :         spec_nos_22_flag <= realNos_flag;
+    1237           0 :         spec_nos_22_value <= realNos_value;
+    1238             :       end
+    1239           0 :       if (realPush & realWriteAddr_value == 5'h17) begin
+    1240           0 :         spec_queue_23_retAddr <= realWriteEntry_retAddr;
+    1241           0 :         if (_GEN_9)
+    1242           0 :           spec_queue_23_ctr <= realWriteEntry_next_ctr;
+    1243             :         else
+    1244           0 :           spec_queue_23_ctr <= s3_missPushEntry_ctr;
+    1245           0 :         spec_nos_23_flag <= realNos_flag;
+    1246           0 :         spec_nos_23_value <= realNos_value;
+    1247             :       end
+    1248           2 :       if (realPush & realWriteAddr_value == 5'h18) begin
+    1249           1 :         spec_queue_24_retAddr <= realWriteEntry_retAddr;
+    1250           0 :         if (_GEN_9)
+    1251           1 :           spec_queue_24_ctr <= realWriteEntry_next_ctr;
+    1252             :         else
+    1253           0 :           spec_queue_24_ctr <= s3_missPushEntry_ctr;
+    1254           1 :         spec_nos_24_flag <= realNos_flag;
+    1255           1 :         spec_nos_24_value <= realNos_value;
+    1256             :       end
+    1257           0 :       if (realPush & realWriteAddr_value == 5'h19) begin
+    1258           0 :         spec_queue_25_retAddr <= realWriteEntry_retAddr;
+    1259           0 :         if (_GEN_9)
+    1260           0 :           spec_queue_25_ctr <= realWriteEntry_next_ctr;
+    1261             :         else
+    1262           0 :           spec_queue_25_ctr <= s3_missPushEntry_ctr;
+    1263           0 :         spec_nos_25_flag <= realNos_flag;
+    1264           0 :         spec_nos_25_value <= realNos_value;
+    1265             :       end
+    1266           0 :       if (realPush & realWriteAddr_value == 5'h1A) begin
+    1267           0 :         spec_queue_26_retAddr <= realWriteEntry_retAddr;
+    1268           0 :         if (_GEN_9)
+    1269           0 :           spec_queue_26_ctr <= realWriteEntry_next_ctr;
+    1270             :         else
+    1271           0 :           spec_queue_26_ctr <= s3_missPushEntry_ctr;
+    1272           0 :         spec_nos_26_flag <= realNos_flag;
+    1273           0 :         spec_nos_26_value <= realNos_value;
+    1274             :       end
+    1275           0 :       if (realPush & realWriteAddr_value == 5'h1B) begin
+    1276           0 :         spec_queue_27_retAddr <= realWriteEntry_retAddr;
+    1277           0 :         if (_GEN_9)
+    1278           0 :           spec_queue_27_ctr <= realWriteEntry_next_ctr;
+    1279             :         else
+    1280           0 :           spec_queue_27_ctr <= s3_missPushEntry_ctr;
+    1281           0 :         spec_nos_27_flag <= realNos_flag;
+    1282           0 :         spec_nos_27_value <= realNos_value;
+    1283             :       end
+    1284           2 :       if (realPush & realWriteAddr_value == 5'h1C) begin
+    1285           1 :         spec_queue_28_retAddr <= realWriteEntry_retAddr;
+    1286           0 :         if (_GEN_9)
+    1287           1 :           spec_queue_28_ctr <= realWriteEntry_next_ctr;
+    1288             :         else
+    1289           0 :           spec_queue_28_ctr <= s3_missPushEntry_ctr;
+    1290           1 :         spec_nos_28_flag <= realNos_flag;
+    1291           1 :         spec_nos_28_value <= realNos_value;
+    1292             :       end
+    1293           0 :       if (realPush & realWriteAddr_value == 5'h1D) begin
+    1294           0 :         spec_queue_29_retAddr <= realWriteEntry_retAddr;
+    1295           0 :         if (_GEN_9)
+    1296           0 :           spec_queue_29_ctr <= realWriteEntry_next_ctr;
+    1297             :         else
+    1298           0 :           spec_queue_29_ctr <= s3_missPushEntry_ctr;
+    1299           0 :         spec_nos_29_flag <= realNos_flag;
+    1300           0 :         spec_nos_29_value <= realNos_value;
+    1301             :       end
+    1302           0 :       if (realPush & realWriteAddr_value == 5'h1E) begin
+    1303           0 :         spec_queue_30_retAddr <= realWriteEntry_retAddr;
+    1304           0 :         if (_GEN_9)
+    1305           0 :           spec_queue_30_ctr <= realWriteEntry_next_ctr;
+    1306             :         else
+    1307           0 :           spec_queue_30_ctr <= s3_missPushEntry_ctr;
+    1308           0 :         spec_nos_30_flag <= realNos_flag;
+    1309           0 :         spec_nos_30_value <= realNos_value;
+    1310             :       end
+    1311           0 :       if (realPush & (&realWriteAddr_value)) begin
+    1312           0 :         spec_queue_31_retAddr <= realWriteEntry_retAddr;
+    1313           0 :         if (_GEN_9)
+    1314           0 :           spec_queue_31_ctr <= realWriteEntry_next_ctr;
+    1315             :         else
+    1316           0 :           spec_queue_31_ctr <= s3_missPushEntry_ctr;
+    1317           0 :         spec_nos_31_flag <= realNos_flag;
+    1318           0 :         spec_nos_31_value <= realNos_value;
+    1319             :       end
+    1320          64 :       if (io_redirect_valid) begin
+    1321          32 :         ssp <=
+    1322          32 :           ~io_redirect_isRet | (|io_redirect_meta_sctr)
+    1323          32 :             ? (~io_redirect_isCall | _GEN_10
+    1324          32 :                  ? io_redirect_meta_ssp
+    1325          32 :                  : 4'(io_redirect_meta_ssp + 4'h1))
+    1326          32 :             : inflightValid_8 ? 4'(io_redirect_meta_ssp - 4'h1) : _sctr_T_16;
+    1327          32 :         sctr <=
+    1328          32 :           io_redirect_isRet
+    1329          32 :             ? ((|io_redirect_meta_sctr)
+    1330          32 :                  ? 3'(io_redirect_meta_sctr - 3'h1)
+    1331          32 :                  : inflightValid_8
+    1332          32 :                      ? _GEN_2[io_redirect_meta_NOS_value][2:0]
+    1333          32 :                      : _GEN_5[_sctr_T_16][2:0])
+    1334          32 :             : io_redirect_isCall ? (_GEN_10 ? _sctr_T_12 : 3'h0) : io_redirect_meta_sctr;
+    1335          32 :         TOSR_flag <=
+    1336          32 :           _GEN_11
+    1337          32 :             ? io_redirect_meta_NOS_flag
+    1338          32 :             : io_redirect_isCall
+    1339          32 :                 ? io_redirect_meta_TOSW_flag
+    1340          32 :                 : io_redirect_meta_TOSR_flag;
+    1341          32 :         TOSR_value <=
+    1342          32 :           _GEN_11
+    1343          32 :             ? io_redirect_meta_NOS_value
+    1344          32 :             : io_redirect_isCall
+    1345          32 :                 ? io_redirect_meta_TOSW_value
+    1346          32 :                 : io_redirect_meta_TOSR_value;
+    1347          32 :         TOSW_flag <= io_redirect_isCall ? _GEN_76[5] : io_redirect_meta_TOSW_flag;
+    1348          32 :         TOSW_value <= io_redirect_isCall ? _GEN_76[4:0] : io_redirect_meta_TOSW_value;
+    1349             :       end
+    1350           2 :       else if (io_s3_cancel) begin
+    1351           1 :         ssp <=
+    1352           1 :           ~io_s3_missed_push | _GEN_79
+    1353           1 :             ? (~io_s3_missed_pop | (|io_s3_meta_sctr)
+    1354           1 :                  ? io_s3_meta_ssp
+    1355           1 :                  : inflightValid_6 ? 4'(io_s3_meta_ssp - 4'h1) : _sctr_T_8)
+    1356           1 :             : 4'(io_s3_meta_ssp + 4'h1);
+    1357           1 :         sctr <=
+    1358           1 :           io_s3_missed_push
+    1359           1 :             ? (_GEN_79 ? _sctr_T_10 : 3'h0)
+    1360           1 :             : io_s3_missed_pop
+    1361           1 :                 ? ((|io_s3_meta_sctr)
+    1362           1 :                      ? 3'(io_s3_meta_sctr - 3'h1)
+    1363           1 :                      : inflightValid_6
+    1364           1 :                          ? _GEN_2[io_s3_meta_NOS_value][2:0]
+    1365           1 :                          : _GEN_5[_sctr_T_8][2:0])
+    1366           1 :                 : io_s3_meta_sctr;
+    1367           1 :         TOSR_flag <=
+    1368           1 :           io_s3_missed_push
+    1369           1 :             ? io_s3_meta_TOSW_flag
+    1370           1 :             : _GEN_77 ? io_s3_meta_NOS_flag : io_s3_meta_TOSR_flag;
+    1371           1 :         TOSR_value <=
+    1372           1 :           io_s3_missed_push
+    1373           1 :             ? io_s3_meta_TOSW_value
+    1374           1 :             : _GEN_77 ? io_s3_meta_NOS_value : io_s3_meta_TOSR_value;
+    1375           1 :         TOSW_flag <= io_s3_missed_push ? _GEN_78[5] : io_s3_meta_TOSW_flag;
+    1376           1 :         TOSW_value <= io_s3_missed_push ? _GEN_78[4:0] : io_s3_meta_TOSW_value;
+    1377             :       end
+    1378       63696 :       else begin
+    1379      127392 :         if (~io_spec_pop_valid | (|sctr)) begin
+    1380           0 :           if (~io_spec_push_valid | _GEN_12) begin
+    1381             :           end
+    1382             :           else
+    1383           0 :             ssp <= 4'(ssp + 4'h1);
+    1384             :         end
+    1385           0 :         else if (inflightValid_4)
+    1386           0 :           ssp <= 4'(ssp - 4'h1);
+    1387             :         else
+    1388           0 :           ssp <= _sctr_T_4;
+    1389           0 :         if (io_spec_pop_valid) begin
+    1390           0 :           if (|sctr)
+    1391           0 :             sctr <= 3'(sctr - 3'h1);
+    1392             :           else
+    1393           0 :             sctr <= inflightValid_4 ? _GEN_2[topNos_value][2:0] : _GEN_5[_sctr_T_4][2:0];
+    1394             :         end
+    1395           0 :         else if (io_spec_push_valid) begin
+    1396           0 :           if (_GEN_12)
+    1397           0 :             sctr <= _sctr_T;
+    1398             :           else
+    1399           0 :             sctr <= 3'h0;
+    1400             :         end
+    1401           0 :         if (io_spec_pop_valid & (TOSR_flag ^ BOS_flag ^ _GEN)
+    1402           0 :             & (TOSR_flag ^ TOSW_flag ^ TOSR_value < TOSW_value)) begin
+    1403           0 :           TOSR_flag <= topNos_flag;
+    1404           0 :           if (writeBypassValid)
+    1405           0 :             TOSR_value <= writeBypassNos_value;
+    1406             :           else
+    1407           0 :             TOSR_value <= _GEN_8;
+    1408             :         end
+    1409           0 :         else if (io_spec_push_valid) begin
+    1410           0 :           TOSR_flag <= TOSW_flag;
+    1411           0 :           TOSR_value <= TOSW_value;
+    1412             :         end
+    1413           0 :         if (io_spec_push_valid) begin
+    1414           0 :           TOSW_flag <= _GEN_80[5];
+    1415           0 :           TOSW_value <= _GEN_80[4:0];
+    1416             :         end
+    1417             :       end
+    1418           0 :       if (_GEN_75) begin
+    1419           0 :         BOS_flag <= _BOS_new_ptr_T_16[5];
+    1420           0 :         BOS_value <= _BOS_new_ptr_T_16[4:0];
+    1421             :       end
+    1422          14 :       else if (_GEN_73) begin
+    1423           7 :         BOS_flag <= _BOS_new_ptr_T_11[5];
+    1424           7 :         BOS_value <= _BOS_new_ptr_T_11[4:0];
+    1425             :       end
+    1426           0 :       else if (io_s3_cancel & io_s3_missed_push & _GEN_23) begin
+    1427           0 :         BOS_flag <= _BOS_new_ptr_T_6[5];
+    1428           0 :         BOS_value <= _BOS_new_ptr_T_6[4:0];
+    1429             :       end
+    1430           0 :       else if (_GEN_21) begin
+    1431           0 :         BOS_flag <= _BOS_new_ptr_T_1[5];
+    1432           0 :         BOS_value <= _BOS_new_ptr_T_1[4:0];
+    1433             :       end
+    1434       63729 :       spec_overflowed <=
+    1435       63729 :         _GEN_75 | ~_GEN_73
+    1436       63729 :         & (io_s3_cancel & io_s3_missed_push
+    1437       63729 :              ? _GEN_23 | _GEN_21 | spec_overflowed
+    1438       63729 :              : _GEN_21 | spec_overflowed);
+    1439       63729 :       writeBypassValid <= writeBypassValidWire;
+    1440       63729 :       timingTop_retAddr <=
+    1441       63729 :         writeBypassValidWire
+    1442       63729 :           ? (_realPush_T_4 | io_spec_push_valid
+    1443       63729 :                ? writeEntry_retAddr
+    1444       63729 :                : writeBypassEntry_retAddr)
+    1445       63729 :           : io_redirect_valid & io_redirect_isRet
+    1446       63729 :               ? ((io_redirect_meta_NOS_flag ^ BOS_flag ^ _GEN_13)
+    1447       63729 :                  & (io_redirect_meta_NOS_flag ^ io_redirect_meta_TOSW_flag
+    1448       63729 :                     ^ io_redirect_meta_NOS_value < io_redirect_meta_TOSW_value)
+    1449       63729 :                    ? _GEN_0[io_redirect_meta_NOS_value]
+    1450       63729 :                    : _GEN_3[(|io_redirect_meta_sctr)
+    1451       63729 :                               ? io_redirect_meta_ssp
+    1452       63729 :                               : _GEN_14
+    1453       63729 :                                 & (io_redirect_meta_NOS_flag ^ TOSW_flag
+    1454       63729 :                                    ^ io_redirect_meta_NOS_value < TOSW_value)
+    1455       63729 :                                   ? 4'(io_redirect_meta_ssp - 4'h1)
+    1456       63729 :                                   : 4'(io_redirect_meta_ssp - 4'h1)])
+    1457       63729 :               : io_redirect_valid
+    1458       63729 :                   ? ((differentFlag_15 ^ ~compare_15) & (differentFlag_16 ^ compare_16)
+    1459       63729 :                        ? _GEN_0[io_redirect_meta_TOSR_value]
+    1460       63729 :                        : _GEN_3[io_redirect_meta_ssp])
+    1461       63729 :                   : io_spec_pop_valid
+    1462       63729 :                       ? ((topNos_flag ^ BOS_flag ^ _GEN_15)
+    1463       63729 :                          & (topNos_flag ^ TOSW_flag ^ topNos_value < TOSW_value)
+    1464       63729 :                            ? _GEN_0[topNos_value]
+    1465       63729 :                            : _GEN_3[(|sctr)
+    1466       63729 :                                       ? ssp
+    1467       63729 :                                       : _GEN_16
+    1468       63729 :                                         & (topNos_flag ^ TOSW_flag
+    1469       63729 :                                            ^ topNos_value < TOSW_value)
+    1470       63729 :                                           ? 4'(ssp - 4'h1)
+    1471       63729 :                                           : 4'(ssp - 4'h1)])
+    1472       63729 :                       : realPush
+    1473       63729 :                           ? realWriteEntry_retAddr
+    1474       63729 :                           : io_s3_cancel
+    1475       63729 :                               ? (io_s3_missed_push
+    1476       63729 :                                    ? io_s3_pushAddr
+    1477       63729 :                                    : io_s3_missed_pop
+    1478       63729 :                                        ? ((io_s3_meta_NOS_flag ^ BOS_flag ^ _GEN_17)
+    1479       63729 :                                           & (io_s3_meta_NOS_flag ^ io_s3_meta_TOSW_flag
+    1480       63729 :                                              ^ io_s3_meta_NOS_value < io_s3_meta_TOSW_value)
+    1481       63729 :                                             ? _GEN_0[io_s3_meta_NOS_value]
+    1482       63729 :                                             : _GEN_3[(|io_s3_meta_sctr)
+    1483       63729 :                                                        ? io_s3_meta_ssp
+    1484       63729 :                                                        : _GEN_18
+    1485       63729 :                                                          & (io_s3_meta_NOS_flag
+    1486       63729 :                                                             ^ io_s3_meta_TOSW_flag
+    1487       63729 :                                                             ^ io_s3_meta_NOS_value < io_s3_meta_TOSW_value)
+    1488       63729 :                                                            ? 4'(io_s3_meta_ssp - 4'h1)
+    1489       63729 :                                                            : 4'(io_s3_meta_ssp - 4'h1)])
+    1490       63729 :                                        : (differentFlag_10 ^ ~compare_10)
+    1491       63729 :                                          & (differentFlag_11 ^ compare_11)
+    1492       63729 :                                            ? _GEN_0[io_s3_meta_TOSR_value]
+    1493       63729 :                                            : _GEN_3[io_s3_meta_ssp])
+    1494       63729 :                               : (TOSR_flag ^ BOS_flag ^ _GEN)
+    1495       63729 :                                 & (TOSR_flag ^ TOSW_flag ^ TOSR_value < TOSW_value)
+    1496       63729 :                                   ? _GEN_1
+    1497       63729 :                                   : _GEN_4;
+    1498             :     end
+    1499             :   end // always @(posedge, posedge)
+    1500         115 :   wire [7:0]        writeEntry_ctr =
+    1501             :     {5'h0,
+    1502             :      _realPush_T_4
+    1503             :        ? (_writeEntry_ctr_T_1
+    1504             :           & (redirectTopEntry_inflightValid
+    1505             :                ? _GEN_2[io_redirect_meta_TOSR_value]
+    1506             :                : _GEN_5[io_redirect_meta_ssp]) < 8'h7
+    1507             :             ? _sctr_T_12
+    1508             :             : 3'h0)
+    1509             :        : _writeEntry_ctr_T_7
+    1510             :          & (writeBypassValid
+    1511             :               ? writeBypassEntry_ctr
+    1512             :               : topEntry_inflightValid ? _GEN_2[TOSR_value] : _GEN_5[ssp]) < 8'h7
+    1513             :            ? _sctr_T
+    1514             :            : 3'h0};
+    1515      127694 :   always @(posedge clock) begin
+    1516           4 :     if (io_spec_push_valid | _realPush_T_4) begin
+    1517           2 :       writeBypassEntry_retAddr <= writeEntry_retAddr;
+    1518           2 :       writeBypassEntry_ctr <= writeEntry_ctr;
+    1519           2 :       writeBypassNos_flag <= _realPush_T_4 ? io_redirect_meta_NOS_flag : TOSR_flag;
+    1520           2 :       writeBypassNos_value <= _realPush_T_4 ? io_redirect_meta_NOS_value : TOSR_value;
+    1521             :     end
+    1522        8152 :     if (io_s2_fire | io_redirect_isCall) begin
+    1523        4076 :       realWriteEntry_next_retAddr <= writeEntry_retAddr;
+    1524        4076 :       realWriteEntry_next_ctr <= writeEntry_ctr;
+    1525             :     end
+    1526        8152 :     if (io_s2_fire | _realPush_T_4)
+    1527        4076 :       realWriteAddr_next_value <=
+    1528        4076 :         _realPush_T_4 ? io_redirect_meta_TOSW_value : TOSW_value;
+    1529        8152 :     if (io_s2_fire | _realPush_T_4) begin
+    1530        4076 :       realNos_next_flag <= _realPush_T_4 ? io_redirect_meta_TOSR_flag : TOSR_flag;
+    1531        4076 :       realNos_next_value <= _realPush_T_4 ? io_redirect_meta_TOSR_value : TOSR_value;
+    1532             :     end
+    1533        8150 :     if (io_s2_fire)
+    1534        4075 :       realPush_r <= io_spec_push_valid;
+    1535       63847 :     realPush_REG <= _realPush_T_4;
+    1536             :   end // always @(posedge)
+    1537             :   `ifdef ENABLE_INITIAL_REG_
+    1538             :     `ifdef FIRRTL_BEFORE_INITIAL
+    1539             :       `FIRRTL_BEFORE_INITIAL
+    1540             :     `endif // FIRRTL_BEFORE_INITIAL
+    1541             :     logic [31:0] _RANDOM[0:85];
+    1542          58 :     initial begin
+    1543             :       `ifdef INIT_RANDOM_PROLOG_
+    1544             :         `INIT_RANDOM_PROLOG_
+    1545             :       `endif // INIT_RANDOM_PROLOG_
+    1546             :       `ifdef RANDOMIZE_REG_INIT
+    1547             :         for (logic [6:0] i = 7'h0; i < 7'h56; i += 7'h1) begin
+    1548             :           _RANDOM[i] = `RANDOM;
+    1549             :         end
+    1550             :         commit_stack_0_retAddr = {_RANDOM[7'h0], _RANDOM[7'h1][8:0]};
+    1551             :         commit_stack_0_ctr = _RANDOM[7'h1][16:9];
+    1552             :         commit_stack_1_retAddr = {_RANDOM[7'h1][31:17], _RANDOM[7'h2][25:0]};
+    1553             :         commit_stack_1_ctr = {_RANDOM[7'h2][31:26], _RANDOM[7'h3][1:0]};
+    1554             :         commit_stack_2_retAddr = {_RANDOM[7'h3][31:2], _RANDOM[7'h4][10:0]};
+    1555             :         commit_stack_2_ctr = _RANDOM[7'h4][18:11];
+    1556             :         commit_stack_3_retAddr = {_RANDOM[7'h4][31:19], _RANDOM[7'h5][27:0]};
+    1557             :         commit_stack_3_ctr = {_RANDOM[7'h5][31:28], _RANDOM[7'h6][3:0]};
+    1558             :         commit_stack_4_retAddr = {_RANDOM[7'h6][31:4], _RANDOM[7'h7][12:0]};
+    1559             :         commit_stack_4_ctr = _RANDOM[7'h7][20:13];
+    1560             :         commit_stack_5_retAddr = {_RANDOM[7'h7][31:21], _RANDOM[7'h8][29:0]};
+    1561             :         commit_stack_5_ctr = {_RANDOM[7'h8][31:30], _RANDOM[7'h9][5:0]};
+    1562             :         commit_stack_6_retAddr = {_RANDOM[7'h9][31:6], _RANDOM[7'hA][14:0]};
+    1563             :         commit_stack_6_ctr = _RANDOM[7'hA][22:15];
+    1564             :         commit_stack_7_retAddr = {_RANDOM[7'hA][31:23], _RANDOM[7'hB]};
+    1565             :         commit_stack_7_ctr = _RANDOM[7'hC][7:0];
+    1566             :         commit_stack_8_retAddr = {_RANDOM[7'hC][31:8], _RANDOM[7'hD][16:0]};
+    1567             :         commit_stack_8_ctr = _RANDOM[7'hD][24:17];
+    1568             :         commit_stack_9_retAddr =
+    1569             :           {_RANDOM[7'hD][31:25], _RANDOM[7'hE], _RANDOM[7'hF][1:0]};
+    1570             :         commit_stack_9_ctr = _RANDOM[7'hF][9:2];
+    1571             :         commit_stack_10_retAddr = {_RANDOM[7'hF][31:10], _RANDOM[7'h10][18:0]};
+    1572             :         commit_stack_10_ctr = _RANDOM[7'h10][26:19];
+    1573             :         commit_stack_11_retAddr =
+    1574             :           {_RANDOM[7'h10][31:27], _RANDOM[7'h11], _RANDOM[7'h12][3:0]};
+    1575             :         commit_stack_11_ctr = _RANDOM[7'h12][11:4];
+    1576             :         commit_stack_12_retAddr = {_RANDOM[7'h12][31:12], _RANDOM[7'h13][20:0]};
+    1577             :         commit_stack_12_ctr = _RANDOM[7'h13][28:21];
+    1578             :         commit_stack_13_retAddr =
+    1579             :           {_RANDOM[7'h13][31:29], _RANDOM[7'h14], _RANDOM[7'h15][5:0]};
+    1580             :         commit_stack_13_ctr = _RANDOM[7'h15][13:6];
+    1581             :         commit_stack_14_retAddr = {_RANDOM[7'h15][31:14], _RANDOM[7'h16][22:0]};
+    1582             :         commit_stack_14_ctr = _RANDOM[7'h16][30:23];
+    1583             :         commit_stack_15_retAddr =
+    1584             :           {_RANDOM[7'h16][31], _RANDOM[7'h17], _RANDOM[7'h18][7:0]};
+    1585             :         commit_stack_15_ctr = _RANDOM[7'h18][15:8];
+    1586             :         spec_queue_0_retAddr = {_RANDOM[7'h18][31:16], _RANDOM[7'h19][24:0]};
+    1587             :         spec_queue_0_ctr = {_RANDOM[7'h19][31:25], _RANDOM[7'h1A][0]};
+    1588             :         spec_queue_1_retAddr = {_RANDOM[7'h1A][31:1], _RANDOM[7'h1B][9:0]};
+    1589             :         spec_queue_1_ctr = _RANDOM[7'h1B][17:10];
+    1590             :         spec_queue_2_retAddr = {_RANDOM[7'h1B][31:18], _RANDOM[7'h1C][26:0]};
+    1591             :         spec_queue_2_ctr = {_RANDOM[7'h1C][31:27], _RANDOM[7'h1D][2:0]};
+    1592             :         spec_queue_3_retAddr = {_RANDOM[7'h1D][31:3], _RANDOM[7'h1E][11:0]};
+    1593             :         spec_queue_3_ctr = _RANDOM[7'h1E][19:12];
+    1594             :         spec_queue_4_retAddr = {_RANDOM[7'h1E][31:20], _RANDOM[7'h1F][28:0]};
+    1595             :         spec_queue_4_ctr = {_RANDOM[7'h1F][31:29], _RANDOM[7'h20][4:0]};
+    1596             :         spec_queue_5_retAddr = {_RANDOM[7'h20][31:5], _RANDOM[7'h21][13:0]};
+    1597             :         spec_queue_5_ctr = _RANDOM[7'h21][21:14];
+    1598             :         spec_queue_6_retAddr = {_RANDOM[7'h21][31:22], _RANDOM[7'h22][30:0]};
+    1599             :         spec_queue_6_ctr = {_RANDOM[7'h22][31], _RANDOM[7'h23][6:0]};
+    1600             :         spec_queue_7_retAddr = {_RANDOM[7'h23][31:7], _RANDOM[7'h24][15:0]};
+    1601             :         spec_queue_7_ctr = _RANDOM[7'h24][23:16];
+    1602             :         spec_queue_8_retAddr = {_RANDOM[7'h24][31:24], _RANDOM[7'h25], _RANDOM[7'h26][0]};
+    1603             :         spec_queue_8_ctr = _RANDOM[7'h26][8:1];
+    1604             :         spec_queue_9_retAddr = {_RANDOM[7'h26][31:9], _RANDOM[7'h27][17:0]};
+    1605             :         spec_queue_9_ctr = _RANDOM[7'h27][25:18];
+    1606             :         spec_queue_10_retAddr =
+    1607             :           {_RANDOM[7'h27][31:26], _RANDOM[7'h28], _RANDOM[7'h29][2:0]};
+    1608             :         spec_queue_10_ctr = _RANDOM[7'h29][10:3];
+    1609             :         spec_queue_11_retAddr = {_RANDOM[7'h29][31:11], _RANDOM[7'h2A][19:0]};
+    1610             :         spec_queue_11_ctr = _RANDOM[7'h2A][27:20];
+    1611             :         spec_queue_12_retAddr =
+    1612             :           {_RANDOM[7'h2A][31:28], _RANDOM[7'h2B], _RANDOM[7'h2C][4:0]};
+    1613             :         spec_queue_12_ctr = _RANDOM[7'h2C][12:5];
+    1614             :         spec_queue_13_retAddr = {_RANDOM[7'h2C][31:13], _RANDOM[7'h2D][21:0]};
+    1615             :         spec_queue_13_ctr = _RANDOM[7'h2D][29:22];
+    1616             :         spec_queue_14_retAddr =
+    1617             :           {_RANDOM[7'h2D][31:30], _RANDOM[7'h2E], _RANDOM[7'h2F][6:0]};
+    1618             :         spec_queue_14_ctr = _RANDOM[7'h2F][14:7];
+    1619             :         spec_queue_15_retAddr = {_RANDOM[7'h2F][31:15], _RANDOM[7'h30][23:0]};
+    1620             :         spec_queue_15_ctr = _RANDOM[7'h30][31:24];
+    1621             :         spec_queue_16_retAddr = {_RANDOM[7'h31], _RANDOM[7'h32][8:0]};
+    1622             :         spec_queue_16_ctr = _RANDOM[7'h32][16:9];
+    1623             :         spec_queue_17_retAddr = {_RANDOM[7'h32][31:17], _RANDOM[7'h33][25:0]};
+    1624             :         spec_queue_17_ctr = {_RANDOM[7'h33][31:26], _RANDOM[7'h34][1:0]};
+    1625             :         spec_queue_18_retAddr = {_RANDOM[7'h34][31:2], _RANDOM[7'h35][10:0]};
+    1626             :         spec_queue_18_ctr = _RANDOM[7'h35][18:11];
+    1627             :         spec_queue_19_retAddr = {_RANDOM[7'h35][31:19], _RANDOM[7'h36][27:0]};
+    1628             :         spec_queue_19_ctr = {_RANDOM[7'h36][31:28], _RANDOM[7'h37][3:0]};
+    1629             :         spec_queue_20_retAddr = {_RANDOM[7'h37][31:4], _RANDOM[7'h38][12:0]};
+    1630             :         spec_queue_20_ctr = _RANDOM[7'h38][20:13];
+    1631             :         spec_queue_21_retAddr = {_RANDOM[7'h38][31:21], _RANDOM[7'h39][29:0]};
+    1632             :         spec_queue_21_ctr = {_RANDOM[7'h39][31:30], _RANDOM[7'h3A][5:0]};
+    1633             :         spec_queue_22_retAddr = {_RANDOM[7'h3A][31:6], _RANDOM[7'h3B][14:0]};
+    1634             :         spec_queue_22_ctr = _RANDOM[7'h3B][22:15];
+    1635             :         spec_queue_23_retAddr = {_RANDOM[7'h3B][31:23], _RANDOM[7'h3C]};
+    1636             :         spec_queue_23_ctr = _RANDOM[7'h3D][7:0];
+    1637             :         spec_queue_24_retAddr = {_RANDOM[7'h3D][31:8], _RANDOM[7'h3E][16:0]};
+    1638             :         spec_queue_24_ctr = _RANDOM[7'h3E][24:17];
+    1639             :         spec_queue_25_retAddr =
+    1640             :           {_RANDOM[7'h3E][31:25], _RANDOM[7'h3F], _RANDOM[7'h40][1:0]};
+    1641             :         spec_queue_25_ctr = _RANDOM[7'h40][9:2];
+    1642             :         spec_queue_26_retAddr = {_RANDOM[7'h40][31:10], _RANDOM[7'h41][18:0]};
+    1643             :         spec_queue_26_ctr = _RANDOM[7'h41][26:19];
+    1644             :         spec_queue_27_retAddr =
+    1645             :           {_RANDOM[7'h41][31:27], _RANDOM[7'h42], _RANDOM[7'h43][3:0]};
+    1646             :         spec_queue_27_ctr = _RANDOM[7'h43][11:4];
+    1647             :         spec_queue_28_retAddr = {_RANDOM[7'h43][31:12], _RANDOM[7'h44][20:0]};
+    1648             :         spec_queue_28_ctr = _RANDOM[7'h44][28:21];
+    1649             :         spec_queue_29_retAddr =
+    1650             :           {_RANDOM[7'h44][31:29], _RANDOM[7'h45], _RANDOM[7'h46][5:0]};
+    1651             :         spec_queue_29_ctr = _RANDOM[7'h46][13:6];
+    1652             :         spec_queue_30_retAddr = {_RANDOM[7'h46][31:14], _RANDOM[7'h47][22:0]};
+    1653             :         spec_queue_30_ctr = _RANDOM[7'h47][30:23];
+    1654             :         spec_queue_31_retAddr = {_RANDOM[7'h47][31], _RANDOM[7'h48], _RANDOM[7'h49][7:0]};
+    1655             :         spec_queue_31_ctr = _RANDOM[7'h49][15:8];
+    1656             :         spec_nos_0_flag = _RANDOM[7'h49][16];
+    1657             :         spec_nos_0_value = _RANDOM[7'h49][21:17];
+    1658             :         spec_nos_1_flag = _RANDOM[7'h49][22];
+    1659             :         spec_nos_1_value = _RANDOM[7'h49][27:23];
+    1660             :         spec_nos_2_flag = _RANDOM[7'h49][28];
+    1661             :         spec_nos_2_value = {_RANDOM[7'h49][31:29], _RANDOM[7'h4A][1:0]};
+    1662             :         spec_nos_3_flag = _RANDOM[7'h4A][2];
+    1663             :         spec_nos_3_value = _RANDOM[7'h4A][7:3];
+    1664             :         spec_nos_4_flag = _RANDOM[7'h4A][8];
+    1665             :         spec_nos_4_value = _RANDOM[7'h4A][13:9];
+    1666             :         spec_nos_5_flag = _RANDOM[7'h4A][14];
+    1667             :         spec_nos_5_value = _RANDOM[7'h4A][19:15];
+    1668             :         spec_nos_6_flag = _RANDOM[7'h4A][20];
+    1669             :         spec_nos_6_value = _RANDOM[7'h4A][25:21];
+    1670             :         spec_nos_7_flag = _RANDOM[7'h4A][26];
+    1671             :         spec_nos_7_value = _RANDOM[7'h4A][31:27];
+    1672             :         spec_nos_8_flag = _RANDOM[7'h4B][0];
+    1673             :         spec_nos_8_value = _RANDOM[7'h4B][5:1];
+    1674             :         spec_nos_9_flag = _RANDOM[7'h4B][6];
+    1675             :         spec_nos_9_value = _RANDOM[7'h4B][11:7];
+    1676             :         spec_nos_10_flag = _RANDOM[7'h4B][12];
+    1677             :         spec_nos_10_value = _RANDOM[7'h4B][17:13];
+    1678             :         spec_nos_11_flag = _RANDOM[7'h4B][18];
+    1679             :         spec_nos_11_value = _RANDOM[7'h4B][23:19];
+    1680             :         spec_nos_12_flag = _RANDOM[7'h4B][24];
+    1681             :         spec_nos_12_value = _RANDOM[7'h4B][29:25];
+    1682             :         spec_nos_13_flag = _RANDOM[7'h4B][30];
+    1683             :         spec_nos_13_value = {_RANDOM[7'h4B][31], _RANDOM[7'h4C][3:0]};
+    1684             :         spec_nos_14_flag = _RANDOM[7'h4C][4];
+    1685             :         spec_nos_14_value = _RANDOM[7'h4C][9:5];
+    1686             :         spec_nos_15_flag = _RANDOM[7'h4C][10];
+    1687             :         spec_nos_15_value = _RANDOM[7'h4C][15:11];
+    1688             :         spec_nos_16_flag = _RANDOM[7'h4C][16];
+    1689             :         spec_nos_16_value = _RANDOM[7'h4C][21:17];
+    1690             :         spec_nos_17_flag = _RANDOM[7'h4C][22];
+    1691             :         spec_nos_17_value = _RANDOM[7'h4C][27:23];
+    1692             :         spec_nos_18_flag = _RANDOM[7'h4C][28];
+    1693             :         spec_nos_18_value = {_RANDOM[7'h4C][31:29], _RANDOM[7'h4D][1:0]};
+    1694             :         spec_nos_19_flag = _RANDOM[7'h4D][2];
+    1695             :         spec_nos_19_value = _RANDOM[7'h4D][7:3];
+    1696             :         spec_nos_20_flag = _RANDOM[7'h4D][8];
+    1697             :         spec_nos_20_value = _RANDOM[7'h4D][13:9];
+    1698             :         spec_nos_21_flag = _RANDOM[7'h4D][14];
+    1699             :         spec_nos_21_value = _RANDOM[7'h4D][19:15];
+    1700             :         spec_nos_22_flag = _RANDOM[7'h4D][20];
+    1701             :         spec_nos_22_value = _RANDOM[7'h4D][25:21];
+    1702             :         spec_nos_23_flag = _RANDOM[7'h4D][26];
+    1703             :         spec_nos_23_value = _RANDOM[7'h4D][31:27];
+    1704             :         spec_nos_24_flag = _RANDOM[7'h4E][0];
+    1705             :         spec_nos_24_value = _RANDOM[7'h4E][5:1];
+    1706             :         spec_nos_25_flag = _RANDOM[7'h4E][6];
+    1707             :         spec_nos_25_value = _RANDOM[7'h4E][11:7];
+    1708             :         spec_nos_26_flag = _RANDOM[7'h4E][12];
+    1709             :         spec_nos_26_value = _RANDOM[7'h4E][17:13];
+    1710             :         spec_nos_27_flag = _RANDOM[7'h4E][18];
+    1711             :         spec_nos_27_value = _RANDOM[7'h4E][23:19];
+    1712             :         spec_nos_28_flag = _RANDOM[7'h4E][24];
+    1713             :         spec_nos_28_value = _RANDOM[7'h4E][29:25];
+    1714             :         spec_nos_29_flag = _RANDOM[7'h4E][30];
+    1715             :         spec_nos_29_value = {_RANDOM[7'h4E][31], _RANDOM[7'h4F][3:0]};
+    1716             :         spec_nos_30_flag = _RANDOM[7'h4F][4];
+    1717             :         spec_nos_30_value = _RANDOM[7'h4F][9:5];
+    1718             :         spec_nos_31_flag = _RANDOM[7'h4F][10];
+    1719             :         spec_nos_31_value = _RANDOM[7'h4F][15:11];
+    1720             :         nsp = _RANDOM[7'h4F][19:16];
+    1721             :         ssp = _RANDOM[7'h4F][23:20];
+    1722             :         sctr = _RANDOM[7'h4F][26:24];
+    1723             :         TOSR_flag = _RANDOM[7'h4F][27];
+    1724             :         TOSR_value = {_RANDOM[7'h4F][31:28], _RANDOM[7'h50][0]};
+    1725             :         TOSW_flag = _RANDOM[7'h50][1];
+    1726             :         TOSW_value = _RANDOM[7'h50][6:2];
+    1727             :         BOS_flag = _RANDOM[7'h50][7];
+    1728             :         BOS_value = _RANDOM[7'h50][12:8];
+    1729             :         spec_overflowed = _RANDOM[7'h50][13];
+    1730             :         writeBypassEntry_retAddr = {_RANDOM[7'h50][31:14], _RANDOM[7'h51][22:0]};
+    1731             :         writeBypassEntry_ctr = _RANDOM[7'h51][30:23];
+    1732             :         writeBypassNos_flag = _RANDOM[7'h51][31];
+    1733             :         writeBypassNos_value = _RANDOM[7'h52][4:0];
+    1734             :         writeBypassValid = _RANDOM[7'h52][5];
+    1735             :         timingTop_retAddr = {_RANDOM[7'h52][31:6], _RANDOM[7'h53][14:0]};
+    1736             :         realWriteEntry_next_retAddr =
+    1737             :           {_RANDOM[7'h53][31:29], _RANDOM[7'h54], _RANDOM[7'h55][5:0]};
+    1738             :         realWriteEntry_next_ctr = _RANDOM[7'h55][13:6];
+    1739             :         realWriteAddr_next_value = _RANDOM[7'h55][19:15];
+    1740             :         realNos_next_flag = _RANDOM[7'h55][20];
+    1741             :         realNos_next_value = _RANDOM[7'h55][25:21];
+    1742             :         realPush_r = _RANDOM[7'h55][26];
+    1743             :         realPush_REG = _RANDOM[7'h55][27];
+    1744             :       `endif // RANDOMIZE_REG_INIT
+    1745          17 :       if (reset) begin
+    1746          12 :         commit_stack_0_retAddr = 41'h0;
+    1747          12 :         commit_stack_0_ctr = 8'h0;
+    1748          12 :         commit_stack_1_retAddr = 41'h0;
+    1749          12 :         commit_stack_1_ctr = 8'h0;
+    1750          12 :         commit_stack_2_retAddr = 41'h0;
+    1751          12 :         commit_stack_2_ctr = 8'h0;
+    1752          12 :         commit_stack_3_retAddr = 41'h0;
+    1753          12 :         commit_stack_3_ctr = 8'h0;
+    1754          12 :         commit_stack_4_retAddr = 41'h0;
+    1755          12 :         commit_stack_4_ctr = 8'h0;
+    1756          12 :         commit_stack_5_retAddr = 41'h0;
+    1757          12 :         commit_stack_5_ctr = 8'h0;
+    1758          12 :         commit_stack_6_retAddr = 41'h0;
+    1759          12 :         commit_stack_6_ctr = 8'h0;
+    1760          12 :         commit_stack_7_retAddr = 41'h0;
+    1761          12 :         commit_stack_7_ctr = 8'h0;
+    1762          12 :         commit_stack_8_retAddr = 41'h0;
+    1763          12 :         commit_stack_8_ctr = 8'h0;
+    1764          12 :         commit_stack_9_retAddr = 41'h0;
+    1765          12 :         commit_stack_9_ctr = 8'h0;
+    1766          12 :         commit_stack_10_retAddr = 41'h0;
+    1767          12 :         commit_stack_10_ctr = 8'h0;
+    1768          12 :         commit_stack_11_retAddr = 41'h0;
+    1769          12 :         commit_stack_11_ctr = 8'h0;
+    1770          12 :         commit_stack_12_retAddr = 41'h0;
+    1771          12 :         commit_stack_12_ctr = 8'h0;
+    1772          12 :         commit_stack_13_retAddr = 41'h0;
+    1773          12 :         commit_stack_13_ctr = 8'h0;
+    1774          12 :         commit_stack_14_retAddr = 41'h0;
+    1775          12 :         commit_stack_14_ctr = 8'h0;
+    1776          12 :         commit_stack_15_retAddr = 41'h0;
+    1777          12 :         commit_stack_15_ctr = 8'h0;
+    1778          12 :         spec_queue_0_retAddr = 41'h0;
+    1779          12 :         spec_queue_0_ctr = 8'h0;
+    1780          12 :         spec_queue_1_retAddr = 41'h0;
+    1781          12 :         spec_queue_1_ctr = 8'h0;
+    1782          12 :         spec_queue_2_retAddr = 41'h0;
+    1783          12 :         spec_queue_2_ctr = 8'h0;
+    1784          12 :         spec_queue_3_retAddr = 41'h0;
+    1785          12 :         spec_queue_3_ctr = 8'h0;
+    1786          12 :         spec_queue_4_retAddr = 41'h0;
+    1787          12 :         spec_queue_4_ctr = 8'h0;
+    1788          12 :         spec_queue_5_retAddr = 41'h0;
+    1789          12 :         spec_queue_5_ctr = 8'h0;
+    1790          12 :         spec_queue_6_retAddr = 41'h0;
+    1791          12 :         spec_queue_6_ctr = 8'h0;
+    1792          12 :         spec_queue_7_retAddr = 41'h0;
+    1793          12 :         spec_queue_7_ctr = 8'h0;
+    1794          12 :         spec_queue_8_retAddr = 41'h0;
+    1795          12 :         spec_queue_8_ctr = 8'h0;
+    1796          12 :         spec_queue_9_retAddr = 41'h0;
+    1797          12 :         spec_queue_9_ctr = 8'h0;
+    1798          12 :         spec_queue_10_retAddr = 41'h0;
+    1799          12 :         spec_queue_10_ctr = 8'h0;
+    1800          12 :         spec_queue_11_retAddr = 41'h0;
+    1801          12 :         spec_queue_11_ctr = 8'h0;
+    1802          12 :         spec_queue_12_retAddr = 41'h0;
+    1803          12 :         spec_queue_12_ctr = 8'h0;
+    1804          12 :         spec_queue_13_retAddr = 41'h0;
+    1805          12 :         spec_queue_13_ctr = 8'h0;
+    1806          12 :         spec_queue_14_retAddr = 41'h0;
+    1807          12 :         spec_queue_14_ctr = 8'h0;
+    1808          12 :         spec_queue_15_retAddr = 41'h0;
+    1809          12 :         spec_queue_15_ctr = 8'h0;
+    1810          12 :         spec_queue_16_retAddr = 41'h0;
+    1811          12 :         spec_queue_16_ctr = 8'h0;
+    1812          12 :         spec_queue_17_retAddr = 41'h0;
+    1813          12 :         spec_queue_17_ctr = 8'h0;
+    1814          12 :         spec_queue_18_retAddr = 41'h0;
+    1815          12 :         spec_queue_18_ctr = 8'h0;
+    1816          12 :         spec_queue_19_retAddr = 41'h0;
+    1817          12 :         spec_queue_19_ctr = 8'h0;
+    1818          12 :         spec_queue_20_retAddr = 41'h0;
+    1819          12 :         spec_queue_20_ctr = 8'h0;
+    1820          12 :         spec_queue_21_retAddr = 41'h0;
+    1821          12 :         spec_queue_21_ctr = 8'h0;
+    1822          12 :         spec_queue_22_retAddr = 41'h0;
+    1823          12 :         spec_queue_22_ctr = 8'h0;
+    1824          12 :         spec_queue_23_retAddr = 41'h0;
+    1825          12 :         spec_queue_23_ctr = 8'h0;
+    1826          12 :         spec_queue_24_retAddr = 41'h0;
+    1827          12 :         spec_queue_24_ctr = 8'h0;
+    1828          12 :         spec_queue_25_retAddr = 41'h0;
+    1829          12 :         spec_queue_25_ctr = 8'h0;
+    1830          12 :         spec_queue_26_retAddr = 41'h0;
+    1831          12 :         spec_queue_26_ctr = 8'h0;
+    1832          12 :         spec_queue_27_retAddr = 41'h0;
+    1833          12 :         spec_queue_27_ctr = 8'h0;
+    1834          12 :         spec_queue_28_retAddr = 41'h0;
+    1835          12 :         spec_queue_28_ctr = 8'h0;
+    1836          12 :         spec_queue_29_retAddr = 41'h0;
+    1837          12 :         spec_queue_29_ctr = 8'h0;
+    1838          12 :         spec_queue_30_retAddr = 41'h0;
+    1839          12 :         spec_queue_30_ctr = 8'h0;
+    1840          12 :         spec_queue_31_retAddr = 41'h0;
+    1841          12 :         spec_queue_31_ctr = 8'h0;
+    1842          12 :         spec_nos_0_flag = 1'h0;
+    1843          12 :         spec_nos_0_value = 5'h0;
+    1844          12 :         spec_nos_1_flag = 1'h0;
+    1845          12 :         spec_nos_1_value = 5'h0;
+    1846          12 :         spec_nos_2_flag = 1'h0;
+    1847          12 :         spec_nos_2_value = 5'h0;
+    1848          12 :         spec_nos_3_flag = 1'h0;
+    1849          12 :         spec_nos_3_value = 5'h0;
+    1850          12 :         spec_nos_4_flag = 1'h0;
+    1851          12 :         spec_nos_4_value = 5'h0;
+    1852          12 :         spec_nos_5_flag = 1'h0;
+    1853          12 :         spec_nos_5_value = 5'h0;
+    1854          12 :         spec_nos_6_flag = 1'h0;
+    1855          12 :         spec_nos_6_value = 5'h0;
+    1856          12 :         spec_nos_7_flag = 1'h0;
+    1857          12 :         spec_nos_7_value = 5'h0;
+    1858          12 :         spec_nos_8_flag = 1'h0;
+    1859          12 :         spec_nos_8_value = 5'h0;
+    1860          12 :         spec_nos_9_flag = 1'h0;
+    1861          12 :         spec_nos_9_value = 5'h0;
+    1862          12 :         spec_nos_10_flag = 1'h0;
+    1863          12 :         spec_nos_10_value = 5'h0;
+    1864          12 :         spec_nos_11_flag = 1'h0;
+    1865          12 :         spec_nos_11_value = 5'h0;
+    1866          12 :         spec_nos_12_flag = 1'h0;
+    1867          12 :         spec_nos_12_value = 5'h0;
+    1868          12 :         spec_nos_13_flag = 1'h0;
+    1869          12 :         spec_nos_13_value = 5'h0;
+    1870          12 :         spec_nos_14_flag = 1'h0;
+    1871          12 :         spec_nos_14_value = 5'h0;
+    1872          12 :         spec_nos_15_flag = 1'h0;
+    1873          12 :         spec_nos_15_value = 5'h0;
+    1874          12 :         spec_nos_16_flag = 1'h0;
+    1875          12 :         spec_nos_16_value = 5'h0;
+    1876          12 :         spec_nos_17_flag = 1'h0;
+    1877          12 :         spec_nos_17_value = 5'h0;
+    1878          12 :         spec_nos_18_flag = 1'h0;
+    1879          12 :         spec_nos_18_value = 5'h0;
+    1880          12 :         spec_nos_19_flag = 1'h0;
+    1881          12 :         spec_nos_19_value = 5'h0;
+    1882          12 :         spec_nos_20_flag = 1'h0;
+    1883          12 :         spec_nos_20_value = 5'h0;
+    1884          12 :         spec_nos_21_flag = 1'h0;
+    1885          12 :         spec_nos_21_value = 5'h0;
+    1886          12 :         spec_nos_22_flag = 1'h0;
+    1887          12 :         spec_nos_22_value = 5'h0;
+    1888          12 :         spec_nos_23_flag = 1'h0;
+    1889          12 :         spec_nos_23_value = 5'h0;
+    1890          12 :         spec_nos_24_flag = 1'h0;
+    1891          12 :         spec_nos_24_value = 5'h0;
+    1892          12 :         spec_nos_25_flag = 1'h0;
+    1893          12 :         spec_nos_25_value = 5'h0;
+    1894          12 :         spec_nos_26_flag = 1'h0;
+    1895          12 :         spec_nos_26_value = 5'h0;
+    1896          12 :         spec_nos_27_flag = 1'h0;
+    1897          12 :         spec_nos_27_value = 5'h0;
+    1898          12 :         spec_nos_28_flag = 1'h0;
+    1899          12 :         spec_nos_28_value = 5'h0;
+    1900          12 :         spec_nos_29_flag = 1'h0;
+    1901          12 :         spec_nos_29_value = 5'h0;
+    1902          12 :         spec_nos_30_flag = 1'h0;
+    1903          12 :         spec_nos_30_value = 5'h0;
+    1904          12 :         spec_nos_31_flag = 1'h0;
+    1905          12 :         spec_nos_31_value = 5'h0;
+    1906          12 :         nsp = 4'h0;
+    1907          12 :         ssp = 4'h0;
+    1908          12 :         sctr = 3'h0;
+    1909          12 :         TOSR_flag = 1'h1;
+    1910          12 :         TOSR_value = 5'h1F;
+    1911          12 :         TOSW_flag = 1'h0;
+    1912          12 :         TOSW_value = 5'h0;
+    1913          12 :         BOS_flag = 1'h0;
+    1914          12 :         BOS_value = 5'h0;
+    1915          12 :         spec_overflowed = 1'h0;
+    1916          12 :         writeBypassValid = 1'h0;
+    1917          12 :         timingTop_retAddr = 41'h0;
+    1918             :       end
+    1919             :     end // initial
+    1920             :     `ifdef FIRRTL_AFTER_INITIAL
+    1921             :       `FIRRTL_AFTER_INITIAL
+    1922             :     `endif // FIRRTL_AFTER_INITIAL
+    1923             :   `endif // ENABLE_INITIAL_REG_
+    1924             :   assign io_spec_pop_addr = timingTop_retAddr;
+    1925             :   assign io_ssp = ssp;
+    1926             :   assign io_sctr = sctr;
+    1927             :   assign io_TOSR_flag = TOSR_flag;
+    1928             :   assign io_TOSR_value = TOSR_value;
+    1929             :   assign io_TOSW_flag = TOSW_flag;
+    1930             :   assign io_TOSW_value = TOSW_value;
+    1931             :   assign io_NOS_flag = topNos_flag;
+    1932             :   assign io_NOS_value = topNos_value;
+    1933             : endmodule
+    1934             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.func-sort-c.html new file mode 100644 index 0000000..a8fbb77 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3434100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.func.html new file mode 100644 index 0000000..768b28b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3434100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.gcov.html new file mode 100644 index 0000000..88f2280 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable.sv.gcov.html @@ -0,0 +1,320 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3434100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SCTable(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         165 :   output [5:0]  io_resp_ctrs_0_0,
+      64         184 :   output [5:0]  io_resp_ctrs_0_1,
+      65         162 :   output [5:0]  io_resp_ctrs_1_0,
+      66         162 :   output [5:0]  io_resp_ctrs_1_1,
+      67         618 :   input  [40:0] io_update_pc,
+      68          35 :   input         io_update_mask_0,
+      69          32 :   input         io_update_mask_1,
+      70         101 :   input  [5:0]  io_update_oldCtrs_0,
+      71         102 :   input  [5:0]  io_update_oldCtrs_1,
+      72          11 :   input         io_update_tagePreds_0,
+      73          19 :   input         io_update_tagePreds_1,
+      74          20 :   input         io_update_takens_0,
+      75          21 :   input         io_update_takens_1
+      76             : );
+      77             : 
+      78             :   wire        _wrbypasses_1_io_hit;
+      79             :   wire        _wrbypasses_1_io_hit_data_0_valid;
+      80             :   wire [5:0]  _wrbypasses_1_io_hit_data_0_bits;
+      81             :   wire        _wrbypasses_1_io_hit_data_1_valid;
+      82             :   wire [5:0]  _wrbypasses_1_io_hit_data_1_bits;
+      83             :   wire        _wrbypasses_0_io_hit;
+      84             :   wire        _wrbypasses_0_io_hit_data_0_valid;
+      85             :   wire [5:0]  _wrbypasses_0_io_hit_data_0_bits;
+      86             :   wire        _wrbypasses_0_io_hit_data_1_valid;
+      87             :   wire [5:0]  _wrbypasses_0_io_hit_data_1_bits;
+      88             :   wire [5:0]  _table_io_r_resp_data_0;
+      89             :   wire [5:0]  _table_io_r_resp_data_1;
+      90             :   wire [5:0]  _table_io_r_resp_data_2;
+      91             :   wire [5:0]  _table_io_r_resp_data_3;
+      92        9416 :   reg  [40:0] s1_pc;
+      93          26 :   wire        updateWayMask_0 =
+      94             :     io_update_mask_0 & ~(io_update_pc[1]) & ~io_update_tagePreds_0 | io_update_mask_1
+      95             :     & io_update_pc[1] & ~io_update_tagePreds_1;
+      96          24 :   wire        updateWayMask_1 =
+      97             :     io_update_mask_0 & ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_mask_1
+      98             :     & io_update_pc[1] & io_update_tagePreds_1;
+      99          24 :   wire        updateWayMask_2 =
+     100             :     io_update_mask_0 & io_update_pc[1] & ~io_update_tagePreds_0 | io_update_mask_1
+     101             :     & ~(io_update_pc[1]) & ~io_update_tagePreds_1;
+     102          17 :   wire        updateWayMask_3 =
+     103             :     io_update_mask_0 & io_update_pc[1] & io_update_tagePreds_0 | io_update_mask_1
+     104             :     & ~(io_update_pc[1]) & io_update_tagePreds_1;
+     105          18 :   wire        ctrPos =
+     106             :     ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_pc[1] & io_update_tagePreds_1;
+     107         126 :   wire [5:0]  oldCtr =
+     108             :     (~(io_update_pc[1]) & _wrbypasses_0_io_hit | io_update_pc[1] & _wrbypasses_1_io_hit)
+     109             :     & (ctrPos
+     110             :          ? ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_1_valid | io_update_pc[1]
+     111             :            & _wrbypasses_1_io_hit_data_1_valid
+     112             :          : ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_0_valid | io_update_pc[1]
+     113             :            & _wrbypasses_1_io_hit_data_0_valid)
+     114             :       ? (ctrPos
+     115             :            ? (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_1_bits)
+     116             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_1_bits : 6'h0)
+     117             :            : (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_0_bits)
+     118             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_0_bits : 6'h0))
+     119             :       : (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_0)
+     120             :         | (io_update_pc[1] ? io_update_oldCtrs_1 : 6'h0);
+     121          17 :   wire        taken =
+     122             :     ~(io_update_pc[1]) & io_update_takens_0 | io_update_pc[1] & io_update_takens_1;
+     123         117 :   wire [5:0]  update_wdata_0 =
+     124             :     oldCtr == 6'h1F & taken
+     125             :       ? 6'h1F
+     126             :       : oldCtr == 6'h20 & ~taken ? 6'h20 : taken ? 6'(oldCtr + 6'h1) : 6'(oldCtr - 6'h1);
+     127          16 :   wire        ctrPos_1 =
+     128             :     io_update_pc[1] & io_update_tagePreds_0 | ~(io_update_pc[1]) & io_update_tagePreds_1;
+     129         123 :   wire [5:0]  oldCtr_1 =
+     130             :     (io_update_pc[1] & _wrbypasses_0_io_hit | ~(io_update_pc[1]) & _wrbypasses_1_io_hit)
+     131             :     & (ctrPos_1
+     132             :          ? io_update_pc[1] & _wrbypasses_0_io_hit_data_1_valid | ~(io_update_pc[1])
+     133             :            & _wrbypasses_1_io_hit_data_1_valid
+     134             :          : io_update_pc[1] & _wrbypasses_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     135             :            & _wrbypasses_1_io_hit_data_0_valid)
+     136             :       ? (ctrPos_1
+     137             :            ? (io_update_pc[1] ? _wrbypasses_0_io_hit_data_1_bits : 6'h0)
+     138             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_1_bits)
+     139             :            : (io_update_pc[1] ? _wrbypasses_0_io_hit_data_0_bits : 6'h0)
+     140             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_0_bits))
+     141             :       : (io_update_pc[1] ? io_update_oldCtrs_0 : 6'h0)
+     142             :         | (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_1);
+     143          18 :   wire        taken_1 =
+     144             :     io_update_pc[1] & io_update_takens_0 | ~(io_update_pc[1]) & io_update_takens_1;
+     145         131 :   wire [5:0]  update_wdata_1 =
+     146             :     oldCtr_1 == 6'h1F & taken_1
+     147             :       ? 6'h1F
+     148             :       : oldCtr_1 == 6'h20 & ~taken_1
+     149             :           ? 6'h20
+     150             :           : taken_1 ? 6'(oldCtr_1 + 6'h1) : 6'(oldCtr_1 - 6'h1);
+     151             :   wire [5:0]  _GEN = io_update_pc[1] ? 6'h0 : update_wdata_0;
+     152             :   wire [5:0]  _GEN_0 = io_update_pc[1] ? update_wdata_1 : 6'h0;
+     153             :   wire [5:0]  _GEN_1 = io_update_pc[1] ? update_wdata_0 : 6'h0;
+     154             :   wire [5:0]  _GEN_2 = io_update_pc[1] ? 6'h0 : update_wdata_1;
+     155      127694 :   always @(posedge clock) begin
+     156        8350 :     if (io_req_valid)
+     157        4175 :       s1_pc <= io_req_bits_pc;
+     158             :   end // always @(posedge)
+     159             :   `ifdef ENABLE_INITIAL_REG_
+     160             :     `ifdef FIRRTL_BEFORE_INITIAL
+     161             :       `FIRRTL_BEFORE_INITIAL
+     162             :     `endif // FIRRTL_BEFORE_INITIAL
+     163             :     logic [31:0] _RANDOM[0:1];
+     164          58 :     initial begin
+     165             :       `ifdef INIT_RANDOM_PROLOG_
+     166             :         `INIT_RANDOM_PROLOG_
+     167             :       `endif // INIT_RANDOM_PROLOG_
+     168             :       `ifdef RANDOMIZE_REG_INIT
+     169             :         for (logic [1:0] i = 2'h0; i < 2'h2; i += 2'h1) begin
+     170             :           _RANDOM[i[0]] = `RANDOM;
+     171             :         end
+     172             :         s1_pc = {_RANDOM[1'h0][31:8], _RANDOM[1'h1][16:0]};
+     173             :       `endif // RANDOMIZE_REG_INIT
+     174             :     end // initial
+     175             :     `ifdef FIRRTL_AFTER_INITIAL
+     176             :       `FIRRTL_AFTER_INITIAL
+     177             :     `endif // FIRRTL_AFTER_INITIAL
+     178             :   `endif // ENABLE_INITIAL_REG_
+     179             :   SRAMTemplate_35 table_0 (
+     180             :     .clock                 (clock),
+     181             :     .reset                 (reset),
+     182             :     .io_r_req_valid        (io_req_valid),
+     183             :     .io_r_req_bits_setIdx  (io_req_bits_pc[8:1]),
+     184             :     .io_r_resp_data_0      (_table_io_r_resp_data_0),
+     185             :     .io_r_resp_data_1      (_table_io_r_resp_data_1),
+     186             :     .io_r_resp_data_2      (_table_io_r_resp_data_2),
+     187             :     .io_r_resp_data_3      (_table_io_r_resp_data_3),
+     188             :     .io_w_req_valid        (io_update_mask_0 | io_update_mask_1),
+     189             :     .io_w_req_bits_setIdx  (io_update_pc[8:1]),
+     190             :     .io_w_req_bits_data_0  (update_wdata_0),
+     191             :     .io_w_req_bits_data_1  (update_wdata_0),
+     192             :     .io_w_req_bits_data_2  (update_wdata_1),
+     193             :     .io_w_req_bits_data_3  (update_wdata_1),
+     194             :     .io_w_req_bits_waymask
+     195             :       ({updateWayMask_3, updateWayMask_2, updateWayMask_1, updateWayMask_0})
+     196             :   );
+     197             :   WrBypass_33 wrbypasses_0 (
+     198             :     .clock               (clock),
+     199             :     .reset               (reset),
+     200             :     .io_wen              (io_update_mask_0),
+     201             :     .io_write_idx        (io_update_pc[8:1]),
+     202             :     .io_write_data_0     (_GEN | _GEN_0),
+     203             :     .io_write_data_1     (_GEN | _GEN_0),
+     204             :     .io_write_way_mask_0
+     205             :       (~(io_update_pc[1]) & updateWayMask_0 | io_update_pc[1] & updateWayMask_2),
+     206             :     .io_write_way_mask_1
+     207             :       (~(io_update_pc[1]) & updateWayMask_1 | io_update_pc[1] & updateWayMask_3),
+     208             :     .io_hit              (_wrbypasses_0_io_hit),
+     209             :     .io_hit_data_0_valid (_wrbypasses_0_io_hit_data_0_valid),
+     210             :     .io_hit_data_0_bits  (_wrbypasses_0_io_hit_data_0_bits),
+     211             :     .io_hit_data_1_valid (_wrbypasses_0_io_hit_data_1_valid),
+     212             :     .io_hit_data_1_bits  (_wrbypasses_0_io_hit_data_1_bits)
+     213             :   );
+     214             :   WrBypass_33 wrbypasses_1 (
+     215             :     .clock               (clock),
+     216             :     .reset               (reset),
+     217             :     .io_wen              (io_update_mask_1),
+     218             :     .io_write_idx        (io_update_pc[8:1]),
+     219             :     .io_write_data_0     (_GEN_1 | _GEN_2),
+     220             :     .io_write_data_1     (_GEN_1 | _GEN_2),
+     221             :     .io_write_way_mask_0
+     222             :       (io_update_pc[1] & updateWayMask_0 | ~(io_update_pc[1]) & updateWayMask_2),
+     223             :     .io_write_way_mask_1
+     224             :       (io_update_pc[1] & updateWayMask_1 | ~(io_update_pc[1]) & updateWayMask_3),
+     225             :     .io_hit              (_wrbypasses_1_io_hit),
+     226             :     .io_hit_data_0_valid (_wrbypasses_1_io_hit_data_0_valid),
+     227             :     .io_hit_data_0_bits  (_wrbypasses_1_io_hit_data_0_bits),
+     228             :     .io_hit_data_1_valid (_wrbypasses_1_io_hit_data_1_valid),
+     229             :     .io_hit_data_1_bits  (_wrbypasses_1_io_hit_data_1_bits)
+     230             :   );
+     231             :   assign io_resp_ctrs_0_0 =
+     232             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_0)
+     233             :     | (s1_pc[1] ? _table_io_r_resp_data_2 : 6'h0);
+     234             :   assign io_resp_ctrs_0_1 =
+     235             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_1)
+     236             :     | (s1_pc[1] ? _table_io_r_resp_data_3 : 6'h0);
+     237             :   assign io_resp_ctrs_1_0 =
+     238             :     (s1_pc[1] ? _table_io_r_resp_data_0 : 6'h0)
+     239             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_2);
+     240             :   assign io_resp_ctrs_1_1 =
+     241             :     (s1_pc[1] ? _table_io_r_resp_data_1 : 6'h0)
+     242             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_3);
+     243             : endmodule
+     244             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.func-sort-c.html new file mode 100644 index 0000000..af82f50 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.func.html new file mode 100644 index 0000000..1905d48 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.gcov.html new file mode 100644 index 0000000..40566c0 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_1.sv.gcov.html @@ -0,0 +1,326 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_1.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SCTable_1(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         474 :   input  [3:0]  io_req_bits_folded_hist_hist_12_folded_hist,
+      64         168 :   output [5:0]  io_resp_ctrs_0_0,
+      65         162 :   output [5:0]  io_resp_ctrs_0_1,
+      66         172 :   output [5:0]  io_resp_ctrs_1_0,
+      67         167 :   output [5:0]  io_resp_ctrs_1_1,
+      68         622 :   input  [40:0] io_update_pc,
+      69          56 :   input  [3:0]  io_update_folded_hist_hist_12_folded_hist,
+      70          31 :   input         io_update_mask_0,
+      71          32 :   input         io_update_mask_1,
+      72          87 :   input  [5:0]  io_update_oldCtrs_0,
+      73          97 :   input  [5:0]  io_update_oldCtrs_1,
+      74          13 :   input         io_update_tagePreds_0,
+      75          17 :   input         io_update_tagePreds_1,
+      76          18 :   input         io_update_takens_0,
+      77          16 :   input         io_update_takens_1
+      78             : );
+      79             : 
+      80             :   wire        _wrbypasses_1_io_hit;
+      81             :   wire        _wrbypasses_1_io_hit_data_0_valid;
+      82             :   wire [5:0]  _wrbypasses_1_io_hit_data_0_bits;
+      83             :   wire        _wrbypasses_1_io_hit_data_1_valid;
+      84             :   wire [5:0]  _wrbypasses_1_io_hit_data_1_bits;
+      85             :   wire        _wrbypasses_0_io_hit;
+      86             :   wire        _wrbypasses_0_io_hit_data_0_valid;
+      87             :   wire [5:0]  _wrbypasses_0_io_hit_data_0_bits;
+      88             :   wire        _wrbypasses_0_io_hit_data_1_valid;
+      89             :   wire [5:0]  _wrbypasses_0_io_hit_data_1_bits;
+      90             :   wire [5:0]  _table_io_r_resp_data_0;
+      91             :   wire [5:0]  _table_io_r_resp_data_1;
+      92             :   wire [5:0]  _table_io_r_resp_data_2;
+      93             :   wire [5:0]  _table_io_r_resp_data_3;
+      94        9412 :   reg  [40:0] s1_pc;
+      95          29 :   wire        updateWayMask_0 =
+      96             :     io_update_mask_0 & ~(io_update_pc[1]) & ~io_update_tagePreds_0 | io_update_mask_1
+      97             :     & io_update_pc[1] & ~io_update_tagePreds_1;
+      98          17 :   wire        updateWayMask_1 =
+      99             :     io_update_mask_0 & ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_mask_1
+     100             :     & io_update_pc[1] & io_update_tagePreds_1;
+     101          27 :   wire        updateWayMask_2 =
+     102             :     io_update_mask_0 & io_update_pc[1] & ~io_update_tagePreds_0 | io_update_mask_1
+     103             :     & ~(io_update_pc[1]) & ~io_update_tagePreds_1;
+     104          23 :   wire        updateWayMask_3 =
+     105             :     io_update_mask_0 & io_update_pc[1] & io_update_tagePreds_0 | io_update_mask_1
+     106             :     & ~(io_update_pc[1]) & io_update_tagePreds_1;
+     107         121 :   wire [7:0]  update_idx =
+     108             :     {io_update_pc[8:5], io_update_pc[4:1] ^ io_update_folded_hist_hist_12_folded_hist};
+     109          16 :   wire        ctrPos =
+     110             :     ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_pc[1] & io_update_tagePreds_1;
+     111         103 :   wire [5:0]  oldCtr =
+     112             :     (~(io_update_pc[1]) & _wrbypasses_0_io_hit | io_update_pc[1] & _wrbypasses_1_io_hit)
+     113             :     & (ctrPos
+     114             :          ? ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_1_valid | io_update_pc[1]
+     115             :            & _wrbypasses_1_io_hit_data_1_valid
+     116             :          : ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_0_valid | io_update_pc[1]
+     117             :            & _wrbypasses_1_io_hit_data_0_valid)
+     118             :       ? (ctrPos
+     119             :            ? (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_1_bits)
+     120             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_1_bits : 6'h0)
+     121             :            : (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_0_bits)
+     122             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_0_bits : 6'h0))
+     123             :       : (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_0)
+     124             :         | (io_update_pc[1] ? io_update_oldCtrs_1 : 6'h0);
+     125          13 :   wire        taken =
+     126             :     ~(io_update_pc[1]) & io_update_takens_0 | io_update_pc[1] & io_update_takens_1;
+     127         127 :   wire [5:0]  update_wdata_0 =
+     128             :     oldCtr == 6'h1F & taken
+     129             :       ? 6'h1F
+     130             :       : oldCtr == 6'h20 & ~taken ? 6'h20 : taken ? 6'(oldCtr + 6'h1) : 6'(oldCtr - 6'h1);
+     131          15 :   wire        ctrPos_1 =
+     132             :     io_update_pc[1] & io_update_tagePreds_0 | ~(io_update_pc[1]) & io_update_tagePreds_1;
+     133         110 :   wire [5:0]  oldCtr_1 =
+     134             :     (io_update_pc[1] & _wrbypasses_0_io_hit | ~(io_update_pc[1]) & _wrbypasses_1_io_hit)
+     135             :     & (ctrPos_1
+     136             :          ? io_update_pc[1] & _wrbypasses_0_io_hit_data_1_valid | ~(io_update_pc[1])
+     137             :            & _wrbypasses_1_io_hit_data_1_valid
+     138             :          : io_update_pc[1] & _wrbypasses_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     139             :            & _wrbypasses_1_io_hit_data_0_valid)
+     140             :       ? (ctrPos_1
+     141             :            ? (io_update_pc[1] ? _wrbypasses_0_io_hit_data_1_bits : 6'h0)
+     142             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_1_bits)
+     143             :            : (io_update_pc[1] ? _wrbypasses_0_io_hit_data_0_bits : 6'h0)
+     144             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_0_bits))
+     145             :       : (io_update_pc[1] ? io_update_oldCtrs_0 : 6'h0)
+     146             :         | (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_1);
+     147          17 :   wire        taken_1 =
+     148             :     io_update_pc[1] & io_update_takens_0 | ~(io_update_pc[1]) & io_update_takens_1;
+     149         123 :   wire [5:0]  update_wdata_1 =
+     150             :     oldCtr_1 == 6'h1F & taken_1
+     151             :       ? 6'h1F
+     152             :       : oldCtr_1 == 6'h20 & ~taken_1
+     153             :           ? 6'h20
+     154             :           : taken_1 ? 6'(oldCtr_1 + 6'h1) : 6'(oldCtr_1 - 6'h1);
+     155             :   wire [5:0]  _GEN = io_update_pc[1] ? 6'h0 : update_wdata_0;
+     156             :   wire [5:0]  _GEN_0 = io_update_pc[1] ? update_wdata_1 : 6'h0;
+     157             :   wire [5:0]  _GEN_1 = io_update_pc[1] ? update_wdata_0 : 6'h0;
+     158             :   wire [5:0]  _GEN_2 = io_update_pc[1] ? 6'h0 : update_wdata_1;
+     159      127694 :   always @(posedge clock) begin
+     160        8350 :     if (io_req_valid)
+     161        4175 :       s1_pc <= io_req_bits_pc;
+     162             :   end // always @(posedge)
+     163             :   `ifdef ENABLE_INITIAL_REG_
+     164             :     `ifdef FIRRTL_BEFORE_INITIAL
+     165             :       `FIRRTL_BEFORE_INITIAL
+     166             :     `endif // FIRRTL_BEFORE_INITIAL
+     167             :     logic [31:0] _RANDOM[0:1];
+     168          58 :     initial begin
+     169             :       `ifdef INIT_RANDOM_PROLOG_
+     170             :         `INIT_RANDOM_PROLOG_
+     171             :       `endif // INIT_RANDOM_PROLOG_
+     172             :       `ifdef RANDOMIZE_REG_INIT
+     173             :         for (logic [1:0] i = 2'h0; i < 2'h2; i += 2'h1) begin
+     174             :           _RANDOM[i[0]] = `RANDOM;
+     175             :         end
+     176             :         s1_pc = {_RANDOM[1'h0][31:8], _RANDOM[1'h1][16:0]};
+     177             :       `endif // RANDOMIZE_REG_INIT
+     178             :     end // initial
+     179             :     `ifdef FIRRTL_AFTER_INITIAL
+     180             :       `FIRRTL_AFTER_INITIAL
+     181             :     `endif // FIRRTL_AFTER_INITIAL
+     182             :   `endif // ENABLE_INITIAL_REG_
+     183             :   SRAMTemplate_35 table_0 (
+     184             :     .clock                 (clock),
+     185             :     .reset                 (reset),
+     186             :     .io_r_req_valid        (io_req_valid),
+     187             :     .io_r_req_bits_setIdx
+     188             :       ({io_req_bits_pc[8:5],
+     189             :         io_req_bits_pc[4:1] ^ io_req_bits_folded_hist_hist_12_folded_hist}),
+     190             :     .io_r_resp_data_0      (_table_io_r_resp_data_0),
+     191             :     .io_r_resp_data_1      (_table_io_r_resp_data_1),
+     192             :     .io_r_resp_data_2      (_table_io_r_resp_data_2),
+     193             :     .io_r_resp_data_3      (_table_io_r_resp_data_3),
+     194             :     .io_w_req_valid        (io_update_mask_0 | io_update_mask_1),
+     195             :     .io_w_req_bits_setIdx  (update_idx),
+     196             :     .io_w_req_bits_data_0  (update_wdata_0),
+     197             :     .io_w_req_bits_data_1  (update_wdata_0),
+     198             :     .io_w_req_bits_data_2  (update_wdata_1),
+     199             :     .io_w_req_bits_data_3  (update_wdata_1),
+     200             :     .io_w_req_bits_waymask
+     201             :       ({updateWayMask_3, updateWayMask_2, updateWayMask_1, updateWayMask_0})
+     202             :   );
+     203             :   WrBypass_33 wrbypasses_0 (
+     204             :     .clock               (clock),
+     205             :     .reset               (reset),
+     206             :     .io_wen              (io_update_mask_0),
+     207             :     .io_write_idx        (update_idx),
+     208             :     .io_write_data_0     (_GEN | _GEN_0),
+     209             :     .io_write_data_1     (_GEN | _GEN_0),
+     210             :     .io_write_way_mask_0
+     211             :       (~(io_update_pc[1]) & updateWayMask_0 | io_update_pc[1] & updateWayMask_2),
+     212             :     .io_write_way_mask_1
+     213             :       (~(io_update_pc[1]) & updateWayMask_1 | io_update_pc[1] & updateWayMask_3),
+     214             :     .io_hit              (_wrbypasses_0_io_hit),
+     215             :     .io_hit_data_0_valid (_wrbypasses_0_io_hit_data_0_valid),
+     216             :     .io_hit_data_0_bits  (_wrbypasses_0_io_hit_data_0_bits),
+     217             :     .io_hit_data_1_valid (_wrbypasses_0_io_hit_data_1_valid),
+     218             :     .io_hit_data_1_bits  (_wrbypasses_0_io_hit_data_1_bits)
+     219             :   );
+     220             :   WrBypass_33 wrbypasses_1 (
+     221             :     .clock               (clock),
+     222             :     .reset               (reset),
+     223             :     .io_wen              (io_update_mask_1),
+     224             :     .io_write_idx        (update_idx),
+     225             :     .io_write_data_0     (_GEN_1 | _GEN_2),
+     226             :     .io_write_data_1     (_GEN_1 | _GEN_2),
+     227             :     .io_write_way_mask_0
+     228             :       (io_update_pc[1] & updateWayMask_0 | ~(io_update_pc[1]) & updateWayMask_2),
+     229             :     .io_write_way_mask_1
+     230             :       (io_update_pc[1] & updateWayMask_1 | ~(io_update_pc[1]) & updateWayMask_3),
+     231             :     .io_hit              (_wrbypasses_1_io_hit),
+     232             :     .io_hit_data_0_valid (_wrbypasses_1_io_hit_data_0_valid),
+     233             :     .io_hit_data_0_bits  (_wrbypasses_1_io_hit_data_0_bits),
+     234             :     .io_hit_data_1_valid (_wrbypasses_1_io_hit_data_1_valid),
+     235             :     .io_hit_data_1_bits  (_wrbypasses_1_io_hit_data_1_bits)
+     236             :   );
+     237             :   assign io_resp_ctrs_0_0 =
+     238             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_0)
+     239             :     | (s1_pc[1] ? _table_io_r_resp_data_2 : 6'h0);
+     240             :   assign io_resp_ctrs_0_1 =
+     241             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_1)
+     242             :     | (s1_pc[1] ? _table_io_r_resp_data_3 : 6'h0);
+     243             :   assign io_resp_ctrs_1_0 =
+     244             :     (s1_pc[1] ? _table_io_r_resp_data_0 : 6'h0)
+     245             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_2);
+     246             :   assign io_resp_ctrs_1_1 =
+     247             :     (s1_pc[1] ? _table_io_r_resp_data_1 : 6'h0)
+     248             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_3);
+     249             : endmodule
+     250             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.func-sort-c.html new file mode 100644 index 0000000..0a4ca74 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.func.html new file mode 100644 index 0000000..3bf36fb --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.gcov.html new file mode 100644 index 0000000..f649eba --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_2.sv.gcov.html @@ -0,0 +1,324 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_2.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SCTable_2(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         858 :   input  [7:0]  io_req_bits_folded_hist_hist_11_folded_hist,
+      64         172 :   output [5:0]  io_resp_ctrs_0_0,
+      65         159 :   output [5:0]  io_resp_ctrs_0_1,
+      66         181 :   output [5:0]  io_resp_ctrs_1_0,
+      67         181 :   output [5:0]  io_resp_ctrs_1_1,
+      68         648 :   input  [40:0] io_update_pc,
+      69         123 :   input  [7:0]  io_update_folded_hist_hist_11_folded_hist,
+      70          31 :   input         io_update_mask_0,
+      71          34 :   input         io_update_mask_1,
+      72          96 :   input  [5:0]  io_update_oldCtrs_0,
+      73          79 :   input  [5:0]  io_update_oldCtrs_1,
+      74          15 :   input         io_update_tagePreds_0,
+      75          16 :   input         io_update_tagePreds_1,
+      76          18 :   input         io_update_takens_0,
+      77          19 :   input         io_update_takens_1
+      78             : );
+      79             : 
+      80             :   wire        _wrbypasses_1_io_hit;
+      81             :   wire        _wrbypasses_1_io_hit_data_0_valid;
+      82             :   wire [5:0]  _wrbypasses_1_io_hit_data_0_bits;
+      83             :   wire        _wrbypasses_1_io_hit_data_1_valid;
+      84             :   wire [5:0]  _wrbypasses_1_io_hit_data_1_bits;
+      85             :   wire        _wrbypasses_0_io_hit;
+      86             :   wire        _wrbypasses_0_io_hit_data_0_valid;
+      87             :   wire [5:0]  _wrbypasses_0_io_hit_data_0_bits;
+      88             :   wire        _wrbypasses_0_io_hit_data_1_valid;
+      89             :   wire [5:0]  _wrbypasses_0_io_hit_data_1_bits;
+      90             :   wire [5:0]  _table_io_r_resp_data_0;
+      91             :   wire [5:0]  _table_io_r_resp_data_1;
+      92             :   wire [5:0]  _table_io_r_resp_data_2;
+      93             :   wire [5:0]  _table_io_r_resp_data_3;
+      94        9377 :   reg  [40:0] s1_pc;
+      95          18 :   wire        updateWayMask_0 =
+      96             :     io_update_mask_0 & ~(io_update_pc[1]) & ~io_update_tagePreds_0 | io_update_mask_1
+      97             :     & io_update_pc[1] & ~io_update_tagePreds_1;
+      98          23 :   wire        updateWayMask_1 =
+      99             :     io_update_mask_0 & ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_mask_1
+     100             :     & io_update_pc[1] & io_update_tagePreds_1;
+     101          24 :   wire        updateWayMask_2 =
+     102             :     io_update_mask_0 & io_update_pc[1] & ~io_update_tagePreds_0 | io_update_mask_1
+     103             :     & ~(io_update_pc[1]) & ~io_update_tagePreds_1;
+     104          23 :   wire        updateWayMask_3 =
+     105             :     io_update_mask_0 & io_update_pc[1] & io_update_tagePreds_0 | io_update_mask_1
+     106             :     & ~(io_update_pc[1]) & io_update_tagePreds_1;
+     107         118 :   wire [7:0]  update_idx = io_update_pc[8:1] ^ io_update_folded_hist_hist_11_folded_hist;
+     108          12 :   wire        ctrPos =
+     109             :     ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_pc[1] & io_update_tagePreds_1;
+     110         145 :   wire [5:0]  oldCtr =
+     111             :     (~(io_update_pc[1]) & _wrbypasses_0_io_hit | io_update_pc[1] & _wrbypasses_1_io_hit)
+     112             :     & (ctrPos
+     113             :          ? ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_1_valid | io_update_pc[1]
+     114             :            & _wrbypasses_1_io_hit_data_1_valid
+     115             :          : ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_0_valid | io_update_pc[1]
+     116             :            & _wrbypasses_1_io_hit_data_0_valid)
+     117             :       ? (ctrPos
+     118             :            ? (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_1_bits)
+     119             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_1_bits : 6'h0)
+     120             :            : (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_0_bits)
+     121             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_0_bits : 6'h0))
+     122             :       : (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_0)
+     123             :         | (io_update_pc[1] ? io_update_oldCtrs_1 : 6'h0);
+     124          19 :   wire        taken =
+     125             :     ~(io_update_pc[1]) & io_update_takens_0 | io_update_pc[1] & io_update_takens_1;
+     126         135 :   wire [5:0]  update_wdata_0 =
+     127             :     oldCtr == 6'h1F & taken
+     128             :       ? 6'h1F
+     129             :       : oldCtr == 6'h20 & ~taken ? 6'h20 : taken ? 6'(oldCtr + 6'h1) : 6'(oldCtr - 6'h1);
+     130          15 :   wire        ctrPos_1 =
+     131             :     io_update_pc[1] & io_update_tagePreds_0 | ~(io_update_pc[1]) & io_update_tagePreds_1;
+     132         133 :   wire [5:0]  oldCtr_1 =
+     133             :     (io_update_pc[1] & _wrbypasses_0_io_hit | ~(io_update_pc[1]) & _wrbypasses_1_io_hit)
+     134             :     & (ctrPos_1
+     135             :          ? io_update_pc[1] & _wrbypasses_0_io_hit_data_1_valid | ~(io_update_pc[1])
+     136             :            & _wrbypasses_1_io_hit_data_1_valid
+     137             :          : io_update_pc[1] & _wrbypasses_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     138             :            & _wrbypasses_1_io_hit_data_0_valid)
+     139             :       ? (ctrPos_1
+     140             :            ? (io_update_pc[1] ? _wrbypasses_0_io_hit_data_1_bits : 6'h0)
+     141             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_1_bits)
+     142             :            : (io_update_pc[1] ? _wrbypasses_0_io_hit_data_0_bits : 6'h0)
+     143             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_0_bits))
+     144             :       : (io_update_pc[1] ? io_update_oldCtrs_0 : 6'h0)
+     145             :         | (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_1);
+     146          18 :   wire        taken_1 =
+     147             :     io_update_pc[1] & io_update_takens_0 | ~(io_update_pc[1]) & io_update_takens_1;
+     148         136 :   wire [5:0]  update_wdata_1 =
+     149             :     oldCtr_1 == 6'h1F & taken_1
+     150             :       ? 6'h1F
+     151             :       : oldCtr_1 == 6'h20 & ~taken_1
+     152             :           ? 6'h20
+     153             :           : taken_1 ? 6'(oldCtr_1 + 6'h1) : 6'(oldCtr_1 - 6'h1);
+     154             :   wire [5:0]  _GEN = io_update_pc[1] ? 6'h0 : update_wdata_0;
+     155             :   wire [5:0]  _GEN_0 = io_update_pc[1] ? update_wdata_1 : 6'h0;
+     156             :   wire [5:0]  _GEN_1 = io_update_pc[1] ? update_wdata_0 : 6'h0;
+     157             :   wire [5:0]  _GEN_2 = io_update_pc[1] ? 6'h0 : update_wdata_1;
+     158      127694 :   always @(posedge clock) begin
+     159        8350 :     if (io_req_valid)
+     160        4175 :       s1_pc <= io_req_bits_pc;
+     161             :   end // always @(posedge)
+     162             :   `ifdef ENABLE_INITIAL_REG_
+     163             :     `ifdef FIRRTL_BEFORE_INITIAL
+     164             :       `FIRRTL_BEFORE_INITIAL
+     165             :     `endif // FIRRTL_BEFORE_INITIAL
+     166             :     logic [31:0] _RANDOM[0:1];
+     167          58 :     initial begin
+     168             :       `ifdef INIT_RANDOM_PROLOG_
+     169             :         `INIT_RANDOM_PROLOG_
+     170             :       `endif // INIT_RANDOM_PROLOG_
+     171             :       `ifdef RANDOMIZE_REG_INIT
+     172             :         for (logic [1:0] i = 2'h0; i < 2'h2; i += 2'h1) begin
+     173             :           _RANDOM[i[0]] = `RANDOM;
+     174             :         end
+     175             :         s1_pc = {_RANDOM[1'h0][31:8], _RANDOM[1'h1][16:0]};
+     176             :       `endif // RANDOMIZE_REG_INIT
+     177             :     end // initial
+     178             :     `ifdef FIRRTL_AFTER_INITIAL
+     179             :       `FIRRTL_AFTER_INITIAL
+     180             :     `endif // FIRRTL_AFTER_INITIAL
+     181             :   `endif // ENABLE_INITIAL_REG_
+     182             :   SRAMTemplate_35 table_0 (
+     183             :     .clock                 (clock),
+     184             :     .reset                 (reset),
+     185             :     .io_r_req_valid        (io_req_valid),
+     186             :     .io_r_req_bits_setIdx
+     187             :       (io_req_bits_pc[8:1] ^ io_req_bits_folded_hist_hist_11_folded_hist),
+     188             :     .io_r_resp_data_0      (_table_io_r_resp_data_0),
+     189             :     .io_r_resp_data_1      (_table_io_r_resp_data_1),
+     190             :     .io_r_resp_data_2      (_table_io_r_resp_data_2),
+     191             :     .io_r_resp_data_3      (_table_io_r_resp_data_3),
+     192             :     .io_w_req_valid        (io_update_mask_0 | io_update_mask_1),
+     193             :     .io_w_req_bits_setIdx  (update_idx),
+     194             :     .io_w_req_bits_data_0  (update_wdata_0),
+     195             :     .io_w_req_bits_data_1  (update_wdata_0),
+     196             :     .io_w_req_bits_data_2  (update_wdata_1),
+     197             :     .io_w_req_bits_data_3  (update_wdata_1),
+     198             :     .io_w_req_bits_waymask
+     199             :       ({updateWayMask_3, updateWayMask_2, updateWayMask_1, updateWayMask_0})
+     200             :   );
+     201             :   WrBypass_33 wrbypasses_0 (
+     202             :     .clock               (clock),
+     203             :     .reset               (reset),
+     204             :     .io_wen              (io_update_mask_0),
+     205             :     .io_write_idx        (update_idx),
+     206             :     .io_write_data_0     (_GEN | _GEN_0),
+     207             :     .io_write_data_1     (_GEN | _GEN_0),
+     208             :     .io_write_way_mask_0
+     209             :       (~(io_update_pc[1]) & updateWayMask_0 | io_update_pc[1] & updateWayMask_2),
+     210             :     .io_write_way_mask_1
+     211             :       (~(io_update_pc[1]) & updateWayMask_1 | io_update_pc[1] & updateWayMask_3),
+     212             :     .io_hit              (_wrbypasses_0_io_hit),
+     213             :     .io_hit_data_0_valid (_wrbypasses_0_io_hit_data_0_valid),
+     214             :     .io_hit_data_0_bits  (_wrbypasses_0_io_hit_data_0_bits),
+     215             :     .io_hit_data_1_valid (_wrbypasses_0_io_hit_data_1_valid),
+     216             :     .io_hit_data_1_bits  (_wrbypasses_0_io_hit_data_1_bits)
+     217             :   );
+     218             :   WrBypass_33 wrbypasses_1 (
+     219             :     .clock               (clock),
+     220             :     .reset               (reset),
+     221             :     .io_wen              (io_update_mask_1),
+     222             :     .io_write_idx        (update_idx),
+     223             :     .io_write_data_0     (_GEN_1 | _GEN_2),
+     224             :     .io_write_data_1     (_GEN_1 | _GEN_2),
+     225             :     .io_write_way_mask_0
+     226             :       (io_update_pc[1] & updateWayMask_0 | ~(io_update_pc[1]) & updateWayMask_2),
+     227             :     .io_write_way_mask_1
+     228             :       (io_update_pc[1] & updateWayMask_1 | ~(io_update_pc[1]) & updateWayMask_3),
+     229             :     .io_hit              (_wrbypasses_1_io_hit),
+     230             :     .io_hit_data_0_valid (_wrbypasses_1_io_hit_data_0_valid),
+     231             :     .io_hit_data_0_bits  (_wrbypasses_1_io_hit_data_0_bits),
+     232             :     .io_hit_data_1_valid (_wrbypasses_1_io_hit_data_1_valid),
+     233             :     .io_hit_data_1_bits  (_wrbypasses_1_io_hit_data_1_bits)
+     234             :   );
+     235             :   assign io_resp_ctrs_0_0 =
+     236             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_0)
+     237             :     | (s1_pc[1] ? _table_io_r_resp_data_2 : 6'h0);
+     238             :   assign io_resp_ctrs_0_1 =
+     239             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_1)
+     240             :     | (s1_pc[1] ? _table_io_r_resp_data_3 : 6'h0);
+     241             :   assign io_resp_ctrs_1_0 =
+     242             :     (s1_pc[1] ? _table_io_r_resp_data_0 : 6'h0)
+     243             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_2);
+     244             :   assign io_resp_ctrs_1_1 =
+     245             :     (s1_pc[1] ? _table_io_r_resp_data_1 : 6'h0)
+     246             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_3);
+     247             : endmodule
+     248             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.func-sort-c.html new file mode 100644 index 0000000..ef1b52b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.func.html new file mode 100644 index 0000000..c657b64 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.gcov.html new file mode 100644 index 0000000..2a29f2c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SCTable_3.sv.gcov.html @@ -0,0 +1,324 @@ + + + + + + + LCOV - merged.info - BPUTop/SCTable_3.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SCTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SCTable_3(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          73 :   input         io_req_valid,
+      62       35066 :   input  [40:0] io_req_bits_pc,
+      63         811 :   input  [7:0]  io_req_bits_folded_hist_hist_2_folded_hist,
+      64         160 :   output [5:0]  io_resp_ctrs_0_0,
+      65         170 :   output [5:0]  io_resp_ctrs_0_1,
+      66         155 :   output [5:0]  io_resp_ctrs_1_0,
+      67         170 :   output [5:0]  io_resp_ctrs_1_1,
+      68         637 :   input  [40:0] io_update_pc,
+      69         112 :   input  [7:0]  io_update_folded_hist_hist_2_folded_hist,
+      70          30 :   input         io_update_mask_0,
+      71          29 :   input         io_update_mask_1,
+      72          95 :   input  [5:0]  io_update_oldCtrs_0,
+      73          85 :   input  [5:0]  io_update_oldCtrs_1,
+      74          18 :   input         io_update_tagePreds_0,
+      75          18 :   input         io_update_tagePreds_1,
+      76          17 :   input         io_update_takens_0,
+      77          17 :   input         io_update_takens_1
+      78             : );
+      79             : 
+      80             :   wire        _wrbypasses_1_io_hit;
+      81             :   wire        _wrbypasses_1_io_hit_data_0_valid;
+      82             :   wire [5:0]  _wrbypasses_1_io_hit_data_0_bits;
+      83             :   wire        _wrbypasses_1_io_hit_data_1_valid;
+      84             :   wire [5:0]  _wrbypasses_1_io_hit_data_1_bits;
+      85             :   wire        _wrbypasses_0_io_hit;
+      86             :   wire        _wrbypasses_0_io_hit_data_0_valid;
+      87             :   wire [5:0]  _wrbypasses_0_io_hit_data_0_bits;
+      88             :   wire        _wrbypasses_0_io_hit_data_1_valid;
+      89             :   wire [5:0]  _wrbypasses_0_io_hit_data_1_bits;
+      90             :   wire [5:0]  _table_io_r_resp_data_0;
+      91             :   wire [5:0]  _table_io_r_resp_data_1;
+      92             :   wire [5:0]  _table_io_r_resp_data_2;
+      93             :   wire [5:0]  _table_io_r_resp_data_3;
+      94        9383 :   reg  [40:0] s1_pc;
+      95          22 :   wire        updateWayMask_0 =
+      96             :     io_update_mask_0 & ~(io_update_pc[1]) & ~io_update_tagePreds_0 | io_update_mask_1
+      97             :     & io_update_pc[1] & ~io_update_tagePreds_1;
+      98          19 :   wire        updateWayMask_1 =
+      99             :     io_update_mask_0 & ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_mask_1
+     100             :     & io_update_pc[1] & io_update_tagePreds_1;
+     101          20 :   wire        updateWayMask_2 =
+     102             :     io_update_mask_0 & io_update_pc[1] & ~io_update_tagePreds_0 | io_update_mask_1
+     103             :     & ~(io_update_pc[1]) & ~io_update_tagePreds_1;
+     104          19 :   wire        updateWayMask_3 =
+     105             :     io_update_mask_0 & io_update_pc[1] & io_update_tagePreds_0 | io_update_mask_1
+     106             :     & ~(io_update_pc[1]) & io_update_tagePreds_1;
+     107         131 :   wire [7:0]  update_idx = io_update_pc[8:1] ^ io_update_folded_hist_hist_2_folded_hist;
+     108          12 :   wire        ctrPos =
+     109             :     ~(io_update_pc[1]) & io_update_tagePreds_0 | io_update_pc[1] & io_update_tagePreds_1;
+     110         125 :   wire [5:0]  oldCtr =
+     111             :     (~(io_update_pc[1]) & _wrbypasses_0_io_hit | io_update_pc[1] & _wrbypasses_1_io_hit)
+     112             :     & (ctrPos
+     113             :          ? ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_1_valid | io_update_pc[1]
+     114             :            & _wrbypasses_1_io_hit_data_1_valid
+     115             :          : ~(io_update_pc[1]) & _wrbypasses_0_io_hit_data_0_valid | io_update_pc[1]
+     116             :            & _wrbypasses_1_io_hit_data_0_valid)
+     117             :       ? (ctrPos
+     118             :            ? (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_1_bits)
+     119             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_1_bits : 6'h0)
+     120             :            : (io_update_pc[1] ? 6'h0 : _wrbypasses_0_io_hit_data_0_bits)
+     121             :              | (io_update_pc[1] ? _wrbypasses_1_io_hit_data_0_bits : 6'h0))
+     122             :       : (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_0)
+     123             :         | (io_update_pc[1] ? io_update_oldCtrs_1 : 6'h0);
+     124          15 :   wire        taken =
+     125             :     ~(io_update_pc[1]) & io_update_takens_0 | io_update_pc[1] & io_update_takens_1;
+     126         101 :   wire [5:0]  update_wdata_0 =
+     127             :     oldCtr == 6'h1F & taken
+     128             :       ? 6'h1F
+     129             :       : oldCtr == 6'h20 & ~taken ? 6'h20 : taken ? 6'(oldCtr + 6'h1) : 6'(oldCtr - 6'h1);
+     130          18 :   wire        ctrPos_1 =
+     131             :     io_update_pc[1] & io_update_tagePreds_0 | ~(io_update_pc[1]) & io_update_tagePreds_1;
+     132         139 :   wire [5:0]  oldCtr_1 =
+     133             :     (io_update_pc[1] & _wrbypasses_0_io_hit | ~(io_update_pc[1]) & _wrbypasses_1_io_hit)
+     134             :     & (ctrPos_1
+     135             :          ? io_update_pc[1] & _wrbypasses_0_io_hit_data_1_valid | ~(io_update_pc[1])
+     136             :            & _wrbypasses_1_io_hit_data_1_valid
+     137             :          : io_update_pc[1] & _wrbypasses_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     138             :            & _wrbypasses_1_io_hit_data_0_valid)
+     139             :       ? (ctrPos_1
+     140             :            ? (io_update_pc[1] ? _wrbypasses_0_io_hit_data_1_bits : 6'h0)
+     141             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_1_bits)
+     142             :            : (io_update_pc[1] ? _wrbypasses_0_io_hit_data_0_bits : 6'h0)
+     143             :              | (io_update_pc[1] ? 6'h0 : _wrbypasses_1_io_hit_data_0_bits))
+     144             :       : (io_update_pc[1] ? io_update_oldCtrs_0 : 6'h0)
+     145             :         | (io_update_pc[1] ? 6'h0 : io_update_oldCtrs_1);
+     146          11 :   wire        taken_1 =
+     147             :     io_update_pc[1] & io_update_takens_0 | ~(io_update_pc[1]) & io_update_takens_1;
+     148          97 :   wire [5:0]  update_wdata_1 =
+     149             :     oldCtr_1 == 6'h1F & taken_1
+     150             :       ? 6'h1F
+     151             :       : oldCtr_1 == 6'h20 & ~taken_1
+     152             :           ? 6'h20
+     153             :           : taken_1 ? 6'(oldCtr_1 + 6'h1) : 6'(oldCtr_1 - 6'h1);
+     154             :   wire [5:0]  _GEN = io_update_pc[1] ? 6'h0 : update_wdata_0;
+     155             :   wire [5:0]  _GEN_0 = io_update_pc[1] ? update_wdata_1 : 6'h0;
+     156             :   wire [5:0]  _GEN_1 = io_update_pc[1] ? update_wdata_0 : 6'h0;
+     157             :   wire [5:0]  _GEN_2 = io_update_pc[1] ? 6'h0 : update_wdata_1;
+     158      127694 :   always @(posedge clock) begin
+     159        8350 :     if (io_req_valid)
+     160        4175 :       s1_pc <= io_req_bits_pc;
+     161             :   end // always @(posedge)
+     162             :   `ifdef ENABLE_INITIAL_REG_
+     163             :     `ifdef FIRRTL_BEFORE_INITIAL
+     164             :       `FIRRTL_BEFORE_INITIAL
+     165             :     `endif // FIRRTL_BEFORE_INITIAL
+     166             :     logic [31:0] _RANDOM[0:1];
+     167          58 :     initial begin
+     168             :       `ifdef INIT_RANDOM_PROLOG_
+     169             :         `INIT_RANDOM_PROLOG_
+     170             :       `endif // INIT_RANDOM_PROLOG_
+     171             :       `ifdef RANDOMIZE_REG_INIT
+     172             :         for (logic [1:0] i = 2'h0; i < 2'h2; i += 2'h1) begin
+     173             :           _RANDOM[i[0]] = `RANDOM;
+     174             :         end
+     175             :         s1_pc = {_RANDOM[1'h0][31:8], _RANDOM[1'h1][16:0]};
+     176             :       `endif // RANDOMIZE_REG_INIT
+     177             :     end // initial
+     178             :     `ifdef FIRRTL_AFTER_INITIAL
+     179             :       `FIRRTL_AFTER_INITIAL
+     180             :     `endif // FIRRTL_AFTER_INITIAL
+     181             :   `endif // ENABLE_INITIAL_REG_
+     182             :   SRAMTemplate_35 table_0 (
+     183             :     .clock                 (clock),
+     184             :     .reset                 (reset),
+     185             :     .io_r_req_valid        (io_req_valid),
+     186             :     .io_r_req_bits_setIdx
+     187             :       (io_req_bits_pc[8:1] ^ io_req_bits_folded_hist_hist_2_folded_hist),
+     188             :     .io_r_resp_data_0      (_table_io_r_resp_data_0),
+     189             :     .io_r_resp_data_1      (_table_io_r_resp_data_1),
+     190             :     .io_r_resp_data_2      (_table_io_r_resp_data_2),
+     191             :     .io_r_resp_data_3      (_table_io_r_resp_data_3),
+     192             :     .io_w_req_valid        (io_update_mask_0 | io_update_mask_1),
+     193             :     .io_w_req_bits_setIdx  (update_idx),
+     194             :     .io_w_req_bits_data_0  (update_wdata_0),
+     195             :     .io_w_req_bits_data_1  (update_wdata_0),
+     196             :     .io_w_req_bits_data_2  (update_wdata_1),
+     197             :     .io_w_req_bits_data_3  (update_wdata_1),
+     198             :     .io_w_req_bits_waymask
+     199             :       ({updateWayMask_3, updateWayMask_2, updateWayMask_1, updateWayMask_0})
+     200             :   );
+     201             :   WrBypass_33 wrbypasses_0 (
+     202             :     .clock               (clock),
+     203             :     .reset               (reset),
+     204             :     .io_wen              (io_update_mask_0),
+     205             :     .io_write_idx        (update_idx),
+     206             :     .io_write_data_0     (_GEN | _GEN_0),
+     207             :     .io_write_data_1     (_GEN | _GEN_0),
+     208             :     .io_write_way_mask_0
+     209             :       (~(io_update_pc[1]) & updateWayMask_0 | io_update_pc[1] & updateWayMask_2),
+     210             :     .io_write_way_mask_1
+     211             :       (~(io_update_pc[1]) & updateWayMask_1 | io_update_pc[1] & updateWayMask_3),
+     212             :     .io_hit              (_wrbypasses_0_io_hit),
+     213             :     .io_hit_data_0_valid (_wrbypasses_0_io_hit_data_0_valid),
+     214             :     .io_hit_data_0_bits  (_wrbypasses_0_io_hit_data_0_bits),
+     215             :     .io_hit_data_1_valid (_wrbypasses_0_io_hit_data_1_valid),
+     216             :     .io_hit_data_1_bits  (_wrbypasses_0_io_hit_data_1_bits)
+     217             :   );
+     218             :   WrBypass_33 wrbypasses_1 (
+     219             :     .clock               (clock),
+     220             :     .reset               (reset),
+     221             :     .io_wen              (io_update_mask_1),
+     222             :     .io_write_idx        (update_idx),
+     223             :     .io_write_data_0     (_GEN_1 | _GEN_2),
+     224             :     .io_write_data_1     (_GEN_1 | _GEN_2),
+     225             :     .io_write_way_mask_0
+     226             :       (io_update_pc[1] & updateWayMask_0 | ~(io_update_pc[1]) & updateWayMask_2),
+     227             :     .io_write_way_mask_1
+     228             :       (io_update_pc[1] & updateWayMask_1 | ~(io_update_pc[1]) & updateWayMask_3),
+     229             :     .io_hit              (_wrbypasses_1_io_hit),
+     230             :     .io_hit_data_0_valid (_wrbypasses_1_io_hit_data_0_valid),
+     231             :     .io_hit_data_0_bits  (_wrbypasses_1_io_hit_data_0_bits),
+     232             :     .io_hit_data_1_valid (_wrbypasses_1_io_hit_data_1_valid),
+     233             :     .io_hit_data_1_bits  (_wrbypasses_1_io_hit_data_1_bits)
+     234             :   );
+     235             :   assign io_resp_ctrs_0_0 =
+     236             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_0)
+     237             :     | (s1_pc[1] ? _table_io_r_resp_data_2 : 6'h0);
+     238             :   assign io_resp_ctrs_0_1 =
+     239             :     (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_1)
+     240             :     | (s1_pc[1] ? _table_io_r_resp_data_3 : 6'h0);
+     241             :   assign io_resp_ctrs_1_0 =
+     242             :     (s1_pc[1] ? _table_io_r_resp_data_0 : 6'h0)
+     243             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_2);
+     244             :   assign io_resp_ctrs_1_1 =
+     245             :     (s1_pc[1] ? _table_io_r_resp_data_1 : 6'h0)
+     246             :     | (s1_pc[1] ? 6'h0 : _table_io_r_resp_data_3);
+     247             : endmodule
+     248             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.func-sort-c.html new file mode 100644 index 0000000..0773a2a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_13.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_13.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:183183100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.func.html new file mode 100644 index 0000000..e9262df --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_13.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_13.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:183183100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.gcov.html new file mode 100644 index 0000000..a91c334 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_13.sv.gcov.html @@ -0,0 +1,519 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_13.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_13.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:183183100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SRAMTemplate_13(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          90 :   output        io_r_req_ready,
+      62          78 :   input         io_r_req_valid,
+      63        8587 :   input  [8:0]  io_r_req_bits_setIdx,
+      64          84 :   output        io_r_resp_data_0_entry_valid,
+      65         158 :   output [3:0]  io_r_resp_data_0_entry_brSlots_0_offset,
+      66         380 :   output [11:0] io_r_resp_data_0_entry_brSlots_0_lower,
+      67          90 :   output [1:0]  io_r_resp_data_0_entry_brSlots_0_tarStat,
+      68          67 :   output        io_r_resp_data_0_entry_brSlots_0_sharing,
+      69          60 :   output        io_r_resp_data_0_entry_brSlots_0_valid,
+      70         318 :   output [3:0]  io_r_resp_data_0_entry_tailSlot_offset,
+      71         723 :   output [19:0] io_r_resp_data_0_entry_tailSlot_lower,
+      72         153 :   output [1:0]  io_r_resp_data_0_entry_tailSlot_tarStat,
+      73          77 :   output        io_r_resp_data_0_entry_tailSlot_sharing,
+      74          78 :   output        io_r_resp_data_0_entry_tailSlot_valid,
+      75         319 :   output [3:0]  io_r_resp_data_0_entry_pftAddr,
+      76          71 :   output        io_r_resp_data_0_entry_carry,
+      77          70 :   output        io_r_resp_data_0_entry_isCall,
+      78          63 :   output        io_r_resp_data_0_entry_isRet,
+      79          72 :   output        io_r_resp_data_0_entry_isJalr,
+      80          63 :   output        io_r_resp_data_0_entry_last_may_be_rvi_call,
+      81          70 :   output        io_r_resp_data_0_entry_always_taken_0,
+      82          64 :   output        io_r_resp_data_0_entry_always_taken_1,
+      83         708 :   output [19:0] io_r_resp_data_0_tag,
+      84          25 :   output        io_r_resp_data_1_entry_valid,
+      85         119 :   output [3:0]  io_r_resp_data_1_entry_brSlots_0_offset,
+      86         353 :   output [11:0] io_r_resp_data_1_entry_brSlots_0_lower,
+      87          65 :   output [1:0]  io_r_resp_data_1_entry_brSlots_0_tarStat,
+      88          24 :   output        io_r_resp_data_1_entry_brSlots_0_sharing,
+      89          28 :   output        io_r_resp_data_1_entry_brSlots_0_valid,
+      90         117 :   output [3:0]  io_r_resp_data_1_entry_tailSlot_offset,
+      91         592 :   output [19:0] io_r_resp_data_1_entry_tailSlot_lower,
+      92          63 :   output [1:0]  io_r_resp_data_1_entry_tailSlot_tarStat,
+      93          30 :   output        io_r_resp_data_1_entry_tailSlot_sharing,
+      94          29 :   output        io_r_resp_data_1_entry_tailSlot_valid,
+      95         134 :   output [3:0]  io_r_resp_data_1_entry_pftAddr,
+      96          32 :   output        io_r_resp_data_1_entry_carry,
+      97          29 :   output        io_r_resp_data_1_entry_isCall,
+      98          19 :   output        io_r_resp_data_1_entry_isRet,
+      99          29 :   output        io_r_resp_data_1_entry_isJalr,
+     100          31 :   output        io_r_resp_data_1_entry_last_may_be_rvi_call,
+     101          28 :   output        io_r_resp_data_1_entry_always_taken_0,
+     102          29 :   output        io_r_resp_data_1_entry_always_taken_1,
+     103         608 :   output [19:0] io_r_resp_data_1_tag,
+     104          29 :   output        io_r_resp_data_2_entry_valid,
+     105         114 :   output [3:0]  io_r_resp_data_2_entry_brSlots_0_offset,
+     106         347 :   output [11:0] io_r_resp_data_2_entry_brSlots_0_lower,
+     107          69 :   output [1:0]  io_r_resp_data_2_entry_brSlots_0_tarStat,
+     108          33 :   output        io_r_resp_data_2_entry_brSlots_0_sharing,
+     109          33 :   output        io_r_resp_data_2_entry_brSlots_0_valid,
+     110         131 :   output [3:0]  io_r_resp_data_2_entry_tailSlot_offset,
+     111         589 :   output [19:0] io_r_resp_data_2_entry_tailSlot_lower,
+     112          53 :   output [1:0]  io_r_resp_data_2_entry_tailSlot_tarStat,
+     113          23 :   output        io_r_resp_data_2_entry_tailSlot_sharing,
+     114          24 :   output        io_r_resp_data_2_entry_tailSlot_valid,
+     115         115 :   output [3:0]  io_r_resp_data_2_entry_pftAddr,
+     116          28 :   output        io_r_resp_data_2_entry_carry,
+     117          35 :   output        io_r_resp_data_2_entry_isCall,
+     118          24 :   output        io_r_resp_data_2_entry_isRet,
+     119          33 :   output        io_r_resp_data_2_entry_isJalr,
+     120          32 :   output        io_r_resp_data_2_entry_last_may_be_rvi_call,
+     121          24 :   output        io_r_resp_data_2_entry_always_taken_0,
+     122          24 :   output        io_r_resp_data_2_entry_always_taken_1,
+     123         600 :   output [19:0] io_r_resp_data_2_tag,
+     124          28 :   output        io_r_resp_data_3_entry_valid,
+     125         117 :   output [3:0]  io_r_resp_data_3_entry_brSlots_0_offset,
+     126         363 :   output [11:0] io_r_resp_data_3_entry_brSlots_0_lower,
+     127          55 :   output [1:0]  io_r_resp_data_3_entry_brSlots_0_tarStat,
+     128          26 :   output        io_r_resp_data_3_entry_brSlots_0_sharing,
+     129          30 :   output        io_r_resp_data_3_entry_brSlots_0_valid,
+     130         115 :   output [3:0]  io_r_resp_data_3_entry_tailSlot_offset,
+     131         598 :   output [19:0] io_r_resp_data_3_entry_tailSlot_lower,
+     132          55 :   output [1:0]  io_r_resp_data_3_entry_tailSlot_tarStat,
+     133          31 :   output        io_r_resp_data_3_entry_tailSlot_sharing,
+     134          27 :   output        io_r_resp_data_3_entry_tailSlot_valid,
+     135         113 :   output [3:0]  io_r_resp_data_3_entry_pftAddr,
+     136          34 :   output        io_r_resp_data_3_entry_carry,
+     137          30 :   output        io_r_resp_data_3_entry_isCall,
+     138          34 :   output        io_r_resp_data_3_entry_isRet,
+     139          35 :   output        io_r_resp_data_3_entry_isJalr,
+     140          30 :   output        io_r_resp_data_3_entry_last_may_be_rvi_call,
+     141          28 :   output        io_r_resp_data_3_entry_always_taken_0,
+     142          25 :   output        io_r_resp_data_3_entry_always_taken_1,
+     143         591 :   output [19:0] io_r_resp_data_3_tag,
+     144          78 :   input         io_w_req_valid,
+     145         250 :   input  [8:0]  io_w_req_bits_setIdx,
+     146          27 :   input         io_w_req_bits_data_0_entry_valid,
+     147         110 :   input  [3:0]  io_w_req_bits_data_0_entry_brSlots_0_offset,
+     148         363 :   input  [11:0] io_w_req_bits_data_0_entry_brSlots_0_lower,
+     149          49 :   input  [1:0]  io_w_req_bits_data_0_entry_brSlots_0_tarStat,
+     150          28 :   input         io_w_req_bits_data_0_entry_brSlots_0_sharing,
+     151          30 :   input         io_w_req_bits_data_0_entry_brSlots_0_valid,
+     152         107 :   input  [3:0]  io_w_req_bits_data_0_entry_tailSlot_offset,
+     153         576 :   input  [19:0] io_w_req_bits_data_0_entry_tailSlot_lower,
+     154          54 :   input  [1:0]  io_w_req_bits_data_0_entry_tailSlot_tarStat,
+     155          32 :   input         io_w_req_bits_data_0_entry_tailSlot_sharing,
+     156          31 :   input         io_w_req_bits_data_0_entry_tailSlot_valid,
+     157         118 :   input  [3:0]  io_w_req_bits_data_0_entry_pftAddr,
+     158          25 :   input         io_w_req_bits_data_0_entry_carry,
+     159          31 :   input         io_w_req_bits_data_0_entry_isCall,
+     160          26 :   input         io_w_req_bits_data_0_entry_isRet,
+     161          24 :   input         io_w_req_bits_data_0_entry_isJalr,
+     162          28 :   input         io_w_req_bits_data_0_entry_last_may_be_rvi_call,
+     163          28 :   input         io_w_req_bits_data_0_entry_always_taken_0,
+     164          31 :   input         io_w_req_bits_data_0_entry_always_taken_1,
+     165         566 :   input  [19:0] io_w_req_bits_data_0_tag,
+     166          27 :   input         io_w_req_bits_data_1_entry_valid,
+     167         110 :   input  [3:0]  io_w_req_bits_data_1_entry_brSlots_0_offset,
+     168         363 :   input  [11:0] io_w_req_bits_data_1_entry_brSlots_0_lower,
+     169          49 :   input  [1:0]  io_w_req_bits_data_1_entry_brSlots_0_tarStat,
+     170          28 :   input         io_w_req_bits_data_1_entry_brSlots_0_sharing,
+     171          30 :   input         io_w_req_bits_data_1_entry_brSlots_0_valid,
+     172         107 :   input  [3:0]  io_w_req_bits_data_1_entry_tailSlot_offset,
+     173         576 :   input  [19:0] io_w_req_bits_data_1_entry_tailSlot_lower,
+     174          54 :   input  [1:0]  io_w_req_bits_data_1_entry_tailSlot_tarStat,
+     175          32 :   input         io_w_req_bits_data_1_entry_tailSlot_sharing,
+     176          31 :   input         io_w_req_bits_data_1_entry_tailSlot_valid,
+     177         118 :   input  [3:0]  io_w_req_bits_data_1_entry_pftAddr,
+     178          25 :   input         io_w_req_bits_data_1_entry_carry,
+     179          31 :   input         io_w_req_bits_data_1_entry_isCall,
+     180          26 :   input         io_w_req_bits_data_1_entry_isRet,
+     181          24 :   input         io_w_req_bits_data_1_entry_isJalr,
+     182          28 :   input         io_w_req_bits_data_1_entry_last_may_be_rvi_call,
+     183          28 :   input         io_w_req_bits_data_1_entry_always_taken_0,
+     184          31 :   input         io_w_req_bits_data_1_entry_always_taken_1,
+     185         566 :   input  [19:0] io_w_req_bits_data_1_tag,
+     186          27 :   input         io_w_req_bits_data_2_entry_valid,
+     187         110 :   input  [3:0]  io_w_req_bits_data_2_entry_brSlots_0_offset,
+     188         363 :   input  [11:0] io_w_req_bits_data_2_entry_brSlots_0_lower,
+     189          49 :   input  [1:0]  io_w_req_bits_data_2_entry_brSlots_0_tarStat,
+     190          28 :   input         io_w_req_bits_data_2_entry_brSlots_0_sharing,
+     191          30 :   input         io_w_req_bits_data_2_entry_brSlots_0_valid,
+     192         107 :   input  [3:0]  io_w_req_bits_data_2_entry_tailSlot_offset,
+     193         576 :   input  [19:0] io_w_req_bits_data_2_entry_tailSlot_lower,
+     194          54 :   input  [1:0]  io_w_req_bits_data_2_entry_tailSlot_tarStat,
+     195          32 :   input         io_w_req_bits_data_2_entry_tailSlot_sharing,
+     196          31 :   input         io_w_req_bits_data_2_entry_tailSlot_valid,
+     197         118 :   input  [3:0]  io_w_req_bits_data_2_entry_pftAddr,
+     198          25 :   input         io_w_req_bits_data_2_entry_carry,
+     199          31 :   input         io_w_req_bits_data_2_entry_isCall,
+     200          26 :   input         io_w_req_bits_data_2_entry_isRet,
+     201          24 :   input         io_w_req_bits_data_2_entry_isJalr,
+     202          28 :   input         io_w_req_bits_data_2_entry_last_may_be_rvi_call,
+     203          28 :   input         io_w_req_bits_data_2_entry_always_taken_0,
+     204          31 :   input         io_w_req_bits_data_2_entry_always_taken_1,
+     205         566 :   input  [19:0] io_w_req_bits_data_2_tag,
+     206          27 :   input         io_w_req_bits_data_3_entry_valid,
+     207         110 :   input  [3:0]  io_w_req_bits_data_3_entry_brSlots_0_offset,
+     208         363 :   input  [11:0] io_w_req_bits_data_3_entry_brSlots_0_lower,
+     209          49 :   input  [1:0]  io_w_req_bits_data_3_entry_brSlots_0_tarStat,
+     210          28 :   input         io_w_req_bits_data_3_entry_brSlots_0_sharing,
+     211          30 :   input         io_w_req_bits_data_3_entry_brSlots_0_valid,
+     212         107 :   input  [3:0]  io_w_req_bits_data_3_entry_tailSlot_offset,
+     213         576 :   input  [19:0] io_w_req_bits_data_3_entry_tailSlot_lower,
+     214          54 :   input  [1:0]  io_w_req_bits_data_3_entry_tailSlot_tarStat,
+     215          32 :   input         io_w_req_bits_data_3_entry_tailSlot_sharing,
+     216          31 :   input         io_w_req_bits_data_3_entry_tailSlot_valid,
+     217         118 :   input  [3:0]  io_w_req_bits_data_3_entry_pftAddr,
+     218          25 :   input         io_w_req_bits_data_3_entry_carry,
+     219          31 :   input         io_w_req_bits_data_3_entry_isCall,
+     220          26 :   input         io_w_req_bits_data_3_entry_isRet,
+     221          24 :   input         io_w_req_bits_data_3_entry_isJalr,
+     222          28 :   input         io_w_req_bits_data_3_entry_last_may_be_rvi_call,
+     223          28 :   input         io_w_req_bits_data_3_entry_always_taken_0,
+     224          31 :   input         io_w_req_bits_data_3_entry_always_taken_1,
+     225         566 :   input  [19:0] io_w_req_bits_data_3_tag,
+     226         229 :   input  [3:0]  io_w_req_bits_waymask
+     227             : );
+     228             : 
+     229       30005 :   wire [8:0]   setIdx;
+     230          86 :   wire         realRen;
+     231          89 :   wire         wen;
+     232             :   wire [319:0] _array_RW0_rdata;
+     233             :   reg          _resetState;
+     234             :   reg  [8:0]   _resetSet;
+     235             :   assign wen = io_w_req_valid | _resetState;
+     236             :   assign realRen = io_r_req_valid & ~wen;
+     237             :   assign setIdx = _resetState ? _resetSet : io_w_req_bits_setIdx;
+     238      127730 :   always @(posedge clock or posedge reset) begin
+     239         272 :     if (reset) begin
+     240         136 :       _resetState <= 1'h1;
+     241         136 :       _resetSet <= 9'h0;
+     242             :     end
+     243       63729 :     else begin
+     244       63729 :       _resetState <= ~(_resetState & (&_resetSet)) & _resetState;
+     245       29908 :       if (_resetState)
+     246       14954 :         _resetSet <= 9'(_resetSet + 9'h1);
+     247             :     end
+     248             :   end // always @(posedge, posedge)
+     249             :   `ifdef ENABLE_INITIAL_REG_
+     250             :     `ifdef FIRRTL_BEFORE_INITIAL
+     251             :       `FIRRTL_BEFORE_INITIAL
+     252             :     `endif // FIRRTL_BEFORE_INITIAL
+     253             :     logic [31:0] _RANDOM[0:0];
+     254          58 :     initial begin
+     255             :       `ifdef INIT_RANDOM_PROLOG_
+     256             :         `INIT_RANDOM_PROLOG_
+     257             :       `endif // INIT_RANDOM_PROLOG_
+     258             :       `ifdef RANDOMIZE_REG_INIT
+     259             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     260             :         _resetState = _RANDOM[/*Zero width*/ 1'b0][0];
+     261             :         _resetSet = _RANDOM[/*Zero width*/ 1'b0][9:1];
+     262             :       `endif // RANDOMIZE_REG_INIT
+     263          17 :       if (reset) begin
+     264          12 :         _resetState = 1'h1;
+     265          12 :         _resetSet = 9'h0;
+     266             :       end
+     267             :     end // initial
+     268             :     `ifdef FIRRTL_AFTER_INITIAL
+     269             :       `FIRRTL_AFTER_INITIAL
+     270             :     `endif // FIRRTL_AFTER_INITIAL
+     271             :   `endif // ENABLE_INITIAL_REG_
+     272             :   array_3 array (
+     273             :     .RW0_addr  (wen ? setIdx : io_r_req_bits_setIdx),
+     274             :     .RW0_en    (realRen | wen),
+     275             :     .RW0_clk   (clock),
+     276             :     .RW0_wmode (wen),
+     277             :     .RW0_wdata
+     278             :       ({~_resetState & io_w_req_bits_data_3_entry_valid,
+     279             :         _resetState ? 4'h0 : io_w_req_bits_data_3_entry_brSlots_0_offset,
+     280             :         _resetState ? 12'h0 : io_w_req_bits_data_3_entry_brSlots_0_lower,
+     281             :         _resetState ? 2'h0 : io_w_req_bits_data_3_entry_brSlots_0_tarStat,
+     282             :         ~_resetState & io_w_req_bits_data_3_entry_brSlots_0_sharing,
+     283             :         ~_resetState & io_w_req_bits_data_3_entry_brSlots_0_valid,
+     284             :         _resetState ? 4'h0 : io_w_req_bits_data_3_entry_tailSlot_offset,
+     285             :         _resetState ? 20'h0 : io_w_req_bits_data_3_entry_tailSlot_lower,
+     286             :         _resetState ? 2'h0 : io_w_req_bits_data_3_entry_tailSlot_tarStat,
+     287             :         ~_resetState & io_w_req_bits_data_3_entry_tailSlot_sharing,
+     288             :         ~_resetState & io_w_req_bits_data_3_entry_tailSlot_valid,
+     289             :         _resetState ? 4'h0 : io_w_req_bits_data_3_entry_pftAddr,
+     290             :         ~_resetState & io_w_req_bits_data_3_entry_carry,
+     291             :         ~_resetState & io_w_req_bits_data_3_entry_isCall,
+     292             :         ~_resetState & io_w_req_bits_data_3_entry_isRet,
+     293             :         ~_resetState & io_w_req_bits_data_3_entry_isJalr,
+     294             :         ~_resetState & io_w_req_bits_data_3_entry_last_may_be_rvi_call,
+     295             :         ~_resetState & io_w_req_bits_data_3_entry_always_taken_1,
+     296             :         ~_resetState & io_w_req_bits_data_3_entry_always_taken_0,
+     297             :         _resetState ? 20'h0 : io_w_req_bits_data_3_tag,
+     298             :         ~_resetState & io_w_req_bits_data_2_entry_valid,
+     299             :         _resetState ? 4'h0 : io_w_req_bits_data_2_entry_brSlots_0_offset,
+     300             :         _resetState ? 12'h0 : io_w_req_bits_data_2_entry_brSlots_0_lower,
+     301             :         _resetState ? 2'h0 : io_w_req_bits_data_2_entry_brSlots_0_tarStat,
+     302             :         ~_resetState & io_w_req_bits_data_2_entry_brSlots_0_sharing,
+     303             :         ~_resetState & io_w_req_bits_data_2_entry_brSlots_0_valid,
+     304             :         _resetState ? 4'h0 : io_w_req_bits_data_2_entry_tailSlot_offset,
+     305             :         _resetState ? 20'h0 : io_w_req_bits_data_2_entry_tailSlot_lower,
+     306             :         _resetState ? 2'h0 : io_w_req_bits_data_2_entry_tailSlot_tarStat,
+     307             :         ~_resetState & io_w_req_bits_data_2_entry_tailSlot_sharing,
+     308             :         ~_resetState & io_w_req_bits_data_2_entry_tailSlot_valid,
+     309             :         _resetState ? 4'h0 : io_w_req_bits_data_2_entry_pftAddr,
+     310             :         ~_resetState & io_w_req_bits_data_2_entry_carry,
+     311             :         ~_resetState & io_w_req_bits_data_2_entry_isCall,
+     312             :         ~_resetState & io_w_req_bits_data_2_entry_isRet,
+     313             :         ~_resetState & io_w_req_bits_data_2_entry_isJalr,
+     314             :         ~_resetState & io_w_req_bits_data_2_entry_last_may_be_rvi_call,
+     315             :         ~_resetState & io_w_req_bits_data_2_entry_always_taken_1,
+     316             :         ~_resetState & io_w_req_bits_data_2_entry_always_taken_0,
+     317             :         _resetState ? 20'h0 : io_w_req_bits_data_2_tag,
+     318             :         ~_resetState & io_w_req_bits_data_1_entry_valid,
+     319             :         _resetState ? 4'h0 : io_w_req_bits_data_1_entry_brSlots_0_offset,
+     320             :         _resetState ? 12'h0 : io_w_req_bits_data_1_entry_brSlots_0_lower,
+     321             :         _resetState ? 2'h0 : io_w_req_bits_data_1_entry_brSlots_0_tarStat,
+     322             :         ~_resetState & io_w_req_bits_data_1_entry_brSlots_0_sharing,
+     323             :         ~_resetState & io_w_req_bits_data_1_entry_brSlots_0_valid,
+     324             :         _resetState ? 4'h0 : io_w_req_bits_data_1_entry_tailSlot_offset,
+     325             :         _resetState ? 20'h0 : io_w_req_bits_data_1_entry_tailSlot_lower,
+     326             :         _resetState ? 2'h0 : io_w_req_bits_data_1_entry_tailSlot_tarStat,
+     327             :         ~_resetState & io_w_req_bits_data_1_entry_tailSlot_sharing,
+     328             :         ~_resetState & io_w_req_bits_data_1_entry_tailSlot_valid,
+     329             :         _resetState ? 4'h0 : io_w_req_bits_data_1_entry_pftAddr,
+     330             :         ~_resetState & io_w_req_bits_data_1_entry_carry,
+     331             :         ~_resetState & io_w_req_bits_data_1_entry_isCall,
+     332             :         ~_resetState & io_w_req_bits_data_1_entry_isRet,
+     333             :         ~_resetState & io_w_req_bits_data_1_entry_isJalr,
+     334             :         ~_resetState & io_w_req_bits_data_1_entry_last_may_be_rvi_call,
+     335             :         ~_resetState & io_w_req_bits_data_1_entry_always_taken_1,
+     336             :         ~_resetState & io_w_req_bits_data_1_entry_always_taken_0,
+     337             :         _resetState ? 20'h0 : io_w_req_bits_data_1_tag,
+     338             :         ~_resetState & io_w_req_bits_data_0_entry_valid,
+     339             :         _resetState ? 4'h0 : io_w_req_bits_data_0_entry_brSlots_0_offset,
+     340             :         _resetState ? 12'h0 : io_w_req_bits_data_0_entry_brSlots_0_lower,
+     341             :         _resetState ? 2'h0 : io_w_req_bits_data_0_entry_brSlots_0_tarStat,
+     342             :         ~_resetState & io_w_req_bits_data_0_entry_brSlots_0_sharing,
+     343             :         ~_resetState & io_w_req_bits_data_0_entry_brSlots_0_valid,
+     344             :         _resetState ? 4'h0 : io_w_req_bits_data_0_entry_tailSlot_offset,
+     345             :         _resetState ? 20'h0 : io_w_req_bits_data_0_entry_tailSlot_lower,
+     346             :         _resetState ? 2'h0 : io_w_req_bits_data_0_entry_tailSlot_tarStat,
+     347             :         ~_resetState & io_w_req_bits_data_0_entry_tailSlot_sharing,
+     348             :         ~_resetState & io_w_req_bits_data_0_entry_tailSlot_valid,
+     349             :         _resetState ? 4'h0 : io_w_req_bits_data_0_entry_pftAddr,
+     350             :         ~_resetState & io_w_req_bits_data_0_entry_carry,
+     351             :         ~_resetState & io_w_req_bits_data_0_entry_isCall,
+     352             :         ~_resetState & io_w_req_bits_data_0_entry_isRet,
+     353             :         ~_resetState & io_w_req_bits_data_0_entry_isJalr,
+     354             :         ~_resetState & io_w_req_bits_data_0_entry_last_may_be_rvi_call,
+     355             :         ~_resetState & io_w_req_bits_data_0_entry_always_taken_1,
+     356             :         ~_resetState & io_w_req_bits_data_0_entry_always_taken_0,
+     357             :         _resetState ? 20'h0 : io_w_req_bits_data_0_tag}),
+     358             :     .RW0_rdata (_array_RW0_rdata),
+     359             :     .RW0_wmask (_resetState ? 4'hF : io_w_req_bits_waymask)
+     360             :   );
+     361             :   assign io_r_req_ready = ~_resetState & ~wen;
+     362             :   assign io_r_resp_data_0_entry_valid = _array_RW0_rdata[79];
+     363             :   assign io_r_resp_data_0_entry_brSlots_0_offset = _array_RW0_rdata[78:75];
+     364             :   assign io_r_resp_data_0_entry_brSlots_0_lower = _array_RW0_rdata[74:63];
+     365             :   assign io_r_resp_data_0_entry_brSlots_0_tarStat = _array_RW0_rdata[62:61];
+     366             :   assign io_r_resp_data_0_entry_brSlots_0_sharing = _array_RW0_rdata[60];
+     367             :   assign io_r_resp_data_0_entry_brSlots_0_valid = _array_RW0_rdata[59];
+     368             :   assign io_r_resp_data_0_entry_tailSlot_offset = _array_RW0_rdata[58:55];
+     369             :   assign io_r_resp_data_0_entry_tailSlot_lower = _array_RW0_rdata[54:35];
+     370             :   assign io_r_resp_data_0_entry_tailSlot_tarStat = _array_RW0_rdata[34:33];
+     371             :   assign io_r_resp_data_0_entry_tailSlot_sharing = _array_RW0_rdata[32];
+     372             :   assign io_r_resp_data_0_entry_tailSlot_valid = _array_RW0_rdata[31];
+     373             :   assign io_r_resp_data_0_entry_pftAddr = _array_RW0_rdata[30:27];
+     374             :   assign io_r_resp_data_0_entry_carry = _array_RW0_rdata[26];
+     375             :   assign io_r_resp_data_0_entry_isCall = _array_RW0_rdata[25];
+     376             :   assign io_r_resp_data_0_entry_isRet = _array_RW0_rdata[24];
+     377             :   assign io_r_resp_data_0_entry_isJalr = _array_RW0_rdata[23];
+     378             :   assign io_r_resp_data_0_entry_last_may_be_rvi_call = _array_RW0_rdata[22];
+     379             :   assign io_r_resp_data_0_entry_always_taken_0 = _array_RW0_rdata[20];
+     380             :   assign io_r_resp_data_0_entry_always_taken_1 = _array_RW0_rdata[21];
+     381             :   assign io_r_resp_data_0_tag = _array_RW0_rdata[19:0];
+     382             :   assign io_r_resp_data_1_entry_valid = _array_RW0_rdata[159];
+     383             :   assign io_r_resp_data_1_entry_brSlots_0_offset = _array_RW0_rdata[158:155];
+     384             :   assign io_r_resp_data_1_entry_brSlots_0_lower = _array_RW0_rdata[154:143];
+     385             :   assign io_r_resp_data_1_entry_brSlots_0_tarStat = _array_RW0_rdata[142:141];
+     386             :   assign io_r_resp_data_1_entry_brSlots_0_sharing = _array_RW0_rdata[140];
+     387             :   assign io_r_resp_data_1_entry_brSlots_0_valid = _array_RW0_rdata[139];
+     388             :   assign io_r_resp_data_1_entry_tailSlot_offset = _array_RW0_rdata[138:135];
+     389             :   assign io_r_resp_data_1_entry_tailSlot_lower = _array_RW0_rdata[134:115];
+     390             :   assign io_r_resp_data_1_entry_tailSlot_tarStat = _array_RW0_rdata[114:113];
+     391             :   assign io_r_resp_data_1_entry_tailSlot_sharing = _array_RW0_rdata[112];
+     392             :   assign io_r_resp_data_1_entry_tailSlot_valid = _array_RW0_rdata[111];
+     393             :   assign io_r_resp_data_1_entry_pftAddr = _array_RW0_rdata[110:107];
+     394             :   assign io_r_resp_data_1_entry_carry = _array_RW0_rdata[106];
+     395             :   assign io_r_resp_data_1_entry_isCall = _array_RW0_rdata[105];
+     396             :   assign io_r_resp_data_1_entry_isRet = _array_RW0_rdata[104];
+     397             :   assign io_r_resp_data_1_entry_isJalr = _array_RW0_rdata[103];
+     398             :   assign io_r_resp_data_1_entry_last_may_be_rvi_call = _array_RW0_rdata[102];
+     399             :   assign io_r_resp_data_1_entry_always_taken_0 = _array_RW0_rdata[100];
+     400             :   assign io_r_resp_data_1_entry_always_taken_1 = _array_RW0_rdata[101];
+     401             :   assign io_r_resp_data_1_tag = _array_RW0_rdata[99:80];
+     402             :   assign io_r_resp_data_2_entry_valid = _array_RW0_rdata[239];
+     403             :   assign io_r_resp_data_2_entry_brSlots_0_offset = _array_RW0_rdata[238:235];
+     404             :   assign io_r_resp_data_2_entry_brSlots_0_lower = _array_RW0_rdata[234:223];
+     405             :   assign io_r_resp_data_2_entry_brSlots_0_tarStat = _array_RW0_rdata[222:221];
+     406             :   assign io_r_resp_data_2_entry_brSlots_0_sharing = _array_RW0_rdata[220];
+     407             :   assign io_r_resp_data_2_entry_brSlots_0_valid = _array_RW0_rdata[219];
+     408             :   assign io_r_resp_data_2_entry_tailSlot_offset = _array_RW0_rdata[218:215];
+     409             :   assign io_r_resp_data_2_entry_tailSlot_lower = _array_RW0_rdata[214:195];
+     410             :   assign io_r_resp_data_2_entry_tailSlot_tarStat = _array_RW0_rdata[194:193];
+     411             :   assign io_r_resp_data_2_entry_tailSlot_sharing = _array_RW0_rdata[192];
+     412             :   assign io_r_resp_data_2_entry_tailSlot_valid = _array_RW0_rdata[191];
+     413             :   assign io_r_resp_data_2_entry_pftAddr = _array_RW0_rdata[190:187];
+     414             :   assign io_r_resp_data_2_entry_carry = _array_RW0_rdata[186];
+     415             :   assign io_r_resp_data_2_entry_isCall = _array_RW0_rdata[185];
+     416             :   assign io_r_resp_data_2_entry_isRet = _array_RW0_rdata[184];
+     417             :   assign io_r_resp_data_2_entry_isJalr = _array_RW0_rdata[183];
+     418             :   assign io_r_resp_data_2_entry_last_may_be_rvi_call = _array_RW0_rdata[182];
+     419             :   assign io_r_resp_data_2_entry_always_taken_0 = _array_RW0_rdata[180];
+     420             :   assign io_r_resp_data_2_entry_always_taken_1 = _array_RW0_rdata[181];
+     421             :   assign io_r_resp_data_2_tag = _array_RW0_rdata[179:160];
+     422             :   assign io_r_resp_data_3_entry_valid = _array_RW0_rdata[319];
+     423             :   assign io_r_resp_data_3_entry_brSlots_0_offset = _array_RW0_rdata[318:315];
+     424             :   assign io_r_resp_data_3_entry_brSlots_0_lower = _array_RW0_rdata[314:303];
+     425             :   assign io_r_resp_data_3_entry_brSlots_0_tarStat = _array_RW0_rdata[302:301];
+     426             :   assign io_r_resp_data_3_entry_brSlots_0_sharing = _array_RW0_rdata[300];
+     427             :   assign io_r_resp_data_3_entry_brSlots_0_valid = _array_RW0_rdata[299];
+     428             :   assign io_r_resp_data_3_entry_tailSlot_offset = _array_RW0_rdata[298:295];
+     429             :   assign io_r_resp_data_3_entry_tailSlot_lower = _array_RW0_rdata[294:275];
+     430             :   assign io_r_resp_data_3_entry_tailSlot_tarStat = _array_RW0_rdata[274:273];
+     431             :   assign io_r_resp_data_3_entry_tailSlot_sharing = _array_RW0_rdata[272];
+     432             :   assign io_r_resp_data_3_entry_tailSlot_valid = _array_RW0_rdata[271];
+     433             :   assign io_r_resp_data_3_entry_pftAddr = _array_RW0_rdata[270:267];
+     434             :   assign io_r_resp_data_3_entry_carry = _array_RW0_rdata[266];
+     435             :   assign io_r_resp_data_3_entry_isCall = _array_RW0_rdata[265];
+     436             :   assign io_r_resp_data_3_entry_isRet = _array_RW0_rdata[264];
+     437             :   assign io_r_resp_data_3_entry_isJalr = _array_RW0_rdata[263];
+     438             :   assign io_r_resp_data_3_entry_last_may_be_rvi_call = _array_RW0_rdata[262];
+     439             :   assign io_r_resp_data_3_entry_always_taken_0 = _array_RW0_rdata[260];
+     440             :   assign io_r_resp_data_3_entry_always_taken_1 = _array_RW0_rdata[261];
+     441             :   assign io_r_resp_data_3_tag = _array_RW0_rdata[259:240];
+     442             : endmodule
+     443             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.func-sort-c.html new file mode 100644 index 0000000..3e63fd5 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_14.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_14.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:9595100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.func.html new file mode 100644 index 0000000..4cf299b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_14.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_14.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:9595100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.gcov.html new file mode 100644 index 0000000..a495267 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_14.sv.gcov.html @@ -0,0 +1,324 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_14.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_14.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:9595100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SRAMTemplate_14(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61         201 :   output        io_r_req_ready,
+      62         309 :   input         io_r_req_valid,
+      63       25930 :   input  [7:0]  io_r_req_bits_setIdx,
+      64         114 :   output        io_r_resp_data_0,
+      65         118 :   output        io_r_resp_data_1,
+      66         116 :   output        io_r_resp_data_2,
+      67         107 :   output        io_r_resp_data_3,
+      68         124 :   output        io_r_resp_data_4,
+      69         115 :   output        io_r_resp_data_5,
+      70         124 :   output        io_r_resp_data_6,
+      71         120 :   output        io_r_resp_data_7,
+      72         116 :   output        io_r_resp_data_8,
+      73         122 :   output        io_r_resp_data_9,
+      74         115 :   output        io_r_resp_data_10,
+      75         125 :   output        io_r_resp_data_11,
+      76         110 :   output        io_r_resp_data_12,
+      77         118 :   output        io_r_resp_data_13,
+      78         102 :   output        io_r_resp_data_14,
+      79         120 :   output        io_r_resp_data_15,
+      80         125 :   input         io_w_req_valid,
+      81         228 :   input  [7:0]  io_w_req_bits_setIdx,
+      82          53 :   input         io_w_req_bits_data_0,
+      83          65 :   input         io_w_req_bits_data_1,
+      84          53 :   input         io_w_req_bits_data_2,
+      85          65 :   input         io_w_req_bits_data_3,
+      86          53 :   input         io_w_req_bits_data_4,
+      87          65 :   input         io_w_req_bits_data_5,
+      88          53 :   input         io_w_req_bits_data_6,
+      89          65 :   input         io_w_req_bits_data_7,
+      90          53 :   input         io_w_req_bits_data_8,
+      91          65 :   input         io_w_req_bits_data_9,
+      92          53 :   input         io_w_req_bits_data_10,
+      93          65 :   input         io_w_req_bits_data_11,
+      94          53 :   input         io_w_req_bits_data_12,
+      95          65 :   input         io_w_req_bits_data_13,
+      96          53 :   input         io_w_req_bits_data_14,
+      97          65 :   input         io_w_req_bits_data_15,
+      98         930 :   input  [15:0] io_w_req_bits_waymask,
+      99         146 :   input         extra_reset
+     100             : );
+     101             : 
+     102       60355 :   wire [7:0]  setIdx;
+     103         294 :   wire        realRen;
+     104         195 :   wire        wen;
+     105             :   wire [15:0] _array_RW0_rdata;
+     106             :   reg         _resetState;
+     107             :   reg  [7:0]  _resetSet;
+     108             :   assign wen = io_w_req_valid | _resetState;
+     109             :   assign realRen = io_r_req_valid & ~wen;
+     110             :   assign setIdx = _resetState ? _resetSet : io_w_req_bits_setIdx;
+     111         336 :   reg         rdata_last_r;
+     112         127 :   reg         rdata_hold_data_0;
+     113         144 :   reg         rdata_hold_data_1;
+     114         137 :   reg         rdata_hold_data_2;
+     115         118 :   reg         rdata_hold_data_3;
+     116         136 :   reg         rdata_hold_data_4;
+     117         119 :   reg         rdata_hold_data_5;
+     118         125 :   reg         rdata_hold_data_6;
+     119         140 :   reg         rdata_hold_data_7;
+     120         136 :   reg         rdata_hold_data_8;
+     121         138 :   reg         rdata_hold_data_9;
+     122         135 :   reg         rdata_hold_data_10;
+     123         155 :   reg         rdata_hold_data_11;
+     124         132 :   reg         rdata_hold_data_12;
+     125         131 :   reg         rdata_hold_data_13;
+     126         121 :   reg         rdata_hold_data_14;
+     127         146 :   reg         rdata_hold_data_15;
+     128      510920 :   always @(posedge clock or posedge reset) begin
+     129        1088 :     if (reset) begin
+     130         544 :       _resetState <= 1'h1;
+     131         544 :       _resetSet <= 8'h0;
+     132         544 :       rdata_last_r <= 1'h0;
+     133             :     end
+     134      254916 :     else begin
+     135      254916 :       _resetState <= extra_reset | ~(_resetState & (&_resetSet)) & _resetState;
+     136       60256 :       if (_resetState)
+     137       30128 :         _resetSet <= 8'(_resetSet + 8'h1);
+     138       33598 :       if (realRen | rdata_last_r)
+     139       16799 :         rdata_last_r <= realRen;
+     140             :     end
+     141             :   end // always @(posedge, posedge)
+     142      510776 :   always @(posedge clock) begin
+     143       33238 :     if (rdata_last_r) begin
+     144       16619 :       rdata_hold_data_0 <= _array_RW0_rdata[0];
+     145       16619 :       rdata_hold_data_1 <= _array_RW0_rdata[1];
+     146       16619 :       rdata_hold_data_2 <= _array_RW0_rdata[2];
+     147       16619 :       rdata_hold_data_3 <= _array_RW0_rdata[3];
+     148       16619 :       rdata_hold_data_4 <= _array_RW0_rdata[4];
+     149       16619 :       rdata_hold_data_5 <= _array_RW0_rdata[5];
+     150       16619 :       rdata_hold_data_6 <= _array_RW0_rdata[6];
+     151       16619 :       rdata_hold_data_7 <= _array_RW0_rdata[7];
+     152       16619 :       rdata_hold_data_8 <= _array_RW0_rdata[8];
+     153       16619 :       rdata_hold_data_9 <= _array_RW0_rdata[9];
+     154       16619 :       rdata_hold_data_10 <= _array_RW0_rdata[10];
+     155       16619 :       rdata_hold_data_11 <= _array_RW0_rdata[11];
+     156       16619 :       rdata_hold_data_12 <= _array_RW0_rdata[12];
+     157       16619 :       rdata_hold_data_13 <= _array_RW0_rdata[13];
+     158       16619 :       rdata_hold_data_14 <= _array_RW0_rdata[14];
+     159       16619 :       rdata_hold_data_15 <= _array_RW0_rdata[15];
+     160             :     end
+     161             :   end // always @(posedge)
+     162             :   `ifdef ENABLE_INITIAL_REG_
+     163             :     `ifdef FIRRTL_BEFORE_INITIAL
+     164             :       `FIRRTL_BEFORE_INITIAL
+     165             :     `endif // FIRRTL_BEFORE_INITIAL
+     166             :     logic [31:0] _RANDOM[0:33];
+     167         232 :     initial begin
+     168             :       `ifdef INIT_RANDOM_PROLOG_
+     169             :         `INIT_RANDOM_PROLOG_
+     170             :       `endif // INIT_RANDOM_PROLOG_
+     171             :       `ifdef RANDOMIZE_REG_INIT
+     172             :         for (logic [5:0] i = 6'h0; i < 6'h22; i += 6'h1) begin
+     173             :           _RANDOM[i] = `RANDOM;
+     174             :         end
+     175             :         _resetState = _RANDOM[6'h0][0];
+     176             :         _resetSet = _RANDOM[6'h0][8:1];
+     177             :         rdata_last_r = _RANDOM[6'h21][10];
+     178             :         rdata_hold_data_0 = _RANDOM[6'h21][11];
+     179             :         rdata_hold_data_1 = _RANDOM[6'h21][12];
+     180             :         rdata_hold_data_2 = _RANDOM[6'h21][13];
+     181             :         rdata_hold_data_3 = _RANDOM[6'h21][14];
+     182             :         rdata_hold_data_4 = _RANDOM[6'h21][15];
+     183             :         rdata_hold_data_5 = _RANDOM[6'h21][16];
+     184             :         rdata_hold_data_6 = _RANDOM[6'h21][17];
+     185             :         rdata_hold_data_7 = _RANDOM[6'h21][18];
+     186             :         rdata_hold_data_8 = _RANDOM[6'h21][19];
+     187             :         rdata_hold_data_9 = _RANDOM[6'h21][20];
+     188             :         rdata_hold_data_10 = _RANDOM[6'h21][21];
+     189             :         rdata_hold_data_11 = _RANDOM[6'h21][22];
+     190             :         rdata_hold_data_12 = _RANDOM[6'h21][23];
+     191             :         rdata_hold_data_13 = _RANDOM[6'h21][24];
+     192             :         rdata_hold_data_14 = _RANDOM[6'h21][25];
+     193             :         rdata_hold_data_15 = _RANDOM[6'h21][26];
+     194             :       `endif // RANDOMIZE_REG_INIT
+     195          68 :       if (reset) begin
+     196          48 :         _resetState = 1'h1;
+     197          48 :         _resetSet = 8'h0;
+     198          48 :         rdata_last_r = 1'h0;
+     199             :       end
+     200             :     end // initial
+     201             :     `ifdef FIRRTL_AFTER_INITIAL
+     202             :       `FIRRTL_AFTER_INITIAL
+     203             :     `endif // FIRRTL_AFTER_INITIAL
+     204             :   `endif // ENABLE_INITIAL_REG_
+     205             :   array_4 array (
+     206             :     .RW0_addr  (wen ? setIdx : io_r_req_bits_setIdx),
+     207             :     .RW0_en    (realRen | wen),
+     208             :     .RW0_clk   (clock),
+     209             :     .RW0_wmode (wen),
+     210             :     .RW0_wdata
+     211             :       ({~_resetState & io_w_req_bits_data_15,
+     212             :         ~_resetState & io_w_req_bits_data_14,
+     213             :         ~_resetState & io_w_req_bits_data_13,
+     214             :         ~_resetState & io_w_req_bits_data_12,
+     215             :         ~_resetState & io_w_req_bits_data_11,
+     216             :         ~_resetState & io_w_req_bits_data_10,
+     217             :         ~_resetState & io_w_req_bits_data_9,
+     218             :         ~_resetState & io_w_req_bits_data_8,
+     219             :         ~_resetState & io_w_req_bits_data_7,
+     220             :         ~_resetState & io_w_req_bits_data_6,
+     221             :         ~_resetState & io_w_req_bits_data_5,
+     222             :         ~_resetState & io_w_req_bits_data_4,
+     223             :         ~_resetState & io_w_req_bits_data_3,
+     224             :         ~_resetState & io_w_req_bits_data_2,
+     225             :         ~_resetState & io_w_req_bits_data_1,
+     226             :         ~_resetState & io_w_req_bits_data_0}),
+     227             :     .RW0_rdata (_array_RW0_rdata),
+     228             :     .RW0_wmask (_resetState ? 16'hFFFF : io_w_req_bits_waymask)
+     229             :   );
+     230             :   assign io_r_req_ready = ~_resetState & ~wen;
+     231             :   assign io_r_resp_data_0 = rdata_last_r ? _array_RW0_rdata[0] : rdata_hold_data_0;
+     232             :   assign io_r_resp_data_1 = rdata_last_r ? _array_RW0_rdata[1] : rdata_hold_data_1;
+     233             :   assign io_r_resp_data_2 = rdata_last_r ? _array_RW0_rdata[2] : rdata_hold_data_2;
+     234             :   assign io_r_resp_data_3 = rdata_last_r ? _array_RW0_rdata[3] : rdata_hold_data_3;
+     235             :   assign io_r_resp_data_4 = rdata_last_r ? _array_RW0_rdata[4] : rdata_hold_data_4;
+     236             :   assign io_r_resp_data_5 = rdata_last_r ? _array_RW0_rdata[5] : rdata_hold_data_5;
+     237             :   assign io_r_resp_data_6 = rdata_last_r ? _array_RW0_rdata[6] : rdata_hold_data_6;
+     238             :   assign io_r_resp_data_7 = rdata_last_r ? _array_RW0_rdata[7] : rdata_hold_data_7;
+     239             :   assign io_r_resp_data_8 = rdata_last_r ? _array_RW0_rdata[8] : rdata_hold_data_8;
+     240             :   assign io_r_resp_data_9 = rdata_last_r ? _array_RW0_rdata[9] : rdata_hold_data_9;
+     241             :   assign io_r_resp_data_10 = rdata_last_r ? _array_RW0_rdata[10] : rdata_hold_data_10;
+     242             :   assign io_r_resp_data_11 = rdata_last_r ? _array_RW0_rdata[11] : rdata_hold_data_11;
+     243             :   assign io_r_resp_data_12 = rdata_last_r ? _array_RW0_rdata[12] : rdata_hold_data_12;
+     244             :   assign io_r_resp_data_13 = rdata_last_r ? _array_RW0_rdata[13] : rdata_hold_data_13;
+     245             :   assign io_r_resp_data_14 = rdata_last_r ? _array_RW0_rdata[14] : rdata_hold_data_14;
+     246             :   assign io_r_resp_data_15 = rdata_last_r ? _array_RW0_rdata[15] : rdata_hold_data_15;
+     247             : endmodule
+     248             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.func-sort-c.html new file mode 100644 index 0000000..fff3ec4 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_15.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_15.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.func.html new file mode 100644 index 0000000..70127ac --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_15.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_15.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.gcov.html new file mode 100644 index 0000000..4eca1a8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_15.sv.gcov.html @@ -0,0 +1,241 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_15.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_15.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4444100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SRAMTemplate_15(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61         883 :   output       io_r_req_ready,
+      62         630 :   input        io_r_req_valid,
+      63       26102 :   input  [8:0] io_r_req_bits_setIdx,
+      64         341 :   output       io_r_resp_data_0_valid,
+      65        2670 :   output [7:0] io_r_resp_data_0_tag,
+      66        1013 :   output [2:0] io_r_resp_data_0_ctr,
+      67         339 :   output       io_r_resp_data_1_valid,
+      68        2650 :   output [7:0] io_r_resp_data_1_tag,
+      69        1006 :   output [2:0] io_r_resp_data_1_ctr,
+      70         331 :   input        io_w_req_valid,
+      71         261 :   input  [8:0] io_w_req_bits_setIdx,
+      72         123 :   input  [7:0] io_w_req_bits_data_0_tag,
+      73          41 :   input  [2:0] io_w_req_bits_data_0_ctr,
+      74         123 :   input  [7:0] io_w_req_bits_data_1_tag,
+      75          45 :   input  [2:0] io_w_req_bits_data_1_ctr,
+      76          63 :   input  [1:0] io_w_req_bits_waymask
+      77             : );
+      78             : 
+      79      478847 :   wire [8:0]  setIdx;
+      80         627 :   wire        realRen;
+      81         872 :   wire        wen;
+      82             :   wire [23:0] _array_RW0_rdata;
+      83             :   reg         _resetState;
+      84             :   reg  [8:0]  _resetSet;
+      85             :   assign wen = io_w_req_valid | _resetState;
+      86             :   assign realRen = io_r_req_valid & ~wen;
+      87             :   assign setIdx = _resetState ? _resetSet : io_w_req_bits_setIdx;
+      88         767 :   reg         rdata_last_r;
+      89        4860 :   reg  [11:0] rdata_hold_data_0;
+      90        4748 :   reg  [11:0] rdata_hold_data_1;
+      91             :   wire [11:0] _rdata_T_0 = rdata_last_r ? _array_RW0_rdata[11:0] : rdata_hold_data_0;
+      92             :   wire [11:0] _rdata_T_1 = rdata_last_r ? _array_RW0_rdata[23:12] : rdata_hold_data_1;
+      93     2043680 :   always @(posedge clock or posedge reset) begin
+      94        4352 :     if (reset) begin
+      95        2176 :       _resetState <= 1'h1;
+      96        2176 :       _resetSet <= 9'h0;
+      97        2176 :       rdata_last_r <= 1'h0;
+      98             :     end
+      99     1019664 :     else begin
+     100     1019664 :       _resetState <= ~(_resetState & (&_resetSet)) & _resetState;
+     101      478514 :       if (_resetState)
+     102      239257 :         _resetSet <= 9'(_resetSet + 9'h1);
+     103       33968 :       if (realRen | rdata_last_r)
+     104       16984 :         rdata_last_r <= realRen;
+     105             :     end
+     106             :   end // always @(posedge, posedge)
+     107     2043104 :   always @(posedge clock) begin
+     108       33448 :     if (rdata_last_r) begin
+     109       16724 :       rdata_hold_data_0 <= _array_RW0_rdata[11:0];
+     110       16724 :       rdata_hold_data_1 <= _array_RW0_rdata[23:12];
+     111             :     end
+     112             :   end // always @(posedge)
+     113             :   `ifdef ENABLE_INITIAL_REG_
+     114             :     `ifdef FIRRTL_BEFORE_INITIAL
+     115             :       `FIRRTL_BEFORE_INITIAL
+     116             :     `endif // FIRRTL_BEFORE_INITIAL
+     117             :     logic [31:0] _RANDOM[0:5];
+     118         928 :     initial begin
+     119             :       `ifdef INIT_RANDOM_PROLOG_
+     120             :         `INIT_RANDOM_PROLOG_
+     121             :       `endif // INIT_RANDOM_PROLOG_
+     122             :       `ifdef RANDOMIZE_REG_INIT
+     123             :         for (logic [2:0] i = 3'h0; i < 3'h6; i += 3'h1) begin
+     124             :           _RANDOM[i] = `RANDOM;
+     125             :         end
+     126             :         _resetState = _RANDOM[3'h0][0];
+     127             :         _resetSet = _RANDOM[3'h0][9:1];
+     128             :         rdata_last_r = _RANDOM[3'h4][31];
+     129             :         rdata_hold_data_0 = _RANDOM[3'h5][11:0];
+     130             :         rdata_hold_data_1 = _RANDOM[3'h5][23:12];
+     131             :       `endif // RANDOMIZE_REG_INIT
+     132         272 :       if (reset) begin
+     133         192 :         _resetState = 1'h1;
+     134         192 :         _resetSet = 9'h0;
+     135         192 :         rdata_last_r = 1'h0;
+     136             :       end
+     137             :     end // initial
+     138             :     `ifdef FIRRTL_AFTER_INITIAL
+     139             :       `FIRRTL_AFTER_INITIAL
+     140             :     `endif // FIRRTL_AFTER_INITIAL
+     141             :   `endif // ENABLE_INITIAL_REG_
+     142             :   array_5 array (
+     143             :     .RW0_addr  (wen ? setIdx : io_r_req_bits_setIdx),
+     144             :     .RW0_en    (realRen | wen),
+     145             :     .RW0_clk   (clock),
+     146             :     .RW0_wmode (wen),
+     147             :     .RW0_wdata
+     148             :       ({~_resetState,
+     149             :         _resetState ? 8'h0 : io_w_req_bits_data_1_tag,
+     150             :         _resetState ? 3'h0 : io_w_req_bits_data_1_ctr,
+     151             :         ~_resetState,
+     152             :         _resetState ? 8'h0 : io_w_req_bits_data_0_tag,
+     153             :         _resetState ? 3'h0 : io_w_req_bits_data_0_ctr}),
+     154             :     .RW0_rdata (_array_RW0_rdata),
+     155             :     .RW0_wmask (_resetState ? 2'h3 : io_w_req_bits_waymask)
+     156             :   );
+     157             :   assign io_r_req_ready = ~_resetState & ~wen;
+     158             :   assign io_r_resp_data_0_valid = _rdata_T_0[11];
+     159             :   assign io_r_resp_data_0_tag = _rdata_T_0[10:3];
+     160             :   assign io_r_resp_data_0_ctr = _rdata_T_0[2:0];
+     161             :   assign io_r_resp_data_1_valid = _rdata_T_1[11];
+     162             :   assign io_r_resp_data_1_tag = _rdata_T_1[10:3];
+     163             :   assign io_r_resp_data_1_ctr = _rdata_T_1[2:0];
+     164             : endmodule
+     165             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.func-sort-c.html new file mode 100644 index 0000000..6c5f8ff --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_34.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_34.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:779085.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.func.html new file mode 100644 index 0000000..23add38 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_34.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_34.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:779085.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.gcov.html new file mode 100644 index 0000000..1eb76a0 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_34.sv.gcov.html @@ -0,0 +1,305 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_34.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_34.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:779085.6 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SRAMTemplate_34(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61          76 :   input        io_r_req_valid,
+      62        8636 :   input  [8:0] io_r_req_bits_setIdx,
+      63          62 :   output [1:0] io_r_resp_data_0,
+      64          59 :   output [1:0] io_r_resp_data_1,
+      65          62 :   output [1:0] io_r_resp_data_2,
+      66          49 :   output [1:0] io_r_resp_data_3,
+      67          65 :   output [1:0] io_r_resp_data_4,
+      68          56 :   output [1:0] io_r_resp_data_5,
+      69          58 :   output [1:0] io_r_resp_data_6,
+      70          62 :   output [1:0] io_r_resp_data_7,
+      71          59 :   input        io_w_req_valid,
+      72       29781 :   input  [8:0] io_w_req_bits_setIdx,
+      73          76 :   input  [1:0] io_w_req_bits_data_0,
+      74          64 :   input  [1:0] io_w_req_bits_data_1,
+      75          76 :   input  [1:0] io_w_req_bits_data_2,
+      76          64 :   input  [1:0] io_w_req_bits_data_3,
+      77          76 :   input  [1:0] io_w_req_bits_data_4,
+      78          64 :   input  [1:0] io_w_req_bits_data_5,
+      79          76 :   input  [1:0] io_w_req_bits_data_6,
+      80          64 :   input  [1:0] io_w_req_bits_data_7,
+      81      238101 :   input  [7:0] io_w_req_bits_waymask
+      82             : );
+      83             : 
+      84             :   wire [15:0] _array_R0_data;
+      85          36 :   reg  [1:0]  bypass_wdata_r_0;
+      86          32 :   reg  [1:0]  bypass_wdata_r_1;
+      87          29 :   reg  [1:0]  bypass_wdata_r_2;
+      88          38 :   reg  [1:0]  bypass_wdata_r_3;
+      89          30 :   reg  [1:0]  bypass_wdata_r_4;
+      90          29 :   reg  [1:0]  bypass_wdata_r_5;
+      91          29 :   reg  [1:0]  bypass_wdata_r_6;
+      92          27 :   reg  [1:0]  bypass_wdata_r_7;
+      93          12 :   wire        bypass_mask_need_check = io_r_req_valid & io_w_req_valid;
+      94          22 :   reg         bypass_mask_need_check_reg_last_r;
+      95         130 :   reg  [8:0]  bypass_mask_waddr_reg;
+      96         150 :   reg  [8:0]  bypass_mask_raddr_reg;
+      97         110 :   reg  [7:0]  bypass_mask_wmask_reg;
+      98         116 :   wire [7:0]  bypass_mask =
+      99             :     {8{bypass_mask_need_check_reg_last_r
+     100             :          & bypass_mask_waddr_reg == bypass_mask_raddr_reg}} & bypass_mask_wmask_reg;
+     101          61 :   wire [1:0]  mem_rdata_0 = bypass_mask[0] ? bypass_wdata_r_0 : _array_R0_data[1:0];
+     102          63 :   wire [1:0]  mem_rdata_1 = bypass_mask[1] ? bypass_wdata_r_1 : _array_R0_data[3:2];
+     103          46 :   wire [1:0]  mem_rdata_2 = bypass_mask[2] ? bypass_wdata_r_2 : _array_R0_data[5:4];
+     104          61 :   wire [1:0]  mem_rdata_3 = bypass_mask[3] ? bypass_wdata_r_3 : _array_R0_data[7:6];
+     105          68 :   wire [1:0]  mem_rdata_4 = bypass_mask[4] ? bypass_wdata_r_4 : _array_R0_data[9:8];
+     106          61 :   wire [1:0]  mem_rdata_5 = bypass_mask[5] ? bypass_wdata_r_5 : _array_R0_data[11:10];
+     107          60 :   wire [1:0]  mem_rdata_6 = bypass_mask[6] ? bypass_wdata_r_6 : _array_R0_data[13:12];
+     108          53 :   wire [1:0]  mem_rdata_7 = bypass_mask[7] ? bypass_wdata_r_7 : _array_R0_data[15:14];
+     109          85 :   reg         rdata_last_r;
+     110          63 :   reg  [1:0]  rdata_hold_data_0;
+     111          59 :   reg  [1:0]  rdata_hold_data_1;
+     112          68 :   reg  [1:0]  rdata_hold_data_2;
+     113          61 :   reg  [1:0]  rdata_hold_data_3;
+     114          77 :   reg  [1:0]  rdata_hold_data_4;
+     115          75 :   reg  [1:0]  rdata_hold_data_5;
+     116          71 :   reg  [1:0]  rdata_hold_data_6;
+     117          75 :   reg  [1:0]  rdata_hold_data_7;
+     118      127694 :   always @(posedge clock) begin
+     119           0 :     if (io_w_req_valid & io_r_req_valid) begin
+     120           0 :       bypass_wdata_r_0 <= io_w_req_bits_data_0;
+     121           0 :       bypass_wdata_r_1 <= io_w_req_bits_data_1;
+     122           0 :       bypass_wdata_r_2 <= io_w_req_bits_data_2;
+     123           0 :       bypass_wdata_r_3 <= io_w_req_bits_data_3;
+     124           0 :       bypass_wdata_r_4 <= io_w_req_bits_data_4;
+     125           0 :       bypass_wdata_r_5 <= io_w_req_bits_data_5;
+     126           0 :       bypass_wdata_r_6 <= io_w_req_bits_data_6;
+     127           0 :       bypass_wdata_r_7 <= io_w_req_bits_data_7;
+     128             :     end
+     129           0 :     if (bypass_mask_need_check) begin
+     130           0 :       bypass_mask_waddr_reg <= io_w_req_bits_setIdx;
+     131           0 :       bypass_mask_raddr_reg <= io_r_req_bits_setIdx;
+     132           0 :       bypass_mask_wmask_reg <= io_w_req_bits_waymask;
+     133             :     end
+     134        8312 :     if (rdata_last_r) begin
+     135        4156 :       rdata_hold_data_0 <= mem_rdata_0;
+     136        4156 :       rdata_hold_data_1 <= mem_rdata_1;
+     137        4156 :       rdata_hold_data_2 <= mem_rdata_2;
+     138        4156 :       rdata_hold_data_3 <= mem_rdata_3;
+     139        4156 :       rdata_hold_data_4 <= mem_rdata_4;
+     140        4156 :       rdata_hold_data_5 <= mem_rdata_5;
+     141        4156 :       rdata_hold_data_6 <= mem_rdata_6;
+     142        4156 :       rdata_hold_data_7 <= mem_rdata_7;
+     143             :     end
+     144             :   end // always @(posedge)
+     145      127730 :   always @(posedge clock or posedge reset) begin
+     146         272 :     if (reset) begin
+     147         136 :       bypass_mask_need_check_reg_last_r <= 1'h0;
+     148         136 :       rdata_last_r <= 1'h0;
+     149             :     end
+     150       63729 :     else begin
+     151          12 :       if (bypass_mask_need_check | bypass_mask_need_check_reg_last_r)
+     152           6 :         bypass_mask_need_check_reg_last_r <= bypass_mask_need_check;
+     153        8402 :       if (io_r_req_valid | rdata_last_r)
+     154        4201 :         rdata_last_r <= io_r_req_valid;
+     155             :     end
+     156             :   end // always @(posedge, posedge)
+     157             :   `ifdef ENABLE_INITIAL_REG_
+     158             :     `ifdef FIRRTL_BEFORE_INITIAL
+     159             :       `FIRRTL_BEFORE_INITIAL
+     160             :     `endif // FIRRTL_BEFORE_INITIAL
+     161             :     logic [31:0] _RANDOM[0:1];
+     162          58 :     initial begin
+     163             :       `ifdef INIT_RANDOM_PROLOG_
+     164             :         `INIT_RANDOM_PROLOG_
+     165             :       `endif // INIT_RANDOM_PROLOG_
+     166             :       `ifdef RANDOMIZE_REG_INIT
+     167             :         for (logic [1:0] i = 2'h0; i < 2'h2; i += 2'h1) begin
+     168             :           _RANDOM[i[0]] = `RANDOM;
+     169             :         end
+     170             :         bypass_wdata_r_0 = _RANDOM[1'h0][1:0];
+     171             :         bypass_wdata_r_1 = _RANDOM[1'h0][3:2];
+     172             :         bypass_wdata_r_2 = _RANDOM[1'h0][5:4];
+     173             :         bypass_wdata_r_3 = _RANDOM[1'h0][7:6];
+     174             :         bypass_wdata_r_4 = _RANDOM[1'h0][9:8];
+     175             :         bypass_wdata_r_5 = _RANDOM[1'h0][11:10];
+     176             :         bypass_wdata_r_6 = _RANDOM[1'h0][13:12];
+     177             :         bypass_wdata_r_7 = _RANDOM[1'h0][15:14];
+     178             :         bypass_mask_need_check_reg_last_r = _RANDOM[1'h0][16];
+     179             :         bypass_mask_waddr_reg = _RANDOM[1'h0][25:17];
+     180             :         bypass_mask_raddr_reg = {_RANDOM[1'h0][31:26], _RANDOM[1'h1][2:0]};
+     181             :         bypass_mask_wmask_reg = _RANDOM[1'h1][10:3];
+     182             :         rdata_last_r = _RANDOM[1'h1][11];
+     183             :         rdata_hold_data_0 = _RANDOM[1'h1][13:12];
+     184             :         rdata_hold_data_1 = _RANDOM[1'h1][15:14];
+     185             :         rdata_hold_data_2 = _RANDOM[1'h1][17:16];
+     186             :         rdata_hold_data_3 = _RANDOM[1'h1][19:18];
+     187             :         rdata_hold_data_4 = _RANDOM[1'h1][21:20];
+     188             :         rdata_hold_data_5 = _RANDOM[1'h1][23:22];
+     189             :         rdata_hold_data_6 = _RANDOM[1'h1][25:24];
+     190             :         rdata_hold_data_7 = _RANDOM[1'h1][27:26];
+     191             :       `endif // RANDOMIZE_REG_INIT
+     192          17 :       if (reset) begin
+     193          12 :         bypass_mask_need_check_reg_last_r = 1'h0;
+     194          12 :         rdata_last_r = 1'h0;
+     195             :       end
+     196             :     end // initial
+     197             :     `ifdef FIRRTL_AFTER_INITIAL
+     198             :       `FIRRTL_AFTER_INITIAL
+     199             :     `endif // FIRRTL_AFTER_INITIAL
+     200             :   `endif // ENABLE_INITIAL_REG_
+     201             :   array_6 array (
+     202             :     .R0_addr (io_r_req_bits_setIdx),
+     203             :     .R0_en   (io_r_req_valid),
+     204             :     .R0_clk  (clock),
+     205             :     .R0_data (_array_R0_data),
+     206             :     .W0_addr (io_w_req_bits_setIdx),
+     207             :     .W0_en   (io_w_req_valid),
+     208             :     .W0_clk  (clock),
+     209             :     .W0_data
+     210             :       ({io_w_req_bits_data_7,
+     211             :         io_w_req_bits_data_6,
+     212             :         io_w_req_bits_data_5,
+     213             :         io_w_req_bits_data_4,
+     214             :         io_w_req_bits_data_3,
+     215             :         io_w_req_bits_data_2,
+     216             :         io_w_req_bits_data_1,
+     217             :         io_w_req_bits_data_0}),
+     218             :     .W0_mask (io_w_req_bits_waymask)
+     219             :   );
+     220             :   assign io_r_resp_data_0 = rdata_last_r ? mem_rdata_0 : rdata_hold_data_0;
+     221             :   assign io_r_resp_data_1 = rdata_last_r ? mem_rdata_1 : rdata_hold_data_1;
+     222             :   assign io_r_resp_data_2 = rdata_last_r ? mem_rdata_2 : rdata_hold_data_2;
+     223             :   assign io_r_resp_data_3 = rdata_last_r ? mem_rdata_3 : rdata_hold_data_3;
+     224             :   assign io_r_resp_data_4 = rdata_last_r ? mem_rdata_4 : rdata_hold_data_4;
+     225             :   assign io_r_resp_data_5 = rdata_last_r ? mem_rdata_5 : rdata_hold_data_5;
+     226             :   assign io_r_resp_data_6 = rdata_last_r ? mem_rdata_6 : rdata_hold_data_6;
+     227             :   assign io_r_resp_data_7 = rdata_last_r ? mem_rdata_7 : rdata_hold_data_7;
+     228             : endmodule
+     229             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.func-sort-c.html new file mode 100644 index 0000000..97dc734 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_35.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_35.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:606987.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.func.html new file mode 100644 index 0000000..ecc0292 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_35.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_35.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:606987.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.gcov.html new file mode 100644 index 0000000..f68a974 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_35.sv.gcov.html @@ -0,0 +1,272 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_35.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_35.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:606987.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SRAMTemplate_35(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61          73 :   input        io_r_req_valid,
+      62       32986 :   input  [7:0] io_r_req_bits_setIdx,
+      63         641 :   output [5:0] io_r_resp_data_0,
+      64         677 :   output [5:0] io_r_resp_data_1,
+      65         697 :   output [5:0] io_r_resp_data_2,
+      66         680 :   output [5:0] io_r_resp_data_3,
+      67         150 :   input        io_w_req_valid,
+      68         131 :   input  [7:0] io_w_req_bits_setIdx,
+      69         101 :   input  [5:0] io_w_req_bits_data_0,
+      70         101 :   input  [5:0] io_w_req_bits_data_1,
+      71          97 :   input  [5:0] io_w_req_bits_data_2,
+      72          97 :   input  [5:0] io_w_req_bits_data_3,
+      73         354 :   input  [3:0] io_w_req_bits_waymask
+      74             : );
+      75             : 
+      76             :   wire [23:0] _array_R0_data;
+      77             :   reg         _resetState;
+      78             :   reg  [7:0]  _resetSet;
+      79         349 :   reg  [5:0]  bypass_wdata_r_0;
+      80         351 :   reg  [5:0]  bypass_wdata_r_1;
+      81         339 :   reg  [5:0]  bypass_wdata_r_2;
+      82         350 :   reg  [5:0]  bypass_wdata_r_3;
+      83          59 :   wire        bypass_mask_need_check = io_r_req_valid & io_w_req_valid;
+      84          96 :   reg         bypass_mask_need_check_reg_last_r;
+      85         444 :   reg  [7:0]  bypass_mask_waddr_reg;
+      86         475 :   reg  [7:0]  bypass_mask_raddr_reg;
+      87         205 :   reg  [3:0]  bypass_mask_wmask_reg;
+      88         242 :   wire [3:0]  bypass_mask =
+      89             :     {4{bypass_mask_need_check_reg_last_r
+      90             :          & bypass_mask_waddr_reg == bypass_mask_raddr_reg}} & bypass_mask_wmask_reg;
+      91         675 :   wire [5:0]  mem_rdata_0 = bypass_mask[0] ? bypass_wdata_r_0 : _array_R0_data[5:0];
+      92         684 :   wire [5:0]  mem_rdata_1 = bypass_mask[1] ? bypass_wdata_r_1 : _array_R0_data[11:6];
+      93         694 :   wire [5:0]  mem_rdata_2 = bypass_mask[2] ? bypass_wdata_r_2 : _array_R0_data[17:12];
+      94         722 :   wire [5:0]  mem_rdata_3 = bypass_mask[3] ? bypass_wdata_r_3 : _array_R0_data[23:18];
+      95         352 :   reg         rdata_last_r;
+      96         768 :   reg  [5:0]  rdata_hold_data_0;
+      97         790 :   reg  [5:0]  rdata_hold_data_1;
+      98         815 :   reg  [5:0]  rdata_hold_data_2;
+      99         792 :   reg  [5:0]  rdata_hold_data_3;
+     100      510920 :   always @(posedge clock or posedge reset) begin
+     101        1088 :     if (reset) begin
+     102         544 :       _resetState <= 1'h1;
+     103         544 :       _resetSet <= 8'h0;
+     104         544 :       bypass_mask_need_check_reg_last_r <= 1'h0;
+     105         544 :       rdata_last_r <= 1'h0;
+     106             :     end
+     107      254916 :     else begin
+     108      254916 :       _resetState <= ~(_resetState & (&_resetSet)) & _resetState;
+     109       60246 :       if (_resetState)
+     110       30123 :         _resetSet <= 8'(_resetSet + 8'h1);
+     111          82 :       if (bypass_mask_need_check | bypass_mask_need_check_reg_last_r)
+     112          41 :         bypass_mask_need_check_reg_last_r <= bypass_mask_need_check;
+     113       33602 :       if (io_r_req_valid | rdata_last_r)
+     114       16801 :         rdata_last_r <= io_r_req_valid;
+     115             :     end
+     116             :   end // always @(posedge, posedge)
+     117      510776 :   always @(posedge clock) begin
+     118           0 :     if (io_w_req_valid & io_r_req_valid) begin
+     119           0 :       bypass_wdata_r_0 <= io_w_req_bits_data_0;
+     120           0 :       bypass_wdata_r_1 <= io_w_req_bits_data_1;
+     121           0 :       bypass_wdata_r_2 <= io_w_req_bits_data_2;
+     122           0 :       bypass_wdata_r_3 <= io_w_req_bits_data_3;
+     123             :     end
+     124           0 :     if (bypass_mask_need_check) begin
+     125           0 :       bypass_mask_waddr_reg <= io_w_req_bits_setIdx;
+     126           0 :       bypass_mask_raddr_reg <= io_r_req_bits_setIdx;
+     127           0 :       bypass_mask_wmask_reg <= io_w_req_bits_waymask;
+     128             :     end
+     129       33242 :     if (rdata_last_r) begin
+     130       16621 :       rdata_hold_data_0 <= mem_rdata_0;
+     131       16621 :       rdata_hold_data_1 <= mem_rdata_1;
+     132       16621 :       rdata_hold_data_2 <= mem_rdata_2;
+     133       16621 :       rdata_hold_data_3 <= mem_rdata_3;
+     134             :     end
+     135             :   end // always @(posedge)
+     136             :   `ifdef ENABLE_INITIAL_REG_
+     137             :     `ifdef FIRRTL_BEFORE_INITIAL
+     138             :       `FIRRTL_BEFORE_INITIAL
+     139             :     `endif // FIRRTL_BEFORE_INITIAL
+     140             :     logic [31:0] _RANDOM[0:2];
+     141         232 :     initial begin
+     142             :       `ifdef INIT_RANDOM_PROLOG_
+     143             :         `INIT_RANDOM_PROLOG_
+     144             :       `endif // INIT_RANDOM_PROLOG_
+     145             :       `ifdef RANDOMIZE_REG_INIT
+     146             :         for (logic [1:0] i = 2'h0; i < 2'h3; i += 2'h1) begin
+     147             :           _RANDOM[i] = `RANDOM;
+     148             :         end
+     149             :         _resetState = _RANDOM[2'h0][0];
+     150             :         _resetSet = _RANDOM[2'h0][8:1];
+     151             :         bypass_wdata_r_0 = _RANDOM[2'h0][14:9];
+     152             :         bypass_wdata_r_1 = _RANDOM[2'h0][20:15];
+     153             :         bypass_wdata_r_2 = _RANDOM[2'h0][26:21];
+     154             :         bypass_wdata_r_3 = {_RANDOM[2'h0][31:27], _RANDOM[2'h1][0]};
+     155             :         bypass_mask_need_check_reg_last_r = _RANDOM[2'h1][1];
+     156             :         bypass_mask_waddr_reg = _RANDOM[2'h1][9:2];
+     157             :         bypass_mask_raddr_reg = _RANDOM[2'h1][17:10];
+     158             :         bypass_mask_wmask_reg = _RANDOM[2'h1][21:18];
+     159             :         rdata_last_r = _RANDOM[2'h1][22];
+     160             :         rdata_hold_data_0 = _RANDOM[2'h1][28:23];
+     161             :         rdata_hold_data_1 = {_RANDOM[2'h1][31:29], _RANDOM[2'h2][2:0]};
+     162             :         rdata_hold_data_2 = _RANDOM[2'h2][8:3];
+     163             :         rdata_hold_data_3 = _RANDOM[2'h2][14:9];
+     164             :       `endif // RANDOMIZE_REG_INIT
+     165          68 :       if (reset) begin
+     166          48 :         _resetState = 1'h1;
+     167          48 :         _resetSet = 8'h0;
+     168          48 :         bypass_mask_need_check_reg_last_r = 1'h0;
+     169          48 :         rdata_last_r = 1'h0;
+     170             :       end
+     171             :     end // initial
+     172             :     `ifdef FIRRTL_AFTER_INITIAL
+     173             :       `FIRRTL_AFTER_INITIAL
+     174             :     `endif // FIRRTL_AFTER_INITIAL
+     175             :   `endif // ENABLE_INITIAL_REG_
+     176             :   array_7 array (
+     177             :     .R0_addr (io_r_req_bits_setIdx),
+     178             :     .R0_en   (io_r_req_valid),
+     179             :     .R0_clk  (clock),
+     180             :     .R0_data (_array_R0_data),
+     181             :     .W0_addr (_resetState ? _resetSet : io_w_req_bits_setIdx),
+     182             :     .W0_en   (io_w_req_valid | _resetState),
+     183             :     .W0_clk  (clock),
+     184             :     .W0_data
+     185             :       ({_resetState ? 6'h0 : io_w_req_bits_data_3,
+     186             :         _resetState ? 6'h0 : io_w_req_bits_data_2,
+     187             :         _resetState ? 6'h0 : io_w_req_bits_data_1,
+     188             :         _resetState ? 6'h0 : io_w_req_bits_data_0}),
+     189             :     .W0_mask (_resetState ? 4'hF : io_w_req_bits_waymask)
+     190             :   );
+     191             :   assign io_r_resp_data_0 = rdata_last_r ? mem_rdata_0 : rdata_hold_data_0;
+     192             :   assign io_r_resp_data_1 = rdata_last_r ? mem_rdata_1 : rdata_hold_data_1;
+     193             :   assign io_r_resp_data_2 = rdata_last_r ? mem_rdata_2 : rdata_hold_data_2;
+     194             :   assign io_r_resp_data_3 = rdata_last_r ? mem_rdata_3 : rdata_hold_data_3;
+     195             : endmodule
+     196             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.func-sort-c.html new file mode 100644 index 0000000..951688d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_39.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_39.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.func.html new file mode 100644 index 0000000..3e64dbb --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_39.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_39.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.gcov.html new file mode 100644 index 0000000..b818003 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_39.sv.gcov.html @@ -0,0 +1,225 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_39.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_39.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3737100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SRAMTemplate_39(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61         254 :   input         io_r_req_valid,
+      62       24520 :   input  [6:0]  io_r_req_bits_setIdx,
+      63         100 :   output        io_r_resp_data_0_valid,
+      64         846 :   output [8:0]  io_r_resp_data_0_tag,
+      65         197 :   output [1:0]  io_r_resp_data_0_ctr,
+      66        4028 :   output [40:0] io_r_resp_data_0_target,
+      67          80 :   input         io_w_req_valid,
+      68          91 :   input  [6:0]  io_w_req_bits_setIdx,
+      69         127 :   input  [8:0]  io_w_req_bits_data_0_tag,
+      70          36 :   input  [1:0]  io_w_req_bits_data_0_ctr,
+      71         642 :   input  [40:0] io_w_req_bits_data_0_target
+      72             : );
+      73             : 
+      74       30522 :   wire [6:0]  setIdx;
+      75         258 :   wire        realRen;
+      76         209 :   wire        wen;
+      77             :   wire [52:0] _array_0_RW0_rdata;
+      78             :   reg         _resetState;
+      79             :   reg  [6:0]  _resetSet;
+      80             :   assign wen = io_w_req_valid | _resetState;
+      81             :   assign realRen = io_r_req_valid & ~wen;
+      82             :   assign setIdx = _resetState ? _resetSet : io_w_req_bits_setIdx;
+      83         296 :   reg         rdata_last_r;
+      84        6121 :   reg  [52:0] rdata_hold_data_0;
+      85             :   wire [52:0] _rdata_T_0 = rdata_last_r ? _array_0_RW0_rdata : rdata_hold_data_0;
+      86      510920 :   always @(posedge clock or posedge reset) begin
+      87        1088 :     if (reset) begin
+      88         544 :       _resetState <= 1'h1;
+      89         544 :       _resetSet <= 7'h0;
+      90         544 :       rdata_last_r <= 1'h0;
+      91             :     end
+      92      254916 :     else begin
+      93      254916 :       _resetState <= ~(_resetState & (&_resetSet)) & _resetState;
+      94       30538 :       if (_resetState)
+      95       15269 :         _resetSet <= 7'(_resetSet + 7'h1);
+      96       16920 :       if (realRen | rdata_last_r)
+      97        8460 :         rdata_last_r <= realRen;
+      98             :     end
+      99             :   end // always @(posedge, posedge)
+     100      510776 :   always @(posedge clock) begin
+     101       16660 :     if (rdata_last_r)
+     102        8330 :       rdata_hold_data_0 <= _array_0_RW0_rdata;
+     103             :   end // always @(posedge)
+     104             :   `ifdef ENABLE_INITIAL_REG_
+     105             :     `ifdef FIRRTL_BEFORE_INITIAL
+     106             :       `FIRRTL_BEFORE_INITIAL
+     107             :     `endif // FIRRTL_BEFORE_INITIAL
+     108             :     logic [31:0] _RANDOM[0:4];
+     109         232 :     initial begin
+     110             :       `ifdef INIT_RANDOM_PROLOG_
+     111             :         `INIT_RANDOM_PROLOG_
+     112             :       `endif // INIT_RANDOM_PROLOG_
+     113             :       `ifdef RANDOMIZE_REG_INIT
+     114             :         for (logic [2:0] i = 3'h0; i < 3'h5; i += 3'h1) begin
+     115             :           _RANDOM[i] = `RANDOM;
+     116             :         end
+     117             :         _resetState = _RANDOM[3'h0][0];
+     118             :         _resetSet = _RANDOM[3'h0][7:1];
+     119             :         rdata_last_r = _RANDOM[3'h2][24];
+     120             :         rdata_hold_data_0 = {_RANDOM[3'h2][31:25], _RANDOM[3'h3], _RANDOM[3'h4][13:0]};
+     121             :       `endif // RANDOMIZE_REG_INIT
+     122          68 :       if (reset) begin
+     123          48 :         _resetState = 1'h1;
+     124          48 :         _resetSet = 7'h0;
+     125          48 :         rdata_last_r = 1'h0;
+     126             :       end
+     127             :     end // initial
+     128             :     `ifdef FIRRTL_AFTER_INITIAL
+     129             :       `FIRRTL_AFTER_INITIAL
+     130             :     `endif // FIRRTL_AFTER_INITIAL
+     131             :   `endif // ENABLE_INITIAL_REG_
+     132             :   array_0_0 array_0 (
+     133             :     .RW0_addr  (wen ? setIdx : io_r_req_bits_setIdx),
+     134             :     .RW0_en    (realRen | wen),
+     135             :     .RW0_clk   (clock),
+     136             :     .RW0_wmode (wen),
+     137             :     .RW0_wdata
+     138             :       ({~_resetState,
+     139             :         _resetState ? 9'h0 : io_w_req_bits_data_0_tag,
+     140             :         _resetState ? 2'h0 : io_w_req_bits_data_0_ctr,
+     141             :         _resetState ? 41'h0 : io_w_req_bits_data_0_target}),
+     142             :     .RW0_rdata (_array_0_RW0_rdata)
+     143             :   );
+     144             :   assign io_r_resp_data_0_valid = _rdata_T_0[52];
+     145             :   assign io_r_resp_data_0_tag = _rdata_T_0[51:43];
+     146             :   assign io_r_resp_data_0_ctr = _rdata_T_0[42:41];
+     147             :   assign io_r_resp_data_0_target = _rdata_T_0[40:0];
+     148             : endmodule
+     149             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.func-sort-c.html new file mode 100644 index 0000000..c71b1f9 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_43.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4747100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.func.html new file mode 100644 index 0000000..8901f64 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_43.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4747100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.gcov.html new file mode 100644 index 0000000..c6fbb40 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/SRAMTemplate_43.sv.gcov.html @@ -0,0 +1,247 @@ + + + + + + + LCOV - merged.info - BPUTop/SRAMTemplate_43.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - SRAMTemplate_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4747100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module SRAMTemplate_43(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61         394 :   input         io_r_req_valid,
+      62        8451 :   input  [6:0]  io_r_req_bits_setIdx,
+      63         163 :   output        io_r_resp_data_0_valid,
+      64        1362 :   output [8:0]  io_r_resp_data_0_tag,
+      65         279 :   output [1:0]  io_r_resp_data_0_ctr,
+      66        6059 :   output [40:0] io_r_resp_data_0_target,
+      67         143 :   output        io_r_resp_data_1_valid,
+      68        1335 :   output [8:0]  io_r_resp_data_1_tag,
+      69         298 :   output [1:0]  io_r_resp_data_1_ctr,
+      70        6041 :   output [40:0] io_r_resp_data_1_target,
+      71         129 :   input         io_w_req_valid,
+      72          95 :   input  [6:0]  io_w_req_bits_setIdx,
+      73         122 :   input  [8:0]  io_w_req_bits_data_0_tag,
+      74          33 :   input  [1:0]  io_w_req_bits_data_0_ctr,
+      75         607 :   input  [40:0] io_w_req_bits_data_0_target,
+      76         122 :   input  [8:0]  io_w_req_bits_data_1_tag,
+      77          33 :   input  [1:0]  io_w_req_bits_data_1_ctr,
+      78         607 :   input  [40:0] io_w_req_bits_data_1_target,
+      79      255572 :   input  [1:0]  io_w_req_bits_waymask
+      80             : );
+      81             : 
+      82       45847 :   wire [6:0]   setIdx;
+      83         396 :   wire         realRen;
+      84         327 :   wire         wen;
+      85             :   wire [105:0] _array_RW0_rdata;
+      86             :   reg          _resetState;
+      87             :   reg  [6:0]   _resetSet;
+      88             :   assign wen = io_w_req_valid | _resetState;
+      89             :   assign realRen = io_r_req_valid & ~wen;
+      90             :   assign setIdx = _resetState ? _resetSet : io_w_req_bits_setIdx;
+      91         446 :   reg          rdata_last_r;
+      92        9156 :   reg  [52:0]  rdata_hold_data_0;
+      93        9286 :   reg  [52:0]  rdata_hold_data_1;
+      94             :   wire [52:0]  _rdata_T_0 = rdata_last_r ? _array_RW0_rdata[52:0] : rdata_hold_data_0;
+      95             :   wire [52:0]  _rdata_T_1 = rdata_last_r ? _array_RW0_rdata[105:53] : rdata_hold_data_1;
+      96      766380 :   always @(posedge clock or posedge reset) begin
+      97        1632 :     if (reset) begin
+      98         816 :       _resetState <= 1'h1;
+      99         816 :       _resetSet <= 7'h0;
+     100         816 :       rdata_last_r <= 1'h0;
+     101             :     end
+     102      382374 :     else begin
+     103      382374 :       _resetState <= ~(_resetState & (&_resetSet)) & _resetState;
+     104       45808 :       if (_resetState)
+     105       22904 :         _resetSet <= 7'(_resetSet + 7'h1);
+     106       25366 :       if (realRen | rdata_last_r)
+     107       12683 :         rdata_last_r <= realRen;
+     108             :     end
+     109             :   end // always @(posedge, posedge)
+     110      766164 :   always @(posedge clock) begin
+     111       24976 :     if (rdata_last_r) begin
+     112       12488 :       rdata_hold_data_0 <= _array_RW0_rdata[52:0];
+     113       12488 :       rdata_hold_data_1 <= _array_RW0_rdata[105:53];
+     114             :     end
+     115             :   end // always @(posedge)
+     116             :   `ifdef ENABLE_INITIAL_REG_
+     117             :     `ifdef FIRRTL_BEFORE_INITIAL
+     118             :       `FIRRTL_BEFORE_INITIAL
+     119             :     `endif // FIRRTL_BEFORE_INITIAL
+     120             :     logic [31:0] _RANDOM[0:8];
+     121         348 :     initial begin
+     122             :       `ifdef INIT_RANDOM_PROLOG_
+     123             :         `INIT_RANDOM_PROLOG_
+     124             :       `endif // INIT_RANDOM_PROLOG_
+     125             :       `ifdef RANDOMIZE_REG_INIT
+     126             :         for (logic [3:0] i = 4'h0; i < 4'h9; i += 4'h1) begin
+     127             :           _RANDOM[i] = `RANDOM;
+     128             :         end
+     129             :         _resetState = _RANDOM[4'h0][0];
+     130             :         _resetSet = _RANDOM[4'h0][7:1];
+     131             :         rdata_last_r = _RANDOM[4'h4][25];
+     132             :         rdata_hold_data_0 = {_RANDOM[4'h4][31:26], _RANDOM[4'h5], _RANDOM[4'h6][14:0]};
+     133             :         rdata_hold_data_1 = {_RANDOM[4'h6][31:15], _RANDOM[4'h7], _RANDOM[4'h8][3:0]};
+     134             :       `endif // RANDOMIZE_REG_INIT
+     135         102 :       if (reset) begin
+     136          72 :         _resetState = 1'h1;
+     137          72 :         _resetSet = 7'h0;
+     138          72 :         rdata_last_r = 1'h0;
+     139             :       end
+     140             :     end // initial
+     141             :     `ifdef FIRRTL_AFTER_INITIAL
+     142             :       `FIRRTL_AFTER_INITIAL
+     143             :     `endif // FIRRTL_AFTER_INITIAL
+     144             :   `endif // ENABLE_INITIAL_REG_
+     145             :   array_8 array (
+     146             :     .RW0_addr  (wen ? setIdx : io_r_req_bits_setIdx),
+     147             :     .RW0_en    (realRen | wen),
+     148             :     .RW0_clk   (clock),
+     149             :     .RW0_wmode (wen),
+     150             :     .RW0_wdata
+     151             :       ({~_resetState,
+     152             :         _resetState ? 9'h0 : io_w_req_bits_data_1_tag,
+     153             :         _resetState ? 2'h0 : io_w_req_bits_data_1_ctr,
+     154             :         _resetState ? 41'h0 : io_w_req_bits_data_1_target,
+     155             :         ~_resetState,
+     156             :         _resetState ? 9'h0 : io_w_req_bits_data_0_tag,
+     157             :         _resetState ? 2'h0 : io_w_req_bits_data_0_ctr,
+     158             :         _resetState ? 41'h0 : io_w_req_bits_data_0_target}),
+     159             :     .RW0_rdata (_array_RW0_rdata),
+     160             :     .RW0_wmask (_resetState ? 2'h3 : io_w_req_bits_waymask)
+     161             :   );
+     162             :   assign io_r_resp_data_0_valid = _rdata_T_0[52];
+     163             :   assign io_r_resp_data_0_tag = _rdata_T_0[51:43];
+     164             :   assign io_r_resp_data_0_ctr = _rdata_T_0[42:41];
+     165             :   assign io_r_resp_data_0_target = _rdata_T_0[40:0];
+     166             :   assign io_r_resp_data_1_valid = _rdata_T_1[52];
+     167             :   assign io_r_resp_data_1_tag = _rdata_T_1[51:43];
+     168             :   assign io_r_resp_data_1_ctr = _rdata_T_1[42:41];
+     169             :   assign io_r_resp_data_1_target = _rdata_T_1[40:0];
+     170             : endmodule
+     171             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.func-sort-c.html new file mode 100644 index 0000000..4606557 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageBTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageBTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3535100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.func.html new file mode 100644 index 0000000..1649ac2 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageBTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageBTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3535100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.gcov.html new file mode 100644 index 0000000..de26141 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageBTable.sv.gcov.html @@ -0,0 +1,264 @@ + + + + + + + LCOV - merged.info - BPUTop/TageBTable.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageBTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:3535100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module TageBTable(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          52 :   output        io_req_ready,
+      62          76 :   input         io_req_valid,
+      63       10224 :   input  [40:0] io_req_bits,
+      64          52 :   output [1:0]  io_s1_cnt_0,
+      65          62 :   output [1:0]  io_s1_cnt_1,
+      66          28 :   input         io_update_mask_0,
+      67          26 :   input         io_update_mask_1,
+      68         689 :   input  [40:0] io_update_pc,
+      69          29 :   input  [1:0]  io_update_cnt_0,
+      70          29 :   input  [1:0]  io_update_cnt_1,
+      71          13 :   input         io_update_takens_0,
+      72          18 :   input         io_update_takens_1
+      73             : );
+      74             : 
+      75          35 :   wire [1:0]  newCtrs_1;
+      76          37 :   wire [1:0]  newCtrs_0;
+      77             :   wire        _wrbypass_io_hit;
+      78             :   wire        _wrbypass_io_hit_data_0_valid;
+      79             :   wire [1:0]  _wrbypass_io_hit_data_0_bits;
+      80             :   wire        _wrbypass_io_hit_data_1_valid;
+      81             :   wire [1:0]  _wrbypass_io_hit_data_1_bits;
+      82             :   wire [1:0]  _bt_io_r_resp_data_0;
+      83             :   wire [1:0]  _bt_io_r_resp_data_1;
+      84          56 :   reg         doing_reset;
+      85      119199 :   reg  [10:0] resetRow;
+      86        8456 :   reg  [10:0] s1_idx;
+      87             :   wire        _wrbypass_io_wen_T = io_update_mask_0 | io_update_mask_1;
+      88          32 :   wire [1:0]  oldCtrs_0 =
+      89             :     _wrbypass_io_hit
+      90             :     & (io_update_pc[1] ? _wrbypass_io_hit_data_1_valid : _wrbypass_io_hit_data_0_valid)
+      91             :       ? (io_update_pc[1] ? _wrbypass_io_hit_data_1_bits : _wrbypass_io_hit_data_0_bits)
+      92             :       : io_update_pc[1] ? io_update_cnt_1 : io_update_cnt_0;
+      93          44 :   wire [1:0]  oldCtrs_1 =
+      94             :     _wrbypass_io_hit
+      95             :     & (io_update_pc[1] ? _wrbypass_io_hit_data_0_valid : _wrbypass_io_hit_data_1_valid)
+      96             :       ? (io_update_pc[1] ? _wrbypass_io_hit_data_0_bits : _wrbypass_io_hit_data_1_bits)
+      97             :       : io_update_pc[1] ? io_update_cnt_0 : io_update_cnt_1;
+      98             :   wire        _GEN = io_update_pc[1] ? io_update_takens_1 : io_update_takens_0;
+      99             :   assign newCtrs_0 =
+     100             :     (&oldCtrs_0) & _GEN
+     101             :       ? 2'h3
+     102             :       : oldCtrs_0 == 2'h0 & ~_GEN
+     103             :           ? 2'h0
+     104             :           : _GEN ? 2'(oldCtrs_0 + 2'h1) : 2'(oldCtrs_0 - 2'h1);
+     105             :   wire        _GEN_0 = io_update_pc[1] ? io_update_takens_0 : io_update_takens_1;
+     106             :   assign newCtrs_1 =
+     107             :     (&oldCtrs_1) & _GEN_0
+     108             :       ? 2'h3
+     109             :       : oldCtrs_1 == 2'h0 & ~_GEN_0
+     110             :           ? 2'h0
+     111             :           : _GEN_0 ? 2'(oldCtrs_1 + 2'h1) : 2'(oldCtrs_1 - 2'h1);
+     112      127730 :   always @(posedge clock or posedge reset) begin
+     113         272 :     if (reset) begin
+     114         136 :       doing_reset <= 1'h1;
+     115         136 :       resetRow <= 11'h0;
+     116             :     end
+     117       63729 :     else begin
+     118       63729 :       doing_reset <= resetRow != 11'h7FF & doing_reset;
+     119       63729 :       resetRow <= 11'(resetRow + {10'h0, doing_reset});
+     120             :     end
+     121             :   end // always @(posedge, posedge)
+     122      127694 :   always @(posedge clock) begin
+     123        8350 :     if (io_req_valid)
+     124        4175 :       s1_idx <= io_req_bits[11:1];
+     125             :   end // always @(posedge)
+     126             :   `ifdef ENABLE_INITIAL_REG_
+     127             :     `ifdef FIRRTL_BEFORE_INITIAL
+     128             :       `FIRRTL_BEFORE_INITIAL
+     129             :     `endif // FIRRTL_BEFORE_INITIAL
+     130             :     logic [31:0] _RANDOM[0:0];
+     131          58 :     initial begin
+     132             :       `ifdef INIT_RANDOM_PROLOG_
+     133             :         `INIT_RANDOM_PROLOG_
+     134             :       `endif // INIT_RANDOM_PROLOG_
+     135             :       `ifdef RANDOMIZE_REG_INIT
+     136             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     137             :         doing_reset = _RANDOM[/*Zero width*/ 1'b0][0];
+     138             :         resetRow = _RANDOM[/*Zero width*/ 1'b0][11:1];
+     139             :         s1_idx = _RANDOM[/*Zero width*/ 1'b0][22:12];
+     140             :       `endif // RANDOMIZE_REG_INIT
+     141          17 :       if (reset) begin
+     142          12 :         doing_reset = 1'h1;
+     143          12 :         resetRow = 11'h0;
+     144             :       end
+     145             :     end // initial
+     146             :     `ifdef FIRRTL_AFTER_INITIAL
+     147             :       `FIRRTL_AFTER_INITIAL
+     148             :     `endif // FIRRTL_AFTER_INITIAL
+     149             :   `endif // ENABLE_INITIAL_REG_
+     150             :   FoldedSRAMTemplate_20 bt (
+     151             :     .clock                 (clock),
+     152             :     .reset                 (reset),
+     153             :     .io_r_req_valid        (io_req_valid),
+     154             :     .io_r_req_bits_setIdx  (io_req_bits[11:1]),
+     155             :     .io_r_resp_data_0      (_bt_io_r_resp_data_0),
+     156             :     .io_r_resp_data_1      (_bt_io_r_resp_data_1),
+     157             :     .io_w_req_valid        (_wrbypass_io_wen_T | doing_reset),
+     158             :     .io_w_req_bits_setIdx  (doing_reset ? resetRow : io_update_pc[11:1]),
+     159             :     .io_w_req_bits_data_0  (doing_reset ? 2'h2 : newCtrs_0),
+     160             :     .io_w_req_bits_data_1  (doing_reset ? 2'h2 : newCtrs_1),
+     161             :     .io_w_req_bits_waymask
+     162             :       (doing_reset
+     163             :          ? 2'h3
+     164             :          : {io_update_mask_0 & io_update_pc[1] | io_update_mask_1 & ~(io_update_pc[1]),
+     165             :             io_update_mask_0 & ~(io_update_pc[1]) | io_update_mask_1 & io_update_pc[1]})
+     166             :   );
+     167             :   WrBypass_32 wrbypass (
+     168             :     .clock               (clock),
+     169             :     .reset               (reset),
+     170             :     .io_wen              (_wrbypass_io_wen_T),
+     171             :     .io_write_idx        (io_update_pc[11:1]),
+     172             :     .io_write_data_0     (io_update_pc[1] ? newCtrs_1 : newCtrs_0),
+     173             :     .io_write_data_1     (io_update_pc[1] ? newCtrs_0 : newCtrs_1),
+     174             :     .io_write_way_mask_0 (io_update_mask_0),
+     175             :     .io_write_way_mask_1 (io_update_mask_1),
+     176             :     .io_hit              (_wrbypass_io_hit),
+     177             :     .io_hit_data_0_valid (_wrbypass_io_hit_data_0_valid),
+     178             :     .io_hit_data_0_bits  (_wrbypass_io_hit_data_0_bits),
+     179             :     .io_hit_data_1_valid (_wrbypass_io_hit_data_1_valid),
+     180             :     .io_hit_data_1_bits  (_wrbypass_io_hit_data_1_bits)
+     181             :   );
+     182             :   assign io_req_ready = ~doing_reset;
+     183             :   assign io_s1_cnt_0 =
+     184             :     (s1_idx[0] ? 2'h0 : _bt_io_r_resp_data_0) | (s1_idx[0] ? _bt_io_r_resp_data_1 : 2'h0);
+     185             :   assign io_s1_cnt_1 =
+     186             :     (s1_idx[0] ? _bt_io_r_resp_data_0 : 2'h0) | (s1_idx[0] ? 2'h0 : _bt_io_r_resp_data_1);
+     187             : endmodule
+     188             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.func-sort-c.html new file mode 100644 index 0000000..78d4f05 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:102102100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.func.html new file mode 100644 index 0000000..d8aca45 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:102102100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.gcov.html new file mode 100644 index 0000000..db5254f --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable.sv.gcov.html @@ -0,0 +1,828 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:102102100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module TageTable(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          58 :   output        io_req_ready,
+      62          76 :   input         io_req_valid,
+      63       10224 :   input  [40:0] io_req_bits_pc,
+      64         295 :   input  [7:0]  io_req_bits_folded_hist_hist_14_folded_hist,
+      65         760 :   input  [6:0]  io_req_bits_folded_hist_hist_7_folded_hist,
+      66          16 :   output        io_resps_0_valid,
+      67         109 :   output [2:0]  io_resps_0_bits_ctr,
+      68          34 :   output        io_resps_0_bits_u,
+      69          27 :   output        io_resps_0_bits_unconf,
+      70          12 :   output        io_resps_1_valid,
+      71         110 :   output [2:0]  io_resps_1_bits_ctr,
+      72          34 :   output        io_resps_1_bits_u,
+      73          31 :   output        io_resps_1_bits_unconf,
+      74         615 :   input  [40:0] io_update_pc,
+      75         122 :   input  [7:0]  io_update_folded_hist_hist_14_folded_hist,
+      76         102 :   input  [6:0]  io_update_folded_hist_hist_7_folded_hist,
+      77          32 :   input         io_update_mask_0,
+      78          40 :   input         io_update_mask_1,
+      79          13 :   input         io_update_takens_0,
+      80          20 :   input         io_update_takens_1,
+      81          15 :   input         io_update_alloc_0,
+      82          15 :   input         io_update_alloc_1,
+      83          42 :   input  [2:0]  io_update_oldCtrs_0,
+      84          38 :   input  [2:0]  io_update_oldCtrs_1,
+      85          14 :   input         io_update_uMask_0,
+      86          13 :   input         io_update_uMask_1,
+      87          11 :   input         io_update_us_0,
+      88          12 :   input         io_update_us_1,
+      89          38 :   input         io_update_reset_u_0,
+      90          31 :   input         io_update_reset_u_1
+      91             : );
+      92             : 
+      93          15 :   wire        per_bank_not_silent_update_3_1;
+      94          18 :   wire        per_bank_not_silent_update_3_0;
+      95          16 :   wire        per_bank_not_silent_update_2_1;
+      96          19 :   wire        per_bank_not_silent_update_2_0;
+      97          15 :   wire        per_bank_not_silent_update_1_1;
+      98          14 :   wire        per_bank_not_silent_update_1_0;
+      99          14 :   wire        per_bank_not_silent_update_0_1;
+     100          16 :   wire        per_bank_not_silent_update_0_0;
+     101          52 :   reg         powerOnResetState;
+     102             :   wire        _resp_invalid_by_write_T_6;
+     103             :   wire        _bank_wrbypasses_3_1_io_hit;
+     104             :   wire        _bank_wrbypasses_3_1_io_hit_data_0_valid;
+     105             :   wire [2:0]  _bank_wrbypasses_3_1_io_hit_data_0_bits;
+     106             :   wire        _bank_wrbypasses_3_0_io_hit;
+     107             :   wire        _bank_wrbypasses_3_0_io_hit_data_0_valid;
+     108             :   wire [2:0]  _bank_wrbypasses_3_0_io_hit_data_0_bits;
+     109             :   wire        _bank_wrbypasses_2_1_io_hit;
+     110             :   wire        _bank_wrbypasses_2_1_io_hit_data_0_valid;
+     111             :   wire [2:0]  _bank_wrbypasses_2_1_io_hit_data_0_bits;
+     112             :   wire        _bank_wrbypasses_2_0_io_hit;
+     113             :   wire        _bank_wrbypasses_2_0_io_hit_data_0_valid;
+     114             :   wire [2:0]  _bank_wrbypasses_2_0_io_hit_data_0_bits;
+     115             :   wire        _bank_wrbypasses_1_1_io_hit;
+     116             :   wire        _bank_wrbypasses_1_1_io_hit_data_0_valid;
+     117             :   wire [2:0]  _bank_wrbypasses_1_1_io_hit_data_0_bits;
+     118             :   wire        _bank_wrbypasses_1_0_io_hit;
+     119             :   wire        _bank_wrbypasses_1_0_io_hit_data_0_valid;
+     120             :   wire [2:0]  _bank_wrbypasses_1_0_io_hit_data_0_bits;
+     121             :   wire        _bank_wrbypasses_0_1_io_hit;
+     122             :   wire        _bank_wrbypasses_0_1_io_hit_data_0_valid;
+     123             :   wire [2:0]  _bank_wrbypasses_0_1_io_hit_data_0_bits;
+     124             :   wire        _bank_wrbypasses_0_0_io_hit;
+     125             :   wire        _bank_wrbypasses_0_0_io_hit_data_0_valid;
+     126             :   wire [2:0]  _bank_wrbypasses_0_0_io_hit_data_0_bits;
+     127             :   wire        _table_banks_3_io_r_req_ready;
+     128             :   wire        _table_banks_3_io_r_resp_data_0_valid;
+     129             :   wire [7:0]  _table_banks_3_io_r_resp_data_0_tag;
+     130             :   wire [2:0]  _table_banks_3_io_r_resp_data_0_ctr;
+     131             :   wire        _table_banks_3_io_r_resp_data_1_valid;
+     132             :   wire [7:0]  _table_banks_3_io_r_resp_data_1_tag;
+     133             :   wire [2:0]  _table_banks_3_io_r_resp_data_1_ctr;
+     134             :   wire        _table_banks_2_io_r_req_ready;
+     135             :   wire        _table_banks_2_io_r_resp_data_0_valid;
+     136             :   wire [7:0]  _table_banks_2_io_r_resp_data_0_tag;
+     137             :   wire [2:0]  _table_banks_2_io_r_resp_data_0_ctr;
+     138             :   wire        _table_banks_2_io_r_resp_data_1_valid;
+     139             :   wire [7:0]  _table_banks_2_io_r_resp_data_1_tag;
+     140             :   wire [2:0]  _table_banks_2_io_r_resp_data_1_ctr;
+     141             :   wire        _table_banks_1_io_r_req_ready;
+     142             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+     143             :   wire [7:0]  _table_banks_1_io_r_resp_data_0_tag;
+     144             :   wire [2:0]  _table_banks_1_io_r_resp_data_0_ctr;
+     145             :   wire        _table_banks_1_io_r_resp_data_1_valid;
+     146             :   wire [7:0]  _table_banks_1_io_r_resp_data_1_tag;
+     147             :   wire [2:0]  _table_banks_1_io_r_resp_data_1_ctr;
+     148             :   wire        _table_banks_0_io_r_req_ready;
+     149             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+     150             :   wire [7:0]  _table_banks_0_io_r_resp_data_0_tag;
+     151             :   wire [2:0]  _table_banks_0_io_r_resp_data_0_ctr;
+     152             :   wire        _table_banks_0_io_r_resp_data_1_valid;
+     153             :   wire [7:0]  _table_banks_0_io_r_resp_data_1_tag;
+     154             :   wire [2:0]  _table_banks_0_io_r_resp_data_1_ctr;
+     155             :   wire        _us_io_r_req_ready;
+     156             :   wire        _us_io_r_resp_data_0;
+     157             :   wire        _us_io_r_resp_data_1;
+     158             :   wire        _us_extra_reset_T_1 = io_update_mask_0 | io_update_mask_1;
+     159             :   wire [7:0]  _GEN = io_req_bits_pc[8:1] ^ io_req_bits_folded_hist_hist_14_folded_hist;
+     160          69 :   wire        s0_bank_req_1h_0 = _GEN[1:0] == 2'h0;
+     161          66 :   wire        s0_bank_req_1h_1 = _GEN[1:0] == 2'h1;
+     162          47 :   wire        s0_bank_req_1h_2 = _GEN[1:0] == 2'h2;
+     163             :   wire        _s1_bank_req_1h_T = ~powerOnResetState & io_req_valid;
+     164             :   wire [8:0]  _table_banks_3_io_r_req_bits_setIdx_T = {io_req_bits_pc[11:9], _GEN[7:2]};
+     165        9328 :   reg  [39:0] s1_unhashed_idx;
+     166        8020 :   reg  [7:0]  s1_tag;
+     167          39 :   reg         s1_bank_req_1h_0;
+     168          55 :   reg         s1_bank_req_1h_1;
+     169          45 :   reg         s1_bank_req_1h_2;
+     170          30 :   reg         s1_bank_req_1h_3;
+     171          31 :   reg         s1_bank_has_write_on_this_req_0;
+     172          27 :   reg         s1_bank_has_write_on_this_req_1;
+     173          26 :   reg         s1_bank_has_write_on_this_req_2;
+     174          26 :   reg         s1_bank_has_write_on_this_req_3;
+     175             :   wire [2:0]  _resp_selected_T_6 =
+     176             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 3'h0)
+     177             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 3'h0)
+     178             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_0_ctr : 3'h0)
+     179             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_0_ctr : 3'h0);
+     180             :   wire [2:0]  _resp_selected_T_27 =
+     181             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_1_ctr : 3'h0)
+     182             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_1_ctr : 3'h0)
+     183             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_1_ctr : 3'h0)
+     184             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_1_ctr : 3'h0);
+     185             :   wire        _unconf_selected_T_6 =
+     186             :     s1_bank_req_1h_0
+     187             :     & (_table_banks_0_io_r_resp_data_0_ctr == 3'h4
+     188             :        | _table_banks_0_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_1
+     189             :     & (_table_banks_1_io_r_resp_data_0_ctr == 3'h4
+     190             :        | _table_banks_1_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_2
+     191             :     & (_table_banks_2_io_r_resp_data_0_ctr == 3'h4
+     192             :        | _table_banks_2_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_3
+     193             :     & (_table_banks_3_io_r_resp_data_0_ctr == 3'h4
+     194             :        | _table_banks_3_io_r_resp_data_0_ctr == 3'h3);
+     195             :   wire        _unconf_selected_T_13 =
+     196             :     s1_bank_req_1h_0
+     197             :     & (_table_banks_0_io_r_resp_data_1_ctr == 3'h4
+     198             :        | _table_banks_0_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_1
+     199             :     & (_table_banks_1_io_r_resp_data_1_ctr == 3'h4
+     200             :        | _table_banks_1_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_2
+     201             :     & (_table_banks_2_io_r_resp_data_1_ctr == 3'h4
+     202             :        | _table_banks_2_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_3
+     203             :     & (_table_banks_3_io_r_resp_data_1_ctr == 3'h4
+     204             :        | _table_banks_3_io_r_resp_data_1_ctr == 3'h3);
+     205             :   wire        _hit_selected_T_6 =
+     206             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_tag == s1_tag
+     207             :     & _table_banks_0_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     208             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_0_tag == s1_tag
+     209             :     & _table_banks_1_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     210             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_0_tag == s1_tag
+     211             :     & _table_banks_2_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     212             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_0_tag == s1_tag
+     213             :     & _table_banks_3_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6;
+     214             :   wire        _hit_selected_T_13 =
+     215             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_1_tag == s1_tag
+     216             :     & _table_banks_0_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     217             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_1_tag == s1_tag
+     218             :     & _table_banks_1_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     219             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_1_tag == s1_tag
+     220             :     & _table_banks_2_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     221             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_1_tag == s1_tag
+     222             :     & _table_banks_3_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6;
+     223             :   assign _resp_invalid_by_write_T_6 =
+     224             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     225             :     & s1_bank_has_write_on_this_req_1 | s1_bank_req_1h_2 & s1_bank_has_write_on_this_req_2
+     226             :     | s1_bank_req_1h_3 & s1_bank_has_write_on_this_req_3;
+     227             :   wire [7:0]  _GEN_0 = io_update_pc[8:1] ^ io_update_folded_hist_hist_14_folded_hist;
+     228         112 :   wire [7:0]  update_tag = _GEN_0 ^ {io_update_folded_hist_hist_7_folded_hist, 1'h0};
+     229          13 :   wire        update_req_bank_1h_0 = _GEN_0[1:0] == 2'h0;
+     230          19 :   wire        update_req_bank_1h_1 = _GEN_0[1:0] == 2'h1;
+     231          16 :   wire        update_req_bank_1h_2 = _GEN_0[1:0] == 2'h2;
+     232         122 :   wire [8:0]  update_idx_in_bank = {io_update_pc[11:9], _GEN_0[7:2]};
+     233          65 :   wire [1:0]  per_bank_update_way_mask_0 =
+     234             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     235             :        & per_bank_not_silent_update_0_1,
+     236             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     237             :        & per_bank_not_silent_update_0_0};
+     238          68 :   wire [1:0]  per_bank_update_way_mask_1 =
+     239             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     240             :        & per_bank_not_silent_update_1_1,
+     241             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     242             :        & per_bank_not_silent_update_1_0};
+     243          68 :   wire [1:0]  per_bank_update_way_mask_2 =
+     244             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     245             :        & per_bank_not_silent_update_2_1,
+     246             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     247             :        & per_bank_not_silent_update_2_0};
+     248          71 :   wire [1:0]  per_bank_update_way_mask_3 =
+     249             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     250             :        & per_bank_not_silent_update_3_1,
+     251             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     252             :        & per_bank_not_silent_update_3_0};
+     253             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 =
+     254             :     (|per_bank_update_way_mask_0) & update_req_bank_1h_0;
+     255             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 =
+     256             :     (|per_bank_update_way_mask_1) & update_req_bank_1h_1;
+     257             :   wire        _s1_bank_has_write_on_this_req_WIRE_2 =
+     258             :     (|per_bank_update_way_mask_2) & update_req_bank_1h_2;
+     259             :   wire        _s1_bank_has_write_on_this_req_WIRE_3 =
+     260             :     (|per_bank_update_way_mask_3) & (&(_GEN_0[1:0]));
+     261             :   wire [2:0]  _wrbypass_io_T_6 =
+     262             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_0_io_hit_data_0_bits)
+     263             :     | (io_update_pc[1] ? _bank_wrbypasses_0_1_io_hit_data_0_bits : 3'h0);
+     264          22 :   wire        wrbypass_data_valid =
+     265             :     (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit | io_update_pc[1]
+     266             :      & _bank_wrbypasses_0_1_io_hit)
+     267             :     & (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit_data_0_valid | io_update_pc[1]
+     268             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     269             :   wire        _GEN_1 = io_update_pc[1] ? io_update_takens_1 : io_update_takens_0;
+     270             :   wire [2:0]  _GEN_2 = io_update_pc[1] ? io_update_oldCtrs_1 : io_update_oldCtrs_0;
+     271             :   wire        _GEN_3 = (|_GEN_2) | _GEN_1;
+     272             :   wire        _GEN_4 = io_update_pc[1] ? io_update_alloc_1 : io_update_alloc_0;
+     273          46 :   wire [2:0]  per_bank_update_wdata_0_0_ctr =
+     274             :     _GEN_4
+     275             :       ? (_GEN_1 ? 3'h4 : 3'h3)
+     276             :       : wrbypass_data_valid
+     277             :           ? ((&_wrbypass_io_T_6) & _GEN_1
+     278             :                ? 3'h7
+     279             :                : _wrbypass_io_T_6 == 3'h0 & ~_GEN_1
+     280             :                    ? 3'h0
+     281             :                    : _GEN_1 ? 3'(_wrbypass_io_T_6 + 3'h1) : 3'(_wrbypass_io_T_6 - 3'h1))
+     282             :           : (&_GEN_2) & _GEN_1
+     283             :               ? 3'h7
+     284             :               : _GEN_3 ? (_GEN_1 ? 3'(_GEN_2 + 3'h1) : 3'(_GEN_2 - 3'h1)) : 3'h0;
+     285             :   assign per_bank_not_silent_update_0_0 =
+     286             :     (wrbypass_data_valid
+     287             :        ? ~((&_wrbypass_io_T_6) & _GEN_1 | _wrbypass_io_T_6 == 3'h0 & ~_GEN_1)
+     288             :        : ~((&_GEN_2) & _GEN_1 | _GEN_2 == 3'h0 & ~_GEN_1)) | _GEN_4;
+     289             :   wire [2:0]  _wrbypass_io_T_28 =
+     290             :     (io_update_pc[1] ? _bank_wrbypasses_0_0_io_hit_data_0_bits : 3'h0)
+     291             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_1_io_hit_data_0_bits);
+     292          18 :   wire        wrbypass_data_valid_1 =
+     293             :     (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit | ~(io_update_pc[1])
+     294             :      & _bank_wrbypasses_0_1_io_hit)
+     295             :     & (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     296             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     297             :   wire        _GEN_5 = io_update_pc[1] ? io_update_takens_0 : io_update_takens_1;
+     298             :   wire [2:0]  _GEN_6 = io_update_pc[1] ? io_update_oldCtrs_0 : io_update_oldCtrs_1;
+     299             :   wire        _GEN_7 = io_update_pc[1] ? io_update_alloc_0 : io_update_alloc_1;
+     300          43 :   wire [2:0]  per_bank_update_wdata_0_1_ctr =
+     301             :     _GEN_7
+     302             :       ? (_GEN_5 ? 3'h4 : 3'h3)
+     303             :       : wrbypass_data_valid_1
+     304             :           ? ((&_wrbypass_io_T_28) & _GEN_5
+     305             :                ? 3'h7
+     306             :                : _wrbypass_io_T_28 == 3'h0 & ~_GEN_5
+     307             :                    ? 3'h0
+     308             :                    : _GEN_5 ? 3'(_wrbypass_io_T_28 + 3'h1) : 3'(_wrbypass_io_T_28 - 3'h1))
+     309             :           : (&_GEN_6) & _GEN_5
+     310             :               ? 3'h7
+     311             :               : _GEN_6 == 3'h0 & ~_GEN_5
+     312             :                   ? 3'h0
+     313             :                   : _GEN_5 ? 3'(_GEN_6 + 3'h1) : 3'(_GEN_6 - 3'h1);
+     314             :   assign per_bank_not_silent_update_0_1 =
+     315             :     (wrbypass_data_valid_1
+     316             :        ? ~((&_wrbypass_io_T_28) & _GEN_5 | _wrbypass_io_T_28 == 3'h0 & ~_GEN_5)
+     317             :        : ~((&_GEN_6) & _GEN_5 | _GEN_6 == 3'h0 & ~_GEN_5)) | _GEN_7;
+     318             :   wire [2:0]  _wrbypass_io_T_50 =
+     319             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_0_io_hit_data_0_bits)
+     320             :     | (io_update_pc[1] ? _bank_wrbypasses_1_1_io_hit_data_0_bits : 3'h0);
+     321          21 :   wire        wrbypass_data_valid_2 =
+     322             :     (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit | io_update_pc[1]
+     323             :      & _bank_wrbypasses_1_1_io_hit)
+     324             :     & (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit_data_0_valid | io_update_pc[1]
+     325             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     326          50 :   wire [2:0]  per_bank_update_wdata_1_0_ctr =
+     327             :     _GEN_4
+     328             :       ? (_GEN_1 ? 3'h4 : 3'h3)
+     329             :       : wrbypass_data_valid_2
+     330             :           ? ((&_wrbypass_io_T_50) & _GEN_1
+     331             :                ? 3'h7
+     332             :                : _wrbypass_io_T_50 == 3'h0 & ~_GEN_1
+     333             :                    ? 3'h0
+     334             :                    : _GEN_1 ? 3'(_wrbypass_io_T_50 + 3'h1) : 3'(_wrbypass_io_T_50 - 3'h1))
+     335             :           : (&_GEN_2) & _GEN_1
+     336             :               ? 3'h7
+     337             :               : _GEN_3 ? (_GEN_1 ? 3'(_GEN_2 + 3'h1) : 3'(_GEN_2 - 3'h1)) : 3'h0;
+     338             :   assign per_bank_not_silent_update_1_0 =
+     339             :     (wrbypass_data_valid_2
+     340             :        ? ~((&_wrbypass_io_T_50) & _GEN_1 | _wrbypass_io_T_50 == 3'h0 & ~_GEN_1)
+     341             :        : ~((&_GEN_2) & _GEN_1 | _GEN_2 == 3'h0 & ~_GEN_1)) | _GEN_4;
+     342             :   wire [2:0]  _wrbypass_io_T_72 =
+     343             :     (io_update_pc[1] ? _bank_wrbypasses_1_0_io_hit_data_0_bits : 3'h0)
+     344             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_1_io_hit_data_0_bits);
+     345          12 :   wire        wrbypass_data_valid_3 =
+     346             :     (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit | ~(io_update_pc[1])
+     347             :      & _bank_wrbypasses_1_1_io_hit)
+     348             :     & (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     349             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     350          48 :   wire [2:0]  per_bank_update_wdata_1_1_ctr =
+     351             :     _GEN_7
+     352             :       ? (_GEN_5 ? 3'h4 : 3'h3)
+     353             :       : wrbypass_data_valid_3
+     354             :           ? ((&_wrbypass_io_T_72) & _GEN_5
+     355             :                ? 3'h7
+     356             :                : _wrbypass_io_T_72 == 3'h0 & ~_GEN_5
+     357             :                    ? 3'h0
+     358             :                    : _GEN_5 ? 3'(_wrbypass_io_T_72 + 3'h1) : 3'(_wrbypass_io_T_72 - 3'h1))
+     359             :           : (&_GEN_6) & _GEN_5
+     360             :               ? 3'h7
+     361             :               : _GEN_6 == 3'h0 & ~_GEN_5
+     362             :                   ? 3'h0
+     363             :                   : _GEN_5 ? 3'(_GEN_6 + 3'h1) : 3'(_GEN_6 - 3'h1);
+     364             :   assign per_bank_not_silent_update_1_1 =
+     365             :     (wrbypass_data_valid_3
+     366             :        ? ~((&_wrbypass_io_T_72) & _GEN_5 | _wrbypass_io_T_72 == 3'h0 & ~_GEN_5)
+     367             :        : ~((&_GEN_6) & _GEN_5 | _GEN_6 == 3'h0 & ~_GEN_5)) | _GEN_7;
+     368             :   wire [2:0]  _wrbypass_io_T_94 =
+     369             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_0_io_hit_data_0_bits)
+     370             :     | (io_update_pc[1] ? _bank_wrbypasses_2_1_io_hit_data_0_bits : 3'h0);
+     371          20 :   wire        wrbypass_data_valid_4 =
+     372             :     (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit | io_update_pc[1]
+     373             :      & _bank_wrbypasses_2_1_io_hit)
+     374             :     & (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit_data_0_valid | io_update_pc[1]
+     375             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     376          55 :   wire [2:0]  per_bank_update_wdata_2_0_ctr =
+     377             :     _GEN_4
+     378             :       ? (_GEN_1 ? 3'h4 : 3'h3)
+     379             :       : wrbypass_data_valid_4
+     380             :           ? ((&_wrbypass_io_T_94) & _GEN_1
+     381             :                ? 3'h7
+     382             :                : _wrbypass_io_T_94 == 3'h0 & ~_GEN_1
+     383             :                    ? 3'h0
+     384             :                    : _GEN_1 ? 3'(_wrbypass_io_T_94 + 3'h1) : 3'(_wrbypass_io_T_94 - 3'h1))
+     385             :           : (&_GEN_2) & _GEN_1
+     386             :               ? 3'h7
+     387             :               : _GEN_3 ? (_GEN_1 ? 3'(_GEN_2 + 3'h1) : 3'(_GEN_2 - 3'h1)) : 3'h0;
+     388             :   assign per_bank_not_silent_update_2_0 =
+     389             :     (wrbypass_data_valid_4
+     390             :        ? ~((&_wrbypass_io_T_94) & _GEN_1 | _wrbypass_io_T_94 == 3'h0 & ~_GEN_1)
+     391             :        : ~((&_GEN_2) & _GEN_1 | _GEN_2 == 3'h0 & ~_GEN_1)) | _GEN_4;
+     392             :   wire [2:0]  _wrbypass_io_T_116 =
+     393             :     (io_update_pc[1] ? _bank_wrbypasses_2_0_io_hit_data_0_bits : 3'h0)
+     394             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_1_io_hit_data_0_bits);
+     395          20 :   wire        wrbypass_data_valid_5 =
+     396             :     (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit | ~(io_update_pc[1])
+     397             :      & _bank_wrbypasses_2_1_io_hit)
+     398             :     & (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     399             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     400          54 :   wire [2:0]  per_bank_update_wdata_2_1_ctr =
+     401             :     _GEN_7
+     402             :       ? (_GEN_5 ? 3'h4 : 3'h3)
+     403             :       : wrbypass_data_valid_5
+     404             :           ? ((&_wrbypass_io_T_116) & _GEN_5
+     405             :                ? 3'h7
+     406             :                : _wrbypass_io_T_116 == 3'h0 & ~_GEN_5
+     407             :                    ? 3'h0
+     408             :                    : _GEN_5
+     409             :                        ? 3'(_wrbypass_io_T_116 + 3'h1)
+     410             :                        : 3'(_wrbypass_io_T_116 - 3'h1))
+     411             :           : (&_GEN_6) & _GEN_5
+     412             :               ? 3'h7
+     413             :               : _GEN_6 == 3'h0 & ~_GEN_5
+     414             :                   ? 3'h0
+     415             :                   : _GEN_5 ? 3'(_GEN_6 + 3'h1) : 3'(_GEN_6 - 3'h1);
+     416             :   assign per_bank_not_silent_update_2_1 =
+     417             :     (wrbypass_data_valid_5
+     418             :        ? ~((&_wrbypass_io_T_116) & _GEN_5 | _wrbypass_io_T_116 == 3'h0 & ~_GEN_5)
+     419             :        : ~((&_GEN_6) & _GEN_5 | _GEN_6 == 3'h0 & ~_GEN_5)) | _GEN_7;
+     420             :   wire [2:0]  _wrbypass_io_T_138 =
+     421             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_0_io_hit_data_0_bits)
+     422             :     | (io_update_pc[1] ? _bank_wrbypasses_3_1_io_hit_data_0_bits : 3'h0);
+     423          22 :   wire        wrbypass_data_valid_6 =
+     424             :     (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit | io_update_pc[1]
+     425             :      & _bank_wrbypasses_3_1_io_hit)
+     426             :     & (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit_data_0_valid | io_update_pc[1]
+     427             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     428          56 :   wire [2:0]  per_bank_update_wdata_3_0_ctr =
+     429             :     _GEN_4
+     430             :       ? (_GEN_1 ? 3'h4 : 3'h3)
+     431             :       : wrbypass_data_valid_6
+     432             :           ? ((&_wrbypass_io_T_138) & _GEN_1
+     433             :                ? 3'h7
+     434             :                : _wrbypass_io_T_138 == 3'h0 & ~_GEN_1
+     435             :                    ? 3'h0
+     436             :                    : _GEN_1
+     437             :                        ? 3'(_wrbypass_io_T_138 + 3'h1)
+     438             :                        : 3'(_wrbypass_io_T_138 - 3'h1))
+     439             :           : (&_GEN_2) & _GEN_1
+     440             :               ? 3'h7
+     441             :               : _GEN_3 ? (_GEN_1 ? 3'(_GEN_2 + 3'h1) : 3'(_GEN_2 - 3'h1)) : 3'h0;
+     442             :   assign per_bank_not_silent_update_3_0 =
+     443             :     (wrbypass_data_valid_6
+     444             :        ? ~((&_wrbypass_io_T_138) & _GEN_1 | _wrbypass_io_T_138 == 3'h0 & ~_GEN_1)
+     445             :        : ~((&_GEN_2) & _GEN_1 | _GEN_2 == 3'h0 & ~_GEN_1)) | _GEN_4;
+     446             :   wire [2:0]  _wrbypass_io_T_160 =
+     447             :     (io_update_pc[1] ? _bank_wrbypasses_3_0_io_hit_data_0_bits : 3'h0)
+     448             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_1_io_hit_data_0_bits);
+     449          16 :   wire        wrbypass_data_valid_7 =
+     450             :     (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit | ~(io_update_pc[1])
+     451             :      & _bank_wrbypasses_3_1_io_hit)
+     452             :     & (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     453             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     454          48 :   wire [2:0]  per_bank_update_wdata_3_1_ctr =
+     455             :     _GEN_7
+     456             :       ? (_GEN_5 ? 3'h4 : 3'h3)
+     457             :       : wrbypass_data_valid_7
+     458             :           ? ((&_wrbypass_io_T_160) & _GEN_5
+     459             :                ? 3'h7
+     460             :                : _wrbypass_io_T_160 == 3'h0 & ~_GEN_5
+     461             :                    ? 3'h0
+     462             :                    : _GEN_5
+     463             :                        ? 3'(_wrbypass_io_T_160 + 3'h1)
+     464             :                        : 3'(_wrbypass_io_T_160 - 3'h1))
+     465             :           : (&_GEN_6) & _GEN_5
+     466             :               ? 3'h7
+     467             :               : _GEN_6 == 3'h0 & ~_GEN_5
+     468             :                   ? 3'h0
+     469             :                   : _GEN_5 ? 3'(_GEN_6 + 3'h1) : 3'(_GEN_6 - 3'h1);
+     470             :   assign per_bank_not_silent_update_3_1 =
+     471             :     (wrbypass_data_valid_7
+     472             :        ? ~((&_wrbypass_io_T_160) & _GEN_5 | _wrbypass_io_T_160 == 3'h0 & ~_GEN_5)
+     473             :        : ~((&_GEN_6) & _GEN_5 | _GEN_6 == 3'h0 & ~_GEN_5)) | _GEN_7;
+     474      127694 :   always @(posedge clock) begin
+     475        8350 :     if (_s1_bank_req_1h_T) begin
+     476        4175 :       s1_unhashed_idx <= io_req_bits_pc[40:1];
+     477        4175 :       s1_tag <= _GEN ^ {io_req_bits_folded_hist_hist_7_folded_hist, 1'h0};
+     478        4175 :       s1_bank_req_1h_0 <= s0_bank_req_1h_0;
+     479        4175 :       s1_bank_req_1h_1 <= s0_bank_req_1h_1;
+     480        4175 :       s1_bank_req_1h_2 <= s0_bank_req_1h_2;
+     481        4175 :       s1_bank_req_1h_3 <= &(_GEN[1:0]);
+     482             :     end
+     483        8350 :     if (io_req_valid) begin
+     484        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     485        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     486        4175 :       s1_bank_has_write_on_this_req_2 <= _s1_bank_has_write_on_this_req_WIRE_2;
+     487        4175 :       s1_bank_has_write_on_this_req_3 <= _s1_bank_has_write_on_this_req_WIRE_3;
+     488             :     end
+     489             :   end // always @(posedge)
+     490      127730 :   always @(posedge clock or posedge reset) begin
+     491         272 :     if (reset)
+     492         136 :       powerOnResetState <= 1'h1;
+     493             :     else
+     494       63729 :       powerOnResetState <=
+     495       63729 :         ~(_us_io_r_req_ready & _table_banks_0_io_r_req_ready
+     496       63729 :           & _table_banks_1_io_r_req_ready & _table_banks_2_io_r_req_ready
+     497       63729 :           & _table_banks_3_io_r_req_ready) & powerOnResetState;
+     498             :   end // always @(posedge, posedge)
+     499             :   `ifdef ENABLE_INITIAL_REG_
+     500             :     `ifdef FIRRTL_BEFORE_INITIAL
+     501             :       `FIRRTL_BEFORE_INITIAL
+     502             :     `endif // FIRRTL_BEFORE_INITIAL
+     503             :     logic [31:0] _RANDOM[0:3];
+     504          58 :     initial begin
+     505             :       `ifdef INIT_RANDOM_PROLOG_
+     506             :         `INIT_RANDOM_PROLOG_
+     507             :       `endif // INIT_RANDOM_PROLOG_
+     508             :       `ifdef RANDOMIZE_REG_INIT
+     509             :         for (logic [2:0] i = 3'h0; i < 3'h4; i += 3'h1) begin
+     510             :           _RANDOM[i[1:0]] = `RANDOM;
+     511             :         end
+     512             :         s1_unhashed_idx = {_RANDOM[2'h0], _RANDOM[2'h1][7:0]};
+     513             :         s1_tag = _RANDOM[2'h1][26:19];
+     514             :         s1_bank_req_1h_0 = _RANDOM[2'h3][4];
+     515             :         s1_bank_req_1h_1 = _RANDOM[2'h3][5];
+     516             :         s1_bank_req_1h_2 = _RANDOM[2'h3][6];
+     517             :         s1_bank_req_1h_3 = _RANDOM[2'h3][7];
+     518             :         s1_bank_has_write_on_this_req_0 = _RANDOM[2'h3][8];
+     519             :         s1_bank_has_write_on_this_req_1 = _RANDOM[2'h3][9];
+     520             :         s1_bank_has_write_on_this_req_2 = _RANDOM[2'h3][10];
+     521             :         s1_bank_has_write_on_this_req_3 = _RANDOM[2'h3][11];
+     522             :         powerOnResetState = _RANDOM[2'h3][12];
+     523             :       `endif // RANDOMIZE_REG_INIT
+     524          17 :       if (reset)
+     525          12 :         powerOnResetState = 1'h1;
+     526             :     end // initial
+     527             :     `ifdef FIRRTL_AFTER_INITIAL
+     528             :       `FIRRTL_AFTER_INITIAL
+     529             :     `endif // FIRRTL_AFTER_INITIAL
+     530             :   `endif // ENABLE_INITIAL_REG_
+     531             :   FoldedSRAMTemplate us (
+     532             :     .clock                 (clock),
+     533             :     .reset                 (reset),
+     534             :     .io_r_req_ready        (_us_io_r_req_ready),
+     535             :     .io_r_req_valid        (_s1_bank_req_1h_T),
+     536             :     .io_r_req_bits_setIdx  ({io_req_bits_pc[11:9], _GEN}),
+     537             :     .io_r_resp_data_0      (_us_io_r_resp_data_0),
+     538             :     .io_r_resp_data_1      (_us_io_r_resp_data_1),
+     539             :     .io_w_req_valid
+     540             :       (_us_extra_reset_T_1 & (io_update_uMask_0 | io_update_uMask_1)),
+     541             :     .io_w_req_bits_setIdx  ({io_update_pc[11:9], _GEN_0}),
+     542             :     .io_w_req_bits_data_0
+     543             :       (~(io_update_pc[1]) & io_update_us_0 | io_update_pc[1] & io_update_us_1),
+     544             :     .io_w_req_bits_data_1
+     545             :       (io_update_pc[1] & io_update_us_0 | ~(io_update_pc[1]) & io_update_us_1),
+     546             :     .io_w_req_bits_waymask
+     547             :       ({io_update_pc[1] & io_update_uMask_0 | ~(io_update_pc[1]) & io_update_uMask_1,
+     548             :         ~(io_update_pc[1]) & io_update_uMask_0 | io_update_pc[1] & io_update_uMask_1}),
+     549             :     .extra_reset
+     550             :       ((io_update_reset_u_0 | io_update_reset_u_1) & _us_extra_reset_T_1)
+     551             :   );
+     552             :   FoldedSRAMTemplate_1 table_banks_0 (
+     553             :     .clock                    (clock),
+     554             :     .reset                    (reset),
+     555             :     .io_r_req_ready           (_table_banks_0_io_r_req_ready),
+     556             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_0),
+     557             :     .io_r_req_bits_setIdx     (_table_banks_3_io_r_req_bits_setIdx_T),
+     558             :     .io_r_resp_data_0_valid   (_table_banks_0_io_r_resp_data_0_valid),
+     559             :     .io_r_resp_data_0_tag     (_table_banks_0_io_r_resp_data_0_tag),
+     560             :     .io_r_resp_data_0_ctr     (_table_banks_0_io_r_resp_data_0_ctr),
+     561             :     .io_r_resp_data_1_valid   (_table_banks_0_io_r_resp_data_1_valid),
+     562             :     .io_r_resp_data_1_tag     (_table_banks_0_io_r_resp_data_1_tag),
+     563             :     .io_r_resp_data_1_ctr     (_table_banks_0_io_r_resp_data_1_ctr),
+     564             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_0),
+     565             :     .io_w_req_bits_setIdx     (update_idx_in_bank),
+     566             :     .io_w_req_bits_data_0_tag (update_tag),
+     567             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_0_0_ctr),
+     568             :     .io_w_req_bits_data_1_tag (update_tag),
+     569             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_0_1_ctr),
+     570             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_0)
+     571             :   );
+     572             :   FoldedSRAMTemplate_1 table_banks_1 (
+     573             :     .clock                    (clock),
+     574             :     .reset                    (reset),
+     575             :     .io_r_req_ready           (_table_banks_1_io_r_req_ready),
+     576             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_1),
+     577             :     .io_r_req_bits_setIdx     (_table_banks_3_io_r_req_bits_setIdx_T),
+     578             :     .io_r_resp_data_0_valid   (_table_banks_1_io_r_resp_data_0_valid),
+     579             :     .io_r_resp_data_0_tag     (_table_banks_1_io_r_resp_data_0_tag),
+     580             :     .io_r_resp_data_0_ctr     (_table_banks_1_io_r_resp_data_0_ctr),
+     581             :     .io_r_resp_data_1_valid   (_table_banks_1_io_r_resp_data_1_valid),
+     582             :     .io_r_resp_data_1_tag     (_table_banks_1_io_r_resp_data_1_tag),
+     583             :     .io_r_resp_data_1_ctr     (_table_banks_1_io_r_resp_data_1_ctr),
+     584             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_1),
+     585             :     .io_w_req_bits_setIdx     (update_idx_in_bank),
+     586             :     .io_w_req_bits_data_0_tag (update_tag),
+     587             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_1_0_ctr),
+     588             :     .io_w_req_bits_data_1_tag (update_tag),
+     589             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_1_1_ctr),
+     590             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_1)
+     591             :   );
+     592             :   FoldedSRAMTemplate_1 table_banks_2 (
+     593             :     .clock                    (clock),
+     594             :     .reset                    (reset),
+     595             :     .io_r_req_ready           (_table_banks_2_io_r_req_ready),
+     596             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_2),
+     597             :     .io_r_req_bits_setIdx     (_table_banks_3_io_r_req_bits_setIdx_T),
+     598             :     .io_r_resp_data_0_valid   (_table_banks_2_io_r_resp_data_0_valid),
+     599             :     .io_r_resp_data_0_tag     (_table_banks_2_io_r_resp_data_0_tag),
+     600             :     .io_r_resp_data_0_ctr     (_table_banks_2_io_r_resp_data_0_ctr),
+     601             :     .io_r_resp_data_1_valid   (_table_banks_2_io_r_resp_data_1_valid),
+     602             :     .io_r_resp_data_1_tag     (_table_banks_2_io_r_resp_data_1_tag),
+     603             :     .io_r_resp_data_1_ctr     (_table_banks_2_io_r_resp_data_1_ctr),
+     604             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_2),
+     605             :     .io_w_req_bits_setIdx     (update_idx_in_bank),
+     606             :     .io_w_req_bits_data_0_tag (update_tag),
+     607             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_2_0_ctr),
+     608             :     .io_w_req_bits_data_1_tag (update_tag),
+     609             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_2_1_ctr),
+     610             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_2)
+     611             :   );
+     612             :   FoldedSRAMTemplate_1 table_banks_3 (
+     613             :     .clock                    (clock),
+     614             :     .reset                    (reset),
+     615             :     .io_r_req_ready           (_table_banks_3_io_r_req_ready),
+     616             :     .io_r_req_valid           (_s1_bank_req_1h_T & (&(_GEN[1:0]))),
+     617             :     .io_r_req_bits_setIdx     (_table_banks_3_io_r_req_bits_setIdx_T),
+     618             :     .io_r_resp_data_0_valid   (_table_banks_3_io_r_resp_data_0_valid),
+     619             :     .io_r_resp_data_0_tag     (_table_banks_3_io_r_resp_data_0_tag),
+     620             :     .io_r_resp_data_0_ctr     (_table_banks_3_io_r_resp_data_0_ctr),
+     621             :     .io_r_resp_data_1_valid   (_table_banks_3_io_r_resp_data_1_valid),
+     622             :     .io_r_resp_data_1_tag     (_table_banks_3_io_r_resp_data_1_tag),
+     623             :     .io_r_resp_data_1_ctr     (_table_banks_3_io_r_resp_data_1_ctr),
+     624             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_3),
+     625             :     .io_w_req_bits_setIdx     (update_idx_in_bank),
+     626             :     .io_w_req_bits_data_0_tag (update_tag),
+     627             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_3_0_ctr),
+     628             :     .io_w_req_bits_data_1_tag (update_tag),
+     629             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_3_1_ctr),
+     630             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_3)
+     631             :   );
+     632             :   WrBypass bank_wrbypasses_0_0 (
+     633             :     .clock               (clock),
+     634             :     .reset               (reset),
+     635             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_0),
+     636             :     .io_write_idx        (update_idx_in_bank),
+     637             :     .io_write_data_0
+     638             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_0_ctr)
+     639             :        | (io_update_pc[1] ? per_bank_update_wdata_0_1_ctr : 3'h0)),
+     640             :     .io_hit              (_bank_wrbypasses_0_0_io_hit),
+     641             :     .io_hit_data_0_valid (_bank_wrbypasses_0_0_io_hit_data_0_valid),
+     642             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_0_io_hit_data_0_bits)
+     643             :   );
+     644             :   WrBypass bank_wrbypasses_0_1 (
+     645             :     .clock               (clock),
+     646             :     .reset               (reset),
+     647             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_0),
+     648             :     .io_write_idx        (update_idx_in_bank),
+     649             :     .io_write_data_0
+     650             :       ((io_update_pc[1] ? per_bank_update_wdata_0_0_ctr : 3'h0)
+     651             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_1_ctr)),
+     652             :     .io_hit              (_bank_wrbypasses_0_1_io_hit),
+     653             :     .io_hit_data_0_valid (_bank_wrbypasses_0_1_io_hit_data_0_valid),
+     654             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_1_io_hit_data_0_bits)
+     655             :   );
+     656             :   WrBypass bank_wrbypasses_1_0 (
+     657             :     .clock               (clock),
+     658             :     .reset               (reset),
+     659             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_1),
+     660             :     .io_write_idx        (update_idx_in_bank),
+     661             :     .io_write_data_0
+     662             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_0_ctr)
+     663             :        | (io_update_pc[1] ? per_bank_update_wdata_1_1_ctr : 3'h0)),
+     664             :     .io_hit              (_bank_wrbypasses_1_0_io_hit),
+     665             :     .io_hit_data_0_valid (_bank_wrbypasses_1_0_io_hit_data_0_valid),
+     666             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_0_io_hit_data_0_bits)
+     667             :   );
+     668             :   WrBypass bank_wrbypasses_1_1 (
+     669             :     .clock               (clock),
+     670             :     .reset               (reset),
+     671             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_1),
+     672             :     .io_write_idx        (update_idx_in_bank),
+     673             :     .io_write_data_0
+     674             :       ((io_update_pc[1] ? per_bank_update_wdata_1_0_ctr : 3'h0)
+     675             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_1_ctr)),
+     676             :     .io_hit              (_bank_wrbypasses_1_1_io_hit),
+     677             :     .io_hit_data_0_valid (_bank_wrbypasses_1_1_io_hit_data_0_valid),
+     678             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_1_io_hit_data_0_bits)
+     679             :   );
+     680             :   WrBypass bank_wrbypasses_2_0 (
+     681             :     .clock               (clock),
+     682             :     .reset               (reset),
+     683             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_2),
+     684             :     .io_write_idx        (update_idx_in_bank),
+     685             :     .io_write_data_0
+     686             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_0_ctr)
+     687             :        | (io_update_pc[1] ? per_bank_update_wdata_2_1_ctr : 3'h0)),
+     688             :     .io_hit              (_bank_wrbypasses_2_0_io_hit),
+     689             :     .io_hit_data_0_valid (_bank_wrbypasses_2_0_io_hit_data_0_valid),
+     690             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_0_io_hit_data_0_bits)
+     691             :   );
+     692             :   WrBypass bank_wrbypasses_2_1 (
+     693             :     .clock               (clock),
+     694             :     .reset               (reset),
+     695             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_2),
+     696             :     .io_write_idx        (update_idx_in_bank),
+     697             :     .io_write_data_0
+     698             :       ((io_update_pc[1] ? per_bank_update_wdata_2_0_ctr : 3'h0)
+     699             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_1_ctr)),
+     700             :     .io_hit              (_bank_wrbypasses_2_1_io_hit),
+     701             :     .io_hit_data_0_valid (_bank_wrbypasses_2_1_io_hit_data_0_valid),
+     702             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_1_io_hit_data_0_bits)
+     703             :   );
+     704             :   WrBypass bank_wrbypasses_3_0 (
+     705             :     .clock               (clock),
+     706             :     .reset               (reset),
+     707             :     .io_wen              (io_update_mask_0 & (&(_GEN_0[1:0]))),
+     708             :     .io_write_idx        (update_idx_in_bank),
+     709             :     .io_write_data_0
+     710             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_0_ctr)
+     711             :        | (io_update_pc[1] ? per_bank_update_wdata_3_1_ctr : 3'h0)),
+     712             :     .io_hit              (_bank_wrbypasses_3_0_io_hit),
+     713             :     .io_hit_data_0_valid (_bank_wrbypasses_3_0_io_hit_data_0_valid),
+     714             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_0_io_hit_data_0_bits)
+     715             :   );
+     716             :   WrBypass bank_wrbypasses_3_1 (
+     717             :     .clock               (clock),
+     718             :     .reset               (reset),
+     719             :     .io_wen              (io_update_mask_1 & (&(_GEN_0[1:0]))),
+     720             :     .io_write_idx        (update_idx_in_bank),
+     721             :     .io_write_data_0
+     722             :       ((io_update_pc[1] ? per_bank_update_wdata_3_0_ctr : 3'h0)
+     723             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_1_ctr)),
+     724             :     .io_hit              (_bank_wrbypasses_3_1_io_hit),
+     725             :     .io_hit_data_0_valid (_bank_wrbypasses_3_1_io_hit_data_0_valid),
+     726             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_1_io_hit_data_0_bits)
+     727             :   );
+     728             :   assign io_req_ready = ~powerOnResetState;
+     729             :   assign io_resps_0_valid =
+     730             :     ~(s1_unhashed_idx[0]) & _hit_selected_T_6 | s1_unhashed_idx[0] & _hit_selected_T_13;
+     731             :   assign io_resps_0_bits_ctr =
+     732             :     (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_6)
+     733             :     | (s1_unhashed_idx[0] ? _resp_selected_T_27 : 3'h0);
+     734             :   assign io_resps_0_bits_u =
+     735             :     ~(s1_unhashed_idx[0]) & _us_io_r_resp_data_0 | s1_unhashed_idx[0]
+     736             :     & _us_io_r_resp_data_1;
+     737             :   assign io_resps_0_bits_unconf =
+     738             :     ~(s1_unhashed_idx[0]) & _unconf_selected_T_6 | s1_unhashed_idx[0]
+     739             :     & _unconf_selected_T_13;
+     740             :   assign io_resps_1_valid =
+     741             :     s1_unhashed_idx[0] & _hit_selected_T_6 | ~(s1_unhashed_idx[0]) & _hit_selected_T_13;
+     742             :   assign io_resps_1_bits_ctr =
+     743             :     (s1_unhashed_idx[0] ? _resp_selected_T_6 : 3'h0)
+     744             :     | (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_27);
+     745             :   assign io_resps_1_bits_u =
+     746             :     s1_unhashed_idx[0] & _us_io_r_resp_data_0 | ~(s1_unhashed_idx[0])
+     747             :     & _us_io_r_resp_data_1;
+     748             :   assign io_resps_1_bits_unconf =
+     749             :     s1_unhashed_idx[0] & _unconf_selected_T_6 | ~(s1_unhashed_idx[0])
+     750             :     & _unconf_selected_T_13;
+     751             : endmodule
+     752             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.func-sort-c.html new file mode 100644 index 0000000..b067070 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.func.html new file mode 100644 index 0000000..e7f7529 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_1.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.gcov.html new file mode 100644 index 0000000..ce364b5 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_1.sv.gcov.html @@ -0,0 +1,830 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_1.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_1.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module TageTable_1(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          57 :   output        io_req_ready,
+      62          76 :   input         io_req_valid,
+      63       10224 :   input  [40:0] io_req_bits_pc,
+      64         828 :   input  [6:0]  io_req_bits_folded_hist_hist_15_folded_hist,
+      65         300 :   input  [7:0]  io_req_bits_folded_hist_hist_4_folded_hist,
+      66        1122 :   input  [10:0] io_req_bits_folded_hist_hist_1_folded_hist,
+      67          15 :   output        io_resps_0_valid,
+      68          91 :   output [2:0]  io_resps_0_bits_ctr,
+      69          24 :   output        io_resps_0_bits_u,
+      70          24 :   output        io_resps_0_bits_unconf,
+      71          18 :   output        io_resps_1_valid,
+      72          95 :   output [2:0]  io_resps_1_bits_ctr,
+      73          30 :   output        io_resps_1_bits_u,
+      74          30 :   output        io_resps_1_bits_unconf,
+      75         599 :   input  [40:0] io_update_pc,
+      76          99 :   input  [6:0]  io_update_folded_hist_hist_15_folded_hist,
+      77         113 :   input  [7:0]  io_update_folded_hist_hist_4_folded_hist,
+      78         166 :   input  [10:0] io_update_folded_hist_hist_1_folded_hist,
+      79          22 :   input         io_update_mask_0,
+      80          26 :   input         io_update_mask_1,
+      81          18 :   input         io_update_takens_0,
+      82          13 :   input         io_update_takens_1,
+      83          15 :   input         io_update_alloc_0,
+      84          17 :   input         io_update_alloc_1,
+      85          47 :   input  [2:0]  io_update_oldCtrs_0,
+      86          38 :   input  [2:0]  io_update_oldCtrs_1,
+      87          15 :   input         io_update_uMask_0,
+      88          13 :   input         io_update_uMask_1,
+      89          14 :   input         io_update_us_0,
+      90          15 :   input         io_update_us_1,
+      91          29 :   input         io_update_reset_u_0,
+      92          36 :   input         io_update_reset_u_1
+      93             : );
+      94             : 
+      95          15 :   wire        per_bank_not_silent_update_3_1;
+      96          15 :   wire        per_bank_not_silent_update_3_0;
+      97          15 :   wire        per_bank_not_silent_update_2_1;
+      98          16 :   wire        per_bank_not_silent_update_2_0;
+      99          18 :   wire        per_bank_not_silent_update_1_1;
+     100          17 :   wire        per_bank_not_silent_update_1_0;
+     101          16 :   wire        per_bank_not_silent_update_0_1;
+     102          13 :   wire        per_bank_not_silent_update_0_0;
+     103          55 :   reg         powerOnResetState;
+     104             :   wire        _resp_invalid_by_write_T_6;
+     105             :   wire        _bank_wrbypasses_3_1_io_hit;
+     106             :   wire        _bank_wrbypasses_3_1_io_hit_data_0_valid;
+     107             :   wire [2:0]  _bank_wrbypasses_3_1_io_hit_data_0_bits;
+     108             :   wire        _bank_wrbypasses_3_0_io_hit;
+     109             :   wire        _bank_wrbypasses_3_0_io_hit_data_0_valid;
+     110             :   wire [2:0]  _bank_wrbypasses_3_0_io_hit_data_0_bits;
+     111             :   wire        _bank_wrbypasses_2_1_io_hit;
+     112             :   wire        _bank_wrbypasses_2_1_io_hit_data_0_valid;
+     113             :   wire [2:0]  _bank_wrbypasses_2_1_io_hit_data_0_bits;
+     114             :   wire        _bank_wrbypasses_2_0_io_hit;
+     115             :   wire        _bank_wrbypasses_2_0_io_hit_data_0_valid;
+     116             :   wire [2:0]  _bank_wrbypasses_2_0_io_hit_data_0_bits;
+     117             :   wire        _bank_wrbypasses_1_1_io_hit;
+     118             :   wire        _bank_wrbypasses_1_1_io_hit_data_0_valid;
+     119             :   wire [2:0]  _bank_wrbypasses_1_1_io_hit_data_0_bits;
+     120             :   wire        _bank_wrbypasses_1_0_io_hit;
+     121             :   wire        _bank_wrbypasses_1_0_io_hit_data_0_valid;
+     122             :   wire [2:0]  _bank_wrbypasses_1_0_io_hit_data_0_bits;
+     123             :   wire        _bank_wrbypasses_0_1_io_hit;
+     124             :   wire        _bank_wrbypasses_0_1_io_hit_data_0_valid;
+     125             :   wire [2:0]  _bank_wrbypasses_0_1_io_hit_data_0_bits;
+     126             :   wire        _bank_wrbypasses_0_0_io_hit;
+     127             :   wire        _bank_wrbypasses_0_0_io_hit_data_0_valid;
+     128             :   wire [2:0]  _bank_wrbypasses_0_0_io_hit_data_0_bits;
+     129             :   wire        _table_banks_3_io_r_req_ready;
+     130             :   wire        _table_banks_3_io_r_resp_data_0_valid;
+     131             :   wire [7:0]  _table_banks_3_io_r_resp_data_0_tag;
+     132             :   wire [2:0]  _table_banks_3_io_r_resp_data_0_ctr;
+     133             :   wire        _table_banks_3_io_r_resp_data_1_valid;
+     134             :   wire [7:0]  _table_banks_3_io_r_resp_data_1_tag;
+     135             :   wire [2:0]  _table_banks_3_io_r_resp_data_1_ctr;
+     136             :   wire        _table_banks_2_io_r_req_ready;
+     137             :   wire        _table_banks_2_io_r_resp_data_0_valid;
+     138             :   wire [7:0]  _table_banks_2_io_r_resp_data_0_tag;
+     139             :   wire [2:0]  _table_banks_2_io_r_resp_data_0_ctr;
+     140             :   wire        _table_banks_2_io_r_resp_data_1_valid;
+     141             :   wire [7:0]  _table_banks_2_io_r_resp_data_1_tag;
+     142             :   wire [2:0]  _table_banks_2_io_r_resp_data_1_ctr;
+     143             :   wire        _table_banks_1_io_r_req_ready;
+     144             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+     145             :   wire [7:0]  _table_banks_1_io_r_resp_data_0_tag;
+     146             :   wire [2:0]  _table_banks_1_io_r_resp_data_0_ctr;
+     147             :   wire        _table_banks_1_io_r_resp_data_1_valid;
+     148             :   wire [7:0]  _table_banks_1_io_r_resp_data_1_tag;
+     149             :   wire [2:0]  _table_banks_1_io_r_resp_data_1_ctr;
+     150             :   wire        _table_banks_0_io_r_req_ready;
+     151             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+     152             :   wire [7:0]  _table_banks_0_io_r_resp_data_0_tag;
+     153             :   wire [2:0]  _table_banks_0_io_r_resp_data_0_ctr;
+     154             :   wire        _table_banks_0_io_r_resp_data_1_valid;
+     155             :   wire [7:0]  _table_banks_0_io_r_resp_data_1_tag;
+     156             :   wire [2:0]  _table_banks_0_io_r_resp_data_1_ctr;
+     157             :   wire        _us_io_r_req_ready;
+     158             :   wire        _us_io_r_resp_data_0;
+     159             :   wire        _us_io_r_resp_data_1;
+     160             :   wire        _us_extra_reset_T_1 = io_update_mask_0 | io_update_mask_1;
+     161        8858 :   wire [10:0] s0_idx = io_req_bits_pc[11:1] ^ io_req_bits_folded_hist_hist_1_folded_hist;
+     162          70 :   wire        s0_bank_req_1h_0 = s0_idx[1:0] == 2'h0;
+     163          67 :   wire        s0_bank_req_1h_1 = s0_idx[1:0] == 2'h1;
+     164          51 :   wire        s0_bank_req_1h_2 = s0_idx[1:0] == 2'h2;
+     165             :   wire        _s1_bank_req_1h_T = ~powerOnResetState & io_req_valid;
+     166        9360 :   reg  [39:0] s1_unhashed_idx;
+     167        7984 :   reg  [7:0]  s1_tag;
+     168          42 :   reg         s1_bank_req_1h_0;
+     169          46 :   reg         s1_bank_req_1h_1;
+     170          39 :   reg         s1_bank_req_1h_2;
+     171          32 :   reg         s1_bank_req_1h_3;
+     172          29 :   reg         s1_bank_has_write_on_this_req_0;
+     173          26 :   reg         s1_bank_has_write_on_this_req_1;
+     174          28 :   reg         s1_bank_has_write_on_this_req_2;
+     175          28 :   reg         s1_bank_has_write_on_this_req_3;
+     176             :   wire [2:0]  _resp_selected_T_6 =
+     177             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 3'h0)
+     178             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 3'h0)
+     179             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_0_ctr : 3'h0)
+     180             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_0_ctr : 3'h0);
+     181             :   wire [2:0]  _resp_selected_T_27 =
+     182             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_1_ctr : 3'h0)
+     183             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_1_ctr : 3'h0)
+     184             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_1_ctr : 3'h0)
+     185             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_1_ctr : 3'h0);
+     186             :   wire        _unconf_selected_T_6 =
+     187             :     s1_bank_req_1h_0
+     188             :     & (_table_banks_0_io_r_resp_data_0_ctr == 3'h4
+     189             :        | _table_banks_0_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_1
+     190             :     & (_table_banks_1_io_r_resp_data_0_ctr == 3'h4
+     191             :        | _table_banks_1_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_2
+     192             :     & (_table_banks_2_io_r_resp_data_0_ctr == 3'h4
+     193             :        | _table_banks_2_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_3
+     194             :     & (_table_banks_3_io_r_resp_data_0_ctr == 3'h4
+     195             :        | _table_banks_3_io_r_resp_data_0_ctr == 3'h3);
+     196             :   wire        _unconf_selected_T_13 =
+     197             :     s1_bank_req_1h_0
+     198             :     & (_table_banks_0_io_r_resp_data_1_ctr == 3'h4
+     199             :        | _table_banks_0_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_1
+     200             :     & (_table_banks_1_io_r_resp_data_1_ctr == 3'h4
+     201             :        | _table_banks_1_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_2
+     202             :     & (_table_banks_2_io_r_resp_data_1_ctr == 3'h4
+     203             :        | _table_banks_2_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_3
+     204             :     & (_table_banks_3_io_r_resp_data_1_ctr == 3'h4
+     205             :        | _table_banks_3_io_r_resp_data_1_ctr == 3'h3);
+     206             :   wire        _hit_selected_T_6 =
+     207             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_tag == s1_tag
+     208             :     & _table_banks_0_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     209             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_0_tag == s1_tag
+     210             :     & _table_banks_1_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     211             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_0_tag == s1_tag
+     212             :     & _table_banks_2_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     213             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_0_tag == s1_tag
+     214             :     & _table_banks_3_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6;
+     215             :   wire        _hit_selected_T_13 =
+     216             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_1_tag == s1_tag
+     217             :     & _table_banks_0_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     218             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_1_tag == s1_tag
+     219             :     & _table_banks_1_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     220             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_1_tag == s1_tag
+     221             :     & _table_banks_2_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     222             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_1_tag == s1_tag
+     223             :     & _table_banks_3_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6;
+     224             :   assign _resp_invalid_by_write_T_6 =
+     225             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     226             :     & s1_bank_has_write_on_this_req_1 | s1_bank_req_1h_2 & s1_bank_has_write_on_this_req_2
+     227             :     | s1_bank_req_1h_3 & s1_bank_has_write_on_this_req_3;
+     228         158 :   wire [10:0] update_idx = io_update_pc[11:1] ^ io_update_folded_hist_hist_1_folded_hist;
+     229         114 :   wire [7:0]  update_tag =
+     230             :     io_update_pc[8:1] ^ io_update_folded_hist_hist_4_folded_hist
+     231             :     ^ {io_update_folded_hist_hist_15_folded_hist, 1'h0};
+     232          17 :   wire        update_req_bank_1h_0 = update_idx[1:0] == 2'h0;
+     233          12 :   wire        update_req_bank_1h_1 = update_idx[1:0] == 2'h1;
+     234          17 :   wire        update_req_bank_1h_2 = update_idx[1:0] == 2'h2;
+     235          48 :   wire [1:0]  per_bank_update_way_mask_0 =
+     236             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     237             :        & per_bank_not_silent_update_0_1,
+     238             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     239             :        & per_bank_not_silent_update_0_0};
+     240          52 :   wire [1:0]  per_bank_update_way_mask_1 =
+     241             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     242             :        & per_bank_not_silent_update_1_1,
+     243             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     244             :        & per_bank_not_silent_update_1_0};
+     245          54 :   wire [1:0]  per_bank_update_way_mask_2 =
+     246             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     247             :        & per_bank_not_silent_update_2_1,
+     248             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     249             :        & per_bank_not_silent_update_2_0};
+     250          54 :   wire [1:0]  per_bank_update_way_mask_3 =
+     251             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     252             :        & per_bank_not_silent_update_3_1,
+     253             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     254             :        & per_bank_not_silent_update_3_0};
+     255             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 =
+     256             :     (|per_bank_update_way_mask_0) & update_req_bank_1h_0;
+     257             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 =
+     258             :     (|per_bank_update_way_mask_1) & update_req_bank_1h_1;
+     259             :   wire        _s1_bank_has_write_on_this_req_WIRE_2 =
+     260             :     (|per_bank_update_way_mask_2) & update_req_bank_1h_2;
+     261             :   wire        _s1_bank_has_write_on_this_req_WIRE_3 =
+     262             :     (|per_bank_update_way_mask_3) & (&(update_idx[1:0]));
+     263             :   wire [2:0]  _wrbypass_io_T_6 =
+     264             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_0_io_hit_data_0_bits)
+     265             :     | (io_update_pc[1] ? _bank_wrbypasses_0_1_io_hit_data_0_bits : 3'h0);
+     266          17 :   wire        wrbypass_data_valid =
+     267             :     (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit | io_update_pc[1]
+     268             :      & _bank_wrbypasses_0_1_io_hit)
+     269             :     & (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit_data_0_valid | io_update_pc[1]
+     270             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     271             :   wire        _GEN = io_update_pc[1] ? io_update_takens_1 : io_update_takens_0;
+     272             :   wire [2:0]  _GEN_0 = io_update_pc[1] ? io_update_oldCtrs_1 : io_update_oldCtrs_0;
+     273             :   wire        _GEN_1 = (|_GEN_0) | _GEN;
+     274             :   wire        _GEN_2 = io_update_pc[1] ? io_update_alloc_1 : io_update_alloc_0;
+     275          46 :   wire [2:0]  per_bank_update_wdata_0_0_ctr =
+     276             :     _GEN_2
+     277             :       ? (_GEN ? 3'h4 : 3'h3)
+     278             :       : wrbypass_data_valid
+     279             :           ? ((&_wrbypass_io_T_6) & _GEN
+     280             :                ? 3'h7
+     281             :                : _wrbypass_io_T_6 == 3'h0 & ~_GEN
+     282             :                    ? 3'h0
+     283             :                    : _GEN ? 3'(_wrbypass_io_T_6 + 3'h1) : 3'(_wrbypass_io_T_6 - 3'h1))
+     284             :           : (&_GEN_0) & _GEN
+     285             :               ? 3'h7
+     286             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     287             :   assign per_bank_not_silent_update_0_0 =
+     288             :     (wrbypass_data_valid
+     289             :        ? ~((&_wrbypass_io_T_6) & _GEN | _wrbypass_io_T_6 == 3'h0 & ~_GEN)
+     290             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     291             :   wire [2:0]  _wrbypass_io_T_28 =
+     292             :     (io_update_pc[1] ? _bank_wrbypasses_0_0_io_hit_data_0_bits : 3'h0)
+     293             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_1_io_hit_data_0_bits);
+     294          19 :   wire        wrbypass_data_valid_1 =
+     295             :     (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit | ~(io_update_pc[1])
+     296             :      & _bank_wrbypasses_0_1_io_hit)
+     297             :     & (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     298             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     299             :   wire        _GEN_3 = io_update_pc[1] ? io_update_takens_0 : io_update_takens_1;
+     300             :   wire [2:0]  _GEN_4 = io_update_pc[1] ? io_update_oldCtrs_0 : io_update_oldCtrs_1;
+     301             :   wire        _GEN_5 = io_update_pc[1] ? io_update_alloc_0 : io_update_alloc_1;
+     302          44 :   wire [2:0]  per_bank_update_wdata_0_1_ctr =
+     303             :     _GEN_5
+     304             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     305             :       : wrbypass_data_valid_1
+     306             :           ? ((&_wrbypass_io_T_28) & _GEN_3
+     307             :                ? 3'h7
+     308             :                : _wrbypass_io_T_28 == 3'h0 & ~_GEN_3
+     309             :                    ? 3'h0
+     310             :                    : _GEN_3 ? 3'(_wrbypass_io_T_28 + 3'h1) : 3'(_wrbypass_io_T_28 - 3'h1))
+     311             :           : (&_GEN_4) & _GEN_3
+     312             :               ? 3'h7
+     313             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     314             :                   ? 3'h0
+     315             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     316             :   assign per_bank_not_silent_update_0_1 =
+     317             :     (wrbypass_data_valid_1
+     318             :        ? ~((&_wrbypass_io_T_28) & _GEN_3 | _wrbypass_io_T_28 == 3'h0 & ~_GEN_3)
+     319             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     320             :   wire [2:0]  _wrbypass_io_T_50 =
+     321             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_0_io_hit_data_0_bits)
+     322             :     | (io_update_pc[1] ? _bank_wrbypasses_1_1_io_hit_data_0_bits : 3'h0);
+     323          17 :   wire        wrbypass_data_valid_2 =
+     324             :     (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit | io_update_pc[1]
+     325             :      & _bank_wrbypasses_1_1_io_hit)
+     326             :     & (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit_data_0_valid | io_update_pc[1]
+     327             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     328          35 :   wire [2:0]  per_bank_update_wdata_1_0_ctr =
+     329             :     _GEN_2
+     330             :       ? (_GEN ? 3'h4 : 3'h3)
+     331             :       : wrbypass_data_valid_2
+     332             :           ? ((&_wrbypass_io_T_50) & _GEN
+     333             :                ? 3'h7
+     334             :                : _wrbypass_io_T_50 == 3'h0 & ~_GEN
+     335             :                    ? 3'h0
+     336             :                    : _GEN ? 3'(_wrbypass_io_T_50 + 3'h1) : 3'(_wrbypass_io_T_50 - 3'h1))
+     337             :           : (&_GEN_0) & _GEN
+     338             :               ? 3'h7
+     339             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     340             :   assign per_bank_not_silent_update_1_0 =
+     341             :     (wrbypass_data_valid_2
+     342             :        ? ~((&_wrbypass_io_T_50) & _GEN | _wrbypass_io_T_50 == 3'h0 & ~_GEN)
+     343             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     344             :   wire [2:0]  _wrbypass_io_T_72 =
+     345             :     (io_update_pc[1] ? _bank_wrbypasses_1_0_io_hit_data_0_bits : 3'h0)
+     346             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_1_io_hit_data_0_bits);
+     347          23 :   wire        wrbypass_data_valid_3 =
+     348             :     (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit | ~(io_update_pc[1])
+     349             :      & _bank_wrbypasses_1_1_io_hit)
+     350             :     & (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     351             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     352          48 :   wire [2:0]  per_bank_update_wdata_1_1_ctr =
+     353             :     _GEN_5
+     354             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     355             :       : wrbypass_data_valid_3
+     356             :           ? ((&_wrbypass_io_T_72) & _GEN_3
+     357             :                ? 3'h7
+     358             :                : _wrbypass_io_T_72 == 3'h0 & ~_GEN_3
+     359             :                    ? 3'h0
+     360             :                    : _GEN_3 ? 3'(_wrbypass_io_T_72 + 3'h1) : 3'(_wrbypass_io_T_72 - 3'h1))
+     361             :           : (&_GEN_4) & _GEN_3
+     362             :               ? 3'h7
+     363             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     364             :                   ? 3'h0
+     365             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     366             :   assign per_bank_not_silent_update_1_1 =
+     367             :     (wrbypass_data_valid_3
+     368             :        ? ~((&_wrbypass_io_T_72) & _GEN_3 | _wrbypass_io_T_72 == 3'h0 & ~_GEN_3)
+     369             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     370             :   wire [2:0]  _wrbypass_io_T_94 =
+     371             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_0_io_hit_data_0_bits)
+     372             :     | (io_update_pc[1] ? _bank_wrbypasses_2_1_io_hit_data_0_bits : 3'h0);
+     373          14 :   wire        wrbypass_data_valid_4 =
+     374             :     (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit | io_update_pc[1]
+     375             :      & _bank_wrbypasses_2_1_io_hit)
+     376             :     & (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit_data_0_valid | io_update_pc[1]
+     377             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     378          45 :   wire [2:0]  per_bank_update_wdata_2_0_ctr =
+     379             :     _GEN_2
+     380             :       ? (_GEN ? 3'h4 : 3'h3)
+     381             :       : wrbypass_data_valid_4
+     382             :           ? ((&_wrbypass_io_T_94) & _GEN
+     383             :                ? 3'h7
+     384             :                : _wrbypass_io_T_94 == 3'h0 & ~_GEN
+     385             :                    ? 3'h0
+     386             :                    : _GEN ? 3'(_wrbypass_io_T_94 + 3'h1) : 3'(_wrbypass_io_T_94 - 3'h1))
+     387             :           : (&_GEN_0) & _GEN
+     388             :               ? 3'h7
+     389             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     390             :   assign per_bank_not_silent_update_2_0 =
+     391             :     (wrbypass_data_valid_4
+     392             :        ? ~((&_wrbypass_io_T_94) & _GEN | _wrbypass_io_T_94 == 3'h0 & ~_GEN)
+     393             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     394             :   wire [2:0]  _wrbypass_io_T_116 =
+     395             :     (io_update_pc[1] ? _bank_wrbypasses_2_0_io_hit_data_0_bits : 3'h0)
+     396             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_1_io_hit_data_0_bits);
+     397          13 :   wire        wrbypass_data_valid_5 =
+     398             :     (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit | ~(io_update_pc[1])
+     399             :      & _bank_wrbypasses_2_1_io_hit)
+     400             :     & (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     401             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     402          44 :   wire [2:0]  per_bank_update_wdata_2_1_ctr =
+     403             :     _GEN_5
+     404             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     405             :       : wrbypass_data_valid_5
+     406             :           ? ((&_wrbypass_io_T_116) & _GEN_3
+     407             :                ? 3'h7
+     408             :                : _wrbypass_io_T_116 == 3'h0 & ~_GEN_3
+     409             :                    ? 3'h0
+     410             :                    : _GEN_3
+     411             :                        ? 3'(_wrbypass_io_T_116 + 3'h1)
+     412             :                        : 3'(_wrbypass_io_T_116 - 3'h1))
+     413             :           : (&_GEN_4) & _GEN_3
+     414             :               ? 3'h7
+     415             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     416             :                   ? 3'h0
+     417             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     418             :   assign per_bank_not_silent_update_2_1 =
+     419             :     (wrbypass_data_valid_5
+     420             :        ? ~((&_wrbypass_io_T_116) & _GEN_3 | _wrbypass_io_T_116 == 3'h0 & ~_GEN_3)
+     421             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     422             :   wire [2:0]  _wrbypass_io_T_138 =
+     423             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_0_io_hit_data_0_bits)
+     424             :     | (io_update_pc[1] ? _bank_wrbypasses_3_1_io_hit_data_0_bits : 3'h0);
+     425          19 :   wire        wrbypass_data_valid_6 =
+     426             :     (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit | io_update_pc[1]
+     427             :      & _bank_wrbypasses_3_1_io_hit)
+     428             :     & (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit_data_0_valid | io_update_pc[1]
+     429             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     430          50 :   wire [2:0]  per_bank_update_wdata_3_0_ctr =
+     431             :     _GEN_2
+     432             :       ? (_GEN ? 3'h4 : 3'h3)
+     433             :       : wrbypass_data_valid_6
+     434             :           ? ((&_wrbypass_io_T_138) & _GEN
+     435             :                ? 3'h7
+     436             :                : _wrbypass_io_T_138 == 3'h0 & ~_GEN
+     437             :                    ? 3'h0
+     438             :                    : _GEN ? 3'(_wrbypass_io_T_138 + 3'h1) : 3'(_wrbypass_io_T_138 - 3'h1))
+     439             :           : (&_GEN_0) & _GEN
+     440             :               ? 3'h7
+     441             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     442             :   assign per_bank_not_silent_update_3_0 =
+     443             :     (wrbypass_data_valid_6
+     444             :        ? ~((&_wrbypass_io_T_138) & _GEN | _wrbypass_io_T_138 == 3'h0 & ~_GEN)
+     445             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     446             :   wire [2:0]  _wrbypass_io_T_160 =
+     447             :     (io_update_pc[1] ? _bank_wrbypasses_3_0_io_hit_data_0_bits : 3'h0)
+     448             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_1_io_hit_data_0_bits);
+     449          28 :   wire        wrbypass_data_valid_7 =
+     450             :     (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit | ~(io_update_pc[1])
+     451             :      & _bank_wrbypasses_3_1_io_hit)
+     452             :     & (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     453             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     454          46 :   wire [2:0]  per_bank_update_wdata_3_1_ctr =
+     455             :     _GEN_5
+     456             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     457             :       : wrbypass_data_valid_7
+     458             :           ? ((&_wrbypass_io_T_160) & _GEN_3
+     459             :                ? 3'h7
+     460             :                : _wrbypass_io_T_160 == 3'h0 & ~_GEN_3
+     461             :                    ? 3'h0
+     462             :                    : _GEN_3
+     463             :                        ? 3'(_wrbypass_io_T_160 + 3'h1)
+     464             :                        : 3'(_wrbypass_io_T_160 - 3'h1))
+     465             :           : (&_GEN_4) & _GEN_3
+     466             :               ? 3'h7
+     467             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     468             :                   ? 3'h0
+     469             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     470             :   assign per_bank_not_silent_update_3_1 =
+     471             :     (wrbypass_data_valid_7
+     472             :        ? ~((&_wrbypass_io_T_160) & _GEN_3 | _wrbypass_io_T_160 == 3'h0 & ~_GEN_3)
+     473             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     474      127694 :   always @(posedge clock) begin
+     475        8350 :     if (_s1_bank_req_1h_T) begin
+     476        4175 :       s1_unhashed_idx <= io_req_bits_pc[40:1];
+     477        4175 :       s1_tag <=
+     478        4175 :         io_req_bits_pc[8:1] ^ io_req_bits_folded_hist_hist_4_folded_hist
+     479        4175 :         ^ {io_req_bits_folded_hist_hist_15_folded_hist, 1'h0};
+     480        4175 :       s1_bank_req_1h_0 <= s0_bank_req_1h_0;
+     481        4175 :       s1_bank_req_1h_1 <= s0_bank_req_1h_1;
+     482        4175 :       s1_bank_req_1h_2 <= s0_bank_req_1h_2;
+     483        4175 :       s1_bank_req_1h_3 <= &(s0_idx[1:0]);
+     484             :     end
+     485        8350 :     if (io_req_valid) begin
+     486        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     487        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     488        4175 :       s1_bank_has_write_on_this_req_2 <= _s1_bank_has_write_on_this_req_WIRE_2;
+     489        4175 :       s1_bank_has_write_on_this_req_3 <= _s1_bank_has_write_on_this_req_WIRE_3;
+     490             :     end
+     491             :   end // always @(posedge)
+     492      127730 :   always @(posedge clock or posedge reset) begin
+     493         272 :     if (reset)
+     494         136 :       powerOnResetState <= 1'h1;
+     495             :     else
+     496       63729 :       powerOnResetState <=
+     497       63729 :         ~(_us_io_r_req_ready & _table_banks_0_io_r_req_ready
+     498       63729 :           & _table_banks_1_io_r_req_ready & _table_banks_2_io_r_req_ready
+     499       63729 :           & _table_banks_3_io_r_req_ready) & powerOnResetState;
+     500             :   end // always @(posedge, posedge)
+     501             :   `ifdef ENABLE_INITIAL_REG_
+     502             :     `ifdef FIRRTL_BEFORE_INITIAL
+     503             :       `FIRRTL_BEFORE_INITIAL
+     504             :     `endif // FIRRTL_BEFORE_INITIAL
+     505             :     logic [31:0] _RANDOM[0:3];
+     506          58 :     initial begin
+     507             :       `ifdef INIT_RANDOM_PROLOG_
+     508             :         `INIT_RANDOM_PROLOG_
+     509             :       `endif // INIT_RANDOM_PROLOG_
+     510             :       `ifdef RANDOMIZE_REG_INIT
+     511             :         for (logic [2:0] i = 3'h0; i < 3'h4; i += 3'h1) begin
+     512             :           _RANDOM[i[1:0]] = `RANDOM;
+     513             :         end
+     514             :         s1_unhashed_idx = {_RANDOM[2'h0], _RANDOM[2'h1][7:0]};
+     515             :         s1_tag = _RANDOM[2'h1][26:19];
+     516             :         s1_bank_req_1h_0 = _RANDOM[2'h3][4];
+     517             :         s1_bank_req_1h_1 = _RANDOM[2'h3][5];
+     518             :         s1_bank_req_1h_2 = _RANDOM[2'h3][6];
+     519             :         s1_bank_req_1h_3 = _RANDOM[2'h3][7];
+     520             :         s1_bank_has_write_on_this_req_0 = _RANDOM[2'h3][8];
+     521             :         s1_bank_has_write_on_this_req_1 = _RANDOM[2'h3][9];
+     522             :         s1_bank_has_write_on_this_req_2 = _RANDOM[2'h3][10];
+     523             :         s1_bank_has_write_on_this_req_3 = _RANDOM[2'h3][11];
+     524             :         powerOnResetState = _RANDOM[2'h3][12];
+     525             :       `endif // RANDOMIZE_REG_INIT
+     526          17 :       if (reset)
+     527          12 :         powerOnResetState = 1'h1;
+     528             :     end // initial
+     529             :     `ifdef FIRRTL_AFTER_INITIAL
+     530             :       `FIRRTL_AFTER_INITIAL
+     531             :     `endif // FIRRTL_AFTER_INITIAL
+     532             :   `endif // ENABLE_INITIAL_REG_
+     533             :   FoldedSRAMTemplate us (
+     534             :     .clock                 (clock),
+     535             :     .reset                 (reset),
+     536             :     .io_r_req_ready        (_us_io_r_req_ready),
+     537             :     .io_r_req_valid        (_s1_bank_req_1h_T),
+     538             :     .io_r_req_bits_setIdx  (s0_idx),
+     539             :     .io_r_resp_data_0      (_us_io_r_resp_data_0),
+     540             :     .io_r_resp_data_1      (_us_io_r_resp_data_1),
+     541             :     .io_w_req_valid
+     542             :       (_us_extra_reset_T_1 & (io_update_uMask_0 | io_update_uMask_1)),
+     543             :     .io_w_req_bits_setIdx  (update_idx),
+     544             :     .io_w_req_bits_data_0
+     545             :       (~(io_update_pc[1]) & io_update_us_0 | io_update_pc[1] & io_update_us_1),
+     546             :     .io_w_req_bits_data_1
+     547             :       (io_update_pc[1] & io_update_us_0 | ~(io_update_pc[1]) & io_update_us_1),
+     548             :     .io_w_req_bits_waymask
+     549             :       ({io_update_pc[1] & io_update_uMask_0 | ~(io_update_pc[1]) & io_update_uMask_1,
+     550             :         ~(io_update_pc[1]) & io_update_uMask_0 | io_update_pc[1] & io_update_uMask_1}),
+     551             :     .extra_reset
+     552             :       ((io_update_reset_u_0 | io_update_reset_u_1) & _us_extra_reset_T_1)
+     553             :   );
+     554             :   FoldedSRAMTemplate_1 table_banks_0 (
+     555             :     .clock                    (clock),
+     556             :     .reset                    (reset),
+     557             :     .io_r_req_ready           (_table_banks_0_io_r_req_ready),
+     558             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_0),
+     559             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     560             :     .io_r_resp_data_0_valid   (_table_banks_0_io_r_resp_data_0_valid),
+     561             :     .io_r_resp_data_0_tag     (_table_banks_0_io_r_resp_data_0_tag),
+     562             :     .io_r_resp_data_0_ctr     (_table_banks_0_io_r_resp_data_0_ctr),
+     563             :     .io_r_resp_data_1_valid   (_table_banks_0_io_r_resp_data_1_valid),
+     564             :     .io_r_resp_data_1_tag     (_table_banks_0_io_r_resp_data_1_tag),
+     565             :     .io_r_resp_data_1_ctr     (_table_banks_0_io_r_resp_data_1_ctr),
+     566             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_0),
+     567             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     568             :     .io_w_req_bits_data_0_tag (update_tag),
+     569             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_0_0_ctr),
+     570             :     .io_w_req_bits_data_1_tag (update_tag),
+     571             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_0_1_ctr),
+     572             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_0)
+     573             :   );
+     574             :   FoldedSRAMTemplate_1 table_banks_1 (
+     575             :     .clock                    (clock),
+     576             :     .reset                    (reset),
+     577             :     .io_r_req_ready           (_table_banks_1_io_r_req_ready),
+     578             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_1),
+     579             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     580             :     .io_r_resp_data_0_valid   (_table_banks_1_io_r_resp_data_0_valid),
+     581             :     .io_r_resp_data_0_tag     (_table_banks_1_io_r_resp_data_0_tag),
+     582             :     .io_r_resp_data_0_ctr     (_table_banks_1_io_r_resp_data_0_ctr),
+     583             :     .io_r_resp_data_1_valid   (_table_banks_1_io_r_resp_data_1_valid),
+     584             :     .io_r_resp_data_1_tag     (_table_banks_1_io_r_resp_data_1_tag),
+     585             :     .io_r_resp_data_1_ctr     (_table_banks_1_io_r_resp_data_1_ctr),
+     586             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_1),
+     587             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     588             :     .io_w_req_bits_data_0_tag (update_tag),
+     589             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_1_0_ctr),
+     590             :     .io_w_req_bits_data_1_tag (update_tag),
+     591             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_1_1_ctr),
+     592             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_1)
+     593             :   );
+     594             :   FoldedSRAMTemplate_1 table_banks_2 (
+     595             :     .clock                    (clock),
+     596             :     .reset                    (reset),
+     597             :     .io_r_req_ready           (_table_banks_2_io_r_req_ready),
+     598             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_2),
+     599             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     600             :     .io_r_resp_data_0_valid   (_table_banks_2_io_r_resp_data_0_valid),
+     601             :     .io_r_resp_data_0_tag     (_table_banks_2_io_r_resp_data_0_tag),
+     602             :     .io_r_resp_data_0_ctr     (_table_banks_2_io_r_resp_data_0_ctr),
+     603             :     .io_r_resp_data_1_valid   (_table_banks_2_io_r_resp_data_1_valid),
+     604             :     .io_r_resp_data_1_tag     (_table_banks_2_io_r_resp_data_1_tag),
+     605             :     .io_r_resp_data_1_ctr     (_table_banks_2_io_r_resp_data_1_ctr),
+     606             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_2),
+     607             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     608             :     .io_w_req_bits_data_0_tag (update_tag),
+     609             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_2_0_ctr),
+     610             :     .io_w_req_bits_data_1_tag (update_tag),
+     611             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_2_1_ctr),
+     612             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_2)
+     613             :   );
+     614             :   FoldedSRAMTemplate_1 table_banks_3 (
+     615             :     .clock                    (clock),
+     616             :     .reset                    (reset),
+     617             :     .io_r_req_ready           (_table_banks_3_io_r_req_ready),
+     618             :     .io_r_req_valid           (_s1_bank_req_1h_T & (&(s0_idx[1:0]))),
+     619             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     620             :     .io_r_resp_data_0_valid   (_table_banks_3_io_r_resp_data_0_valid),
+     621             :     .io_r_resp_data_0_tag     (_table_banks_3_io_r_resp_data_0_tag),
+     622             :     .io_r_resp_data_0_ctr     (_table_banks_3_io_r_resp_data_0_ctr),
+     623             :     .io_r_resp_data_1_valid   (_table_banks_3_io_r_resp_data_1_valid),
+     624             :     .io_r_resp_data_1_tag     (_table_banks_3_io_r_resp_data_1_tag),
+     625             :     .io_r_resp_data_1_ctr     (_table_banks_3_io_r_resp_data_1_ctr),
+     626             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_3),
+     627             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     628             :     .io_w_req_bits_data_0_tag (update_tag),
+     629             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_3_0_ctr),
+     630             :     .io_w_req_bits_data_1_tag (update_tag),
+     631             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_3_1_ctr),
+     632             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_3)
+     633             :   );
+     634             :   WrBypass bank_wrbypasses_0_0 (
+     635             :     .clock               (clock),
+     636             :     .reset               (reset),
+     637             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_0),
+     638             :     .io_write_idx        (update_idx[10:2]),
+     639             :     .io_write_data_0
+     640             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_0_ctr)
+     641             :        | (io_update_pc[1] ? per_bank_update_wdata_0_1_ctr : 3'h0)),
+     642             :     .io_hit              (_bank_wrbypasses_0_0_io_hit),
+     643             :     .io_hit_data_0_valid (_bank_wrbypasses_0_0_io_hit_data_0_valid),
+     644             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_0_io_hit_data_0_bits)
+     645             :   );
+     646             :   WrBypass bank_wrbypasses_0_1 (
+     647             :     .clock               (clock),
+     648             :     .reset               (reset),
+     649             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_0),
+     650             :     .io_write_idx        (update_idx[10:2]),
+     651             :     .io_write_data_0
+     652             :       ((io_update_pc[1] ? per_bank_update_wdata_0_0_ctr : 3'h0)
+     653             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_1_ctr)),
+     654             :     .io_hit              (_bank_wrbypasses_0_1_io_hit),
+     655             :     .io_hit_data_0_valid (_bank_wrbypasses_0_1_io_hit_data_0_valid),
+     656             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_1_io_hit_data_0_bits)
+     657             :   );
+     658             :   WrBypass bank_wrbypasses_1_0 (
+     659             :     .clock               (clock),
+     660             :     .reset               (reset),
+     661             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_1),
+     662             :     .io_write_idx        (update_idx[10:2]),
+     663             :     .io_write_data_0
+     664             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_0_ctr)
+     665             :        | (io_update_pc[1] ? per_bank_update_wdata_1_1_ctr : 3'h0)),
+     666             :     .io_hit              (_bank_wrbypasses_1_0_io_hit),
+     667             :     .io_hit_data_0_valid (_bank_wrbypasses_1_0_io_hit_data_0_valid),
+     668             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_0_io_hit_data_0_bits)
+     669             :   );
+     670             :   WrBypass bank_wrbypasses_1_1 (
+     671             :     .clock               (clock),
+     672             :     .reset               (reset),
+     673             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_1),
+     674             :     .io_write_idx        (update_idx[10:2]),
+     675             :     .io_write_data_0
+     676             :       ((io_update_pc[1] ? per_bank_update_wdata_1_0_ctr : 3'h0)
+     677             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_1_ctr)),
+     678             :     .io_hit              (_bank_wrbypasses_1_1_io_hit),
+     679             :     .io_hit_data_0_valid (_bank_wrbypasses_1_1_io_hit_data_0_valid),
+     680             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_1_io_hit_data_0_bits)
+     681             :   );
+     682             :   WrBypass bank_wrbypasses_2_0 (
+     683             :     .clock               (clock),
+     684             :     .reset               (reset),
+     685             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_2),
+     686             :     .io_write_idx        (update_idx[10:2]),
+     687             :     .io_write_data_0
+     688             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_0_ctr)
+     689             :        | (io_update_pc[1] ? per_bank_update_wdata_2_1_ctr : 3'h0)),
+     690             :     .io_hit              (_bank_wrbypasses_2_0_io_hit),
+     691             :     .io_hit_data_0_valid (_bank_wrbypasses_2_0_io_hit_data_0_valid),
+     692             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_0_io_hit_data_0_bits)
+     693             :   );
+     694             :   WrBypass bank_wrbypasses_2_1 (
+     695             :     .clock               (clock),
+     696             :     .reset               (reset),
+     697             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_2),
+     698             :     .io_write_idx        (update_idx[10:2]),
+     699             :     .io_write_data_0
+     700             :       ((io_update_pc[1] ? per_bank_update_wdata_2_0_ctr : 3'h0)
+     701             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_1_ctr)),
+     702             :     .io_hit              (_bank_wrbypasses_2_1_io_hit),
+     703             :     .io_hit_data_0_valid (_bank_wrbypasses_2_1_io_hit_data_0_valid),
+     704             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_1_io_hit_data_0_bits)
+     705             :   );
+     706             :   WrBypass bank_wrbypasses_3_0 (
+     707             :     .clock               (clock),
+     708             :     .reset               (reset),
+     709             :     .io_wen              (io_update_mask_0 & (&(update_idx[1:0]))),
+     710             :     .io_write_idx        (update_idx[10:2]),
+     711             :     .io_write_data_0
+     712             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_0_ctr)
+     713             :        | (io_update_pc[1] ? per_bank_update_wdata_3_1_ctr : 3'h0)),
+     714             :     .io_hit              (_bank_wrbypasses_3_0_io_hit),
+     715             :     .io_hit_data_0_valid (_bank_wrbypasses_3_0_io_hit_data_0_valid),
+     716             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_0_io_hit_data_0_bits)
+     717             :   );
+     718             :   WrBypass bank_wrbypasses_3_1 (
+     719             :     .clock               (clock),
+     720             :     .reset               (reset),
+     721             :     .io_wen              (io_update_mask_1 & (&(update_idx[1:0]))),
+     722             :     .io_write_idx        (update_idx[10:2]),
+     723             :     .io_write_data_0
+     724             :       ((io_update_pc[1] ? per_bank_update_wdata_3_0_ctr : 3'h0)
+     725             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_1_ctr)),
+     726             :     .io_hit              (_bank_wrbypasses_3_1_io_hit),
+     727             :     .io_hit_data_0_valid (_bank_wrbypasses_3_1_io_hit_data_0_valid),
+     728             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_1_io_hit_data_0_bits)
+     729             :   );
+     730             :   assign io_req_ready = ~powerOnResetState;
+     731             :   assign io_resps_0_valid =
+     732             :     ~(s1_unhashed_idx[0]) & _hit_selected_T_6 | s1_unhashed_idx[0] & _hit_selected_T_13;
+     733             :   assign io_resps_0_bits_ctr =
+     734             :     (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_6)
+     735             :     | (s1_unhashed_idx[0] ? _resp_selected_T_27 : 3'h0);
+     736             :   assign io_resps_0_bits_u =
+     737             :     ~(s1_unhashed_idx[0]) & _us_io_r_resp_data_0 | s1_unhashed_idx[0]
+     738             :     & _us_io_r_resp_data_1;
+     739             :   assign io_resps_0_bits_unconf =
+     740             :     ~(s1_unhashed_idx[0]) & _unconf_selected_T_6 | s1_unhashed_idx[0]
+     741             :     & _unconf_selected_T_13;
+     742             :   assign io_resps_1_valid =
+     743             :     s1_unhashed_idx[0] & _hit_selected_T_6 | ~(s1_unhashed_idx[0]) & _hit_selected_T_13;
+     744             :   assign io_resps_1_bits_ctr =
+     745             :     (s1_unhashed_idx[0] ? _resp_selected_T_6 : 3'h0)
+     746             :     | (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_27);
+     747             :   assign io_resps_1_bits_u =
+     748             :     s1_unhashed_idx[0] & _us_io_r_resp_data_0 | ~(s1_unhashed_idx[0])
+     749             :     & _us_io_r_resp_data_1;
+     750             :   assign io_resps_1_bits_unconf =
+     751             :     s1_unhashed_idx[0] & _unconf_selected_T_6 | ~(s1_unhashed_idx[0])
+     752             :     & _unconf_selected_T_13;
+     753             : endmodule
+     754             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.func-sort-c.html new file mode 100644 index 0000000..5f817cb --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.func.html new file mode 100644 index 0000000..10c1c60 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.gcov.html new file mode 100644 index 0000000..90b4703 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_2.sv.gcov.html @@ -0,0 +1,830 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_2.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module TageTable_2(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          54 :   output        io_req_ready,
+      62          76 :   input         io_req_valid,
+      63       10224 :   input  [40:0] io_req_bits_pc,
+      64        1182 :   input  [10:0] io_req_bits_folded_hist_hist_17_folded_hist,
+      65         844 :   input  [6:0]  io_req_bits_folded_hist_hist_9_folded_hist,
+      66         263 :   input  [7:0]  io_req_bits_folded_hist_hist_3_folded_hist,
+      67          17 :   output        io_resps_0_valid,
+      68          96 :   output [2:0]  io_resps_0_bits_ctr,
+      69          32 :   output        io_resps_0_bits_u,
+      70          30 :   output        io_resps_0_bits_unconf,
+      71          15 :   output        io_resps_1_valid,
+      72         103 :   output [2:0]  io_resps_1_bits_ctr,
+      73          33 :   output        io_resps_1_bits_u,
+      74          24 :   output        io_resps_1_bits_unconf,
+      75         623 :   input  [40:0] io_update_pc,
+      76         176 :   input  [10:0] io_update_folded_hist_hist_17_folded_hist,
+      77          99 :   input  [6:0]  io_update_folded_hist_hist_9_folded_hist,
+      78         134 :   input  [7:0]  io_update_folded_hist_hist_3_folded_hist,
+      79          29 :   input         io_update_mask_0,
+      80          30 :   input         io_update_mask_1,
+      81          16 :   input         io_update_takens_0,
+      82          15 :   input         io_update_takens_1,
+      83          10 :   input         io_update_alloc_0,
+      84          20 :   input         io_update_alloc_1,
+      85          45 :   input  [2:0]  io_update_oldCtrs_0,
+      86          45 :   input  [2:0]  io_update_oldCtrs_1,
+      87          19 :   input         io_update_uMask_0,
+      88          12 :   input         io_update_uMask_1,
+      89          18 :   input         io_update_us_0,
+      90          21 :   input         io_update_us_1,
+      91          26 :   input         io_update_reset_u_0,
+      92          33 :   input         io_update_reset_u_1
+      93             : );
+      94             : 
+      95          18 :   wire        per_bank_not_silent_update_3_1;
+      96          16 :   wire        per_bank_not_silent_update_3_0;
+      97          15 :   wire        per_bank_not_silent_update_2_1;
+      98           9 :   wire        per_bank_not_silent_update_2_0;
+      99          12 :   wire        per_bank_not_silent_update_1_1;
+     100          17 :   wire        per_bank_not_silent_update_1_0;
+     101          13 :   wire        per_bank_not_silent_update_0_1;
+     102           8 :   wire        per_bank_not_silent_update_0_0;
+     103          54 :   reg         powerOnResetState;
+     104             :   wire        _resp_invalid_by_write_T_6;
+     105             :   wire        _bank_wrbypasses_3_1_io_hit;
+     106             :   wire        _bank_wrbypasses_3_1_io_hit_data_0_valid;
+     107             :   wire [2:0]  _bank_wrbypasses_3_1_io_hit_data_0_bits;
+     108             :   wire        _bank_wrbypasses_3_0_io_hit;
+     109             :   wire        _bank_wrbypasses_3_0_io_hit_data_0_valid;
+     110             :   wire [2:0]  _bank_wrbypasses_3_0_io_hit_data_0_bits;
+     111             :   wire        _bank_wrbypasses_2_1_io_hit;
+     112             :   wire        _bank_wrbypasses_2_1_io_hit_data_0_valid;
+     113             :   wire [2:0]  _bank_wrbypasses_2_1_io_hit_data_0_bits;
+     114             :   wire        _bank_wrbypasses_2_0_io_hit;
+     115             :   wire        _bank_wrbypasses_2_0_io_hit_data_0_valid;
+     116             :   wire [2:0]  _bank_wrbypasses_2_0_io_hit_data_0_bits;
+     117             :   wire        _bank_wrbypasses_1_1_io_hit;
+     118             :   wire        _bank_wrbypasses_1_1_io_hit_data_0_valid;
+     119             :   wire [2:0]  _bank_wrbypasses_1_1_io_hit_data_0_bits;
+     120             :   wire        _bank_wrbypasses_1_0_io_hit;
+     121             :   wire        _bank_wrbypasses_1_0_io_hit_data_0_valid;
+     122             :   wire [2:0]  _bank_wrbypasses_1_0_io_hit_data_0_bits;
+     123             :   wire        _bank_wrbypasses_0_1_io_hit;
+     124             :   wire        _bank_wrbypasses_0_1_io_hit_data_0_valid;
+     125             :   wire [2:0]  _bank_wrbypasses_0_1_io_hit_data_0_bits;
+     126             :   wire        _bank_wrbypasses_0_0_io_hit;
+     127             :   wire        _bank_wrbypasses_0_0_io_hit_data_0_valid;
+     128             :   wire [2:0]  _bank_wrbypasses_0_0_io_hit_data_0_bits;
+     129             :   wire        _table_banks_3_io_r_req_ready;
+     130             :   wire        _table_banks_3_io_r_resp_data_0_valid;
+     131             :   wire [7:0]  _table_banks_3_io_r_resp_data_0_tag;
+     132             :   wire [2:0]  _table_banks_3_io_r_resp_data_0_ctr;
+     133             :   wire        _table_banks_3_io_r_resp_data_1_valid;
+     134             :   wire [7:0]  _table_banks_3_io_r_resp_data_1_tag;
+     135             :   wire [2:0]  _table_banks_3_io_r_resp_data_1_ctr;
+     136             :   wire        _table_banks_2_io_r_req_ready;
+     137             :   wire        _table_banks_2_io_r_resp_data_0_valid;
+     138             :   wire [7:0]  _table_banks_2_io_r_resp_data_0_tag;
+     139             :   wire [2:0]  _table_banks_2_io_r_resp_data_0_ctr;
+     140             :   wire        _table_banks_2_io_r_resp_data_1_valid;
+     141             :   wire [7:0]  _table_banks_2_io_r_resp_data_1_tag;
+     142             :   wire [2:0]  _table_banks_2_io_r_resp_data_1_ctr;
+     143             :   wire        _table_banks_1_io_r_req_ready;
+     144             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+     145             :   wire [7:0]  _table_banks_1_io_r_resp_data_0_tag;
+     146             :   wire [2:0]  _table_banks_1_io_r_resp_data_0_ctr;
+     147             :   wire        _table_banks_1_io_r_resp_data_1_valid;
+     148             :   wire [7:0]  _table_banks_1_io_r_resp_data_1_tag;
+     149             :   wire [2:0]  _table_banks_1_io_r_resp_data_1_ctr;
+     150             :   wire        _table_banks_0_io_r_req_ready;
+     151             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+     152             :   wire [7:0]  _table_banks_0_io_r_resp_data_0_tag;
+     153             :   wire [2:0]  _table_banks_0_io_r_resp_data_0_ctr;
+     154             :   wire        _table_banks_0_io_r_resp_data_1_valid;
+     155             :   wire [7:0]  _table_banks_0_io_r_resp_data_1_tag;
+     156             :   wire [2:0]  _table_banks_0_io_r_resp_data_1_ctr;
+     157             :   wire        _us_io_r_req_ready;
+     158             :   wire        _us_io_r_resp_data_0;
+     159             :   wire        _us_io_r_resp_data_1;
+     160             :   wire        _us_extra_reset_T_1 = io_update_mask_0 | io_update_mask_1;
+     161        8862 :   wire [10:0] s0_idx = io_req_bits_pc[11:1] ^ io_req_bits_folded_hist_hist_17_folded_hist;
+     162          68 :   wire        s0_bank_req_1h_0 = s0_idx[1:0] == 2'h0;
+     163          62 :   wire        s0_bank_req_1h_1 = s0_idx[1:0] == 2'h1;
+     164          58 :   wire        s0_bank_req_1h_2 = s0_idx[1:0] == 2'h2;
+     165             :   wire        _s1_bank_req_1h_T = ~powerOnResetState & io_req_valid;
+     166        9335 :   reg  [39:0] s1_unhashed_idx;
+     167        7999 :   reg  [7:0]  s1_tag;
+     168          36 :   reg         s1_bank_req_1h_0;
+     169          44 :   reg         s1_bank_req_1h_1;
+     170          37 :   reg         s1_bank_req_1h_2;
+     171          34 :   reg         s1_bank_req_1h_3;
+     172          29 :   reg         s1_bank_has_write_on_this_req_0;
+     173          36 :   reg         s1_bank_has_write_on_this_req_1;
+     174          25 :   reg         s1_bank_has_write_on_this_req_2;
+     175          31 :   reg         s1_bank_has_write_on_this_req_3;
+     176             :   wire [2:0]  _resp_selected_T_6 =
+     177             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 3'h0)
+     178             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 3'h0)
+     179             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_0_ctr : 3'h0)
+     180             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_0_ctr : 3'h0);
+     181             :   wire [2:0]  _resp_selected_T_27 =
+     182             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_1_ctr : 3'h0)
+     183             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_1_ctr : 3'h0)
+     184             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_1_ctr : 3'h0)
+     185             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_1_ctr : 3'h0);
+     186             :   wire        _unconf_selected_T_6 =
+     187             :     s1_bank_req_1h_0
+     188             :     & (_table_banks_0_io_r_resp_data_0_ctr == 3'h4
+     189             :        | _table_banks_0_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_1
+     190             :     & (_table_banks_1_io_r_resp_data_0_ctr == 3'h4
+     191             :        | _table_banks_1_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_2
+     192             :     & (_table_banks_2_io_r_resp_data_0_ctr == 3'h4
+     193             :        | _table_banks_2_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_3
+     194             :     & (_table_banks_3_io_r_resp_data_0_ctr == 3'h4
+     195             :        | _table_banks_3_io_r_resp_data_0_ctr == 3'h3);
+     196             :   wire        _unconf_selected_T_13 =
+     197             :     s1_bank_req_1h_0
+     198             :     & (_table_banks_0_io_r_resp_data_1_ctr == 3'h4
+     199             :        | _table_banks_0_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_1
+     200             :     & (_table_banks_1_io_r_resp_data_1_ctr == 3'h4
+     201             :        | _table_banks_1_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_2
+     202             :     & (_table_banks_2_io_r_resp_data_1_ctr == 3'h4
+     203             :        | _table_banks_2_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_3
+     204             :     & (_table_banks_3_io_r_resp_data_1_ctr == 3'h4
+     205             :        | _table_banks_3_io_r_resp_data_1_ctr == 3'h3);
+     206             :   wire        _hit_selected_T_6 =
+     207             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_tag == s1_tag
+     208             :     & _table_banks_0_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     209             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_0_tag == s1_tag
+     210             :     & _table_banks_1_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     211             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_0_tag == s1_tag
+     212             :     & _table_banks_2_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     213             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_0_tag == s1_tag
+     214             :     & _table_banks_3_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6;
+     215             :   wire        _hit_selected_T_13 =
+     216             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_1_tag == s1_tag
+     217             :     & _table_banks_0_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     218             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_1_tag == s1_tag
+     219             :     & _table_banks_1_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     220             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_1_tag == s1_tag
+     221             :     & _table_banks_2_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     222             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_1_tag == s1_tag
+     223             :     & _table_banks_3_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6;
+     224             :   assign _resp_invalid_by_write_T_6 =
+     225             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     226             :     & s1_bank_has_write_on_this_req_1 | s1_bank_req_1h_2 & s1_bank_has_write_on_this_req_2
+     227             :     | s1_bank_req_1h_3 & s1_bank_has_write_on_this_req_3;
+     228         173 :   wire [10:0] update_idx = io_update_pc[11:1] ^ io_update_folded_hist_hist_17_folded_hist;
+     229         130 :   wire [7:0]  update_tag =
+     230             :     io_update_pc[8:1] ^ io_update_folded_hist_hist_3_folded_hist
+     231             :     ^ {io_update_folded_hist_hist_9_folded_hist, 1'h0};
+     232          18 :   wire        update_req_bank_1h_0 = update_idx[1:0] == 2'h0;
+     233          19 :   wire        update_req_bank_1h_1 = update_idx[1:0] == 2'h1;
+     234          17 :   wire        update_req_bank_1h_2 = update_idx[1:0] == 2'h2;
+     235          57 :   wire [1:0]  per_bank_update_way_mask_0 =
+     236             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     237             :        & per_bank_not_silent_update_0_1,
+     238             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     239             :        & per_bank_not_silent_update_0_0};
+     240          57 :   wire [1:0]  per_bank_update_way_mask_1 =
+     241             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     242             :        & per_bank_not_silent_update_1_1,
+     243             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     244             :        & per_bank_not_silent_update_1_0};
+     245          62 :   wire [1:0]  per_bank_update_way_mask_2 =
+     246             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     247             :        & per_bank_not_silent_update_2_1,
+     248             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     249             :        & per_bank_not_silent_update_2_0};
+     250          68 :   wire [1:0]  per_bank_update_way_mask_3 =
+     251             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     252             :        & per_bank_not_silent_update_3_1,
+     253             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     254             :        & per_bank_not_silent_update_3_0};
+     255             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 =
+     256             :     (|per_bank_update_way_mask_0) & update_req_bank_1h_0;
+     257             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 =
+     258             :     (|per_bank_update_way_mask_1) & update_req_bank_1h_1;
+     259             :   wire        _s1_bank_has_write_on_this_req_WIRE_2 =
+     260             :     (|per_bank_update_way_mask_2) & update_req_bank_1h_2;
+     261             :   wire        _s1_bank_has_write_on_this_req_WIRE_3 =
+     262             :     (|per_bank_update_way_mask_3) & (&(update_idx[1:0]));
+     263             :   wire [2:0]  _wrbypass_io_T_6 =
+     264             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_0_io_hit_data_0_bits)
+     265             :     | (io_update_pc[1] ? _bank_wrbypasses_0_1_io_hit_data_0_bits : 3'h0);
+     266          14 :   wire        wrbypass_data_valid =
+     267             :     (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit | io_update_pc[1]
+     268             :      & _bank_wrbypasses_0_1_io_hit)
+     269             :     & (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit_data_0_valid | io_update_pc[1]
+     270             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     271             :   wire        _GEN = io_update_pc[1] ? io_update_takens_1 : io_update_takens_0;
+     272             :   wire [2:0]  _GEN_0 = io_update_pc[1] ? io_update_oldCtrs_1 : io_update_oldCtrs_0;
+     273             :   wire        _GEN_1 = (|_GEN_0) | _GEN;
+     274             :   wire        _GEN_2 = io_update_pc[1] ? io_update_alloc_1 : io_update_alloc_0;
+     275          57 :   wire [2:0]  per_bank_update_wdata_0_0_ctr =
+     276             :     _GEN_2
+     277             :       ? (_GEN ? 3'h4 : 3'h3)
+     278             :       : wrbypass_data_valid
+     279             :           ? ((&_wrbypass_io_T_6) & _GEN
+     280             :                ? 3'h7
+     281             :                : _wrbypass_io_T_6 == 3'h0 & ~_GEN
+     282             :                    ? 3'h0
+     283             :                    : _GEN ? 3'(_wrbypass_io_T_6 + 3'h1) : 3'(_wrbypass_io_T_6 - 3'h1))
+     284             :           : (&_GEN_0) & _GEN
+     285             :               ? 3'h7
+     286             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     287             :   assign per_bank_not_silent_update_0_0 =
+     288             :     (wrbypass_data_valid
+     289             :        ? ~((&_wrbypass_io_T_6) & _GEN | _wrbypass_io_T_6 == 3'h0 & ~_GEN)
+     290             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     291             :   wire [2:0]  _wrbypass_io_T_28 =
+     292             :     (io_update_pc[1] ? _bank_wrbypasses_0_0_io_hit_data_0_bits : 3'h0)
+     293             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_1_io_hit_data_0_bits);
+     294          21 :   wire        wrbypass_data_valid_1 =
+     295             :     (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit | ~(io_update_pc[1])
+     296             :      & _bank_wrbypasses_0_1_io_hit)
+     297             :     & (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     298             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     299             :   wire        _GEN_3 = io_update_pc[1] ? io_update_takens_0 : io_update_takens_1;
+     300             :   wire [2:0]  _GEN_4 = io_update_pc[1] ? io_update_oldCtrs_0 : io_update_oldCtrs_1;
+     301             :   wire        _GEN_5 = io_update_pc[1] ? io_update_alloc_0 : io_update_alloc_1;
+     302          51 :   wire [2:0]  per_bank_update_wdata_0_1_ctr =
+     303             :     _GEN_5
+     304             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     305             :       : wrbypass_data_valid_1
+     306             :           ? ((&_wrbypass_io_T_28) & _GEN_3
+     307             :                ? 3'h7
+     308             :                : _wrbypass_io_T_28 == 3'h0 & ~_GEN_3
+     309             :                    ? 3'h0
+     310             :                    : _GEN_3 ? 3'(_wrbypass_io_T_28 + 3'h1) : 3'(_wrbypass_io_T_28 - 3'h1))
+     311             :           : (&_GEN_4) & _GEN_3
+     312             :               ? 3'h7
+     313             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     314             :                   ? 3'h0
+     315             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     316             :   assign per_bank_not_silent_update_0_1 =
+     317             :     (wrbypass_data_valid_1
+     318             :        ? ~((&_wrbypass_io_T_28) & _GEN_3 | _wrbypass_io_T_28 == 3'h0 & ~_GEN_3)
+     319             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     320             :   wire [2:0]  _wrbypass_io_T_50 =
+     321             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_0_io_hit_data_0_bits)
+     322             :     | (io_update_pc[1] ? _bank_wrbypasses_1_1_io_hit_data_0_bits : 3'h0);
+     323          15 :   wire        wrbypass_data_valid_2 =
+     324             :     (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit | io_update_pc[1]
+     325             :      & _bank_wrbypasses_1_1_io_hit)
+     326             :     & (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit_data_0_valid | io_update_pc[1]
+     327             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     328          44 :   wire [2:0]  per_bank_update_wdata_1_0_ctr =
+     329             :     _GEN_2
+     330             :       ? (_GEN ? 3'h4 : 3'h3)
+     331             :       : wrbypass_data_valid_2
+     332             :           ? ((&_wrbypass_io_T_50) & _GEN
+     333             :                ? 3'h7
+     334             :                : _wrbypass_io_T_50 == 3'h0 & ~_GEN
+     335             :                    ? 3'h0
+     336             :                    : _GEN ? 3'(_wrbypass_io_T_50 + 3'h1) : 3'(_wrbypass_io_T_50 - 3'h1))
+     337             :           : (&_GEN_0) & _GEN
+     338             :               ? 3'h7
+     339             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     340             :   assign per_bank_not_silent_update_1_0 =
+     341             :     (wrbypass_data_valid_2
+     342             :        ? ~((&_wrbypass_io_T_50) & _GEN | _wrbypass_io_T_50 == 3'h0 & ~_GEN)
+     343             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     344             :   wire [2:0]  _wrbypass_io_T_72 =
+     345             :     (io_update_pc[1] ? _bank_wrbypasses_1_0_io_hit_data_0_bits : 3'h0)
+     346             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_1_io_hit_data_0_bits);
+     347          17 :   wire        wrbypass_data_valid_3 =
+     348             :     (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit | ~(io_update_pc[1])
+     349             :      & _bank_wrbypasses_1_1_io_hit)
+     350             :     & (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     351             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     352          45 :   wire [2:0]  per_bank_update_wdata_1_1_ctr =
+     353             :     _GEN_5
+     354             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     355             :       : wrbypass_data_valid_3
+     356             :           ? ((&_wrbypass_io_T_72) & _GEN_3
+     357             :                ? 3'h7
+     358             :                : _wrbypass_io_T_72 == 3'h0 & ~_GEN_3
+     359             :                    ? 3'h0
+     360             :                    : _GEN_3 ? 3'(_wrbypass_io_T_72 + 3'h1) : 3'(_wrbypass_io_T_72 - 3'h1))
+     361             :           : (&_GEN_4) & _GEN_3
+     362             :               ? 3'h7
+     363             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     364             :                   ? 3'h0
+     365             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     366             :   assign per_bank_not_silent_update_1_1 =
+     367             :     (wrbypass_data_valid_3
+     368             :        ? ~((&_wrbypass_io_T_72) & _GEN_3 | _wrbypass_io_T_72 == 3'h0 & ~_GEN_3)
+     369             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     370             :   wire [2:0]  _wrbypass_io_T_94 =
+     371             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_0_io_hit_data_0_bits)
+     372             :     | (io_update_pc[1] ? _bank_wrbypasses_2_1_io_hit_data_0_bits : 3'h0);
+     373          18 :   wire        wrbypass_data_valid_4 =
+     374             :     (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit | io_update_pc[1]
+     375             :      & _bank_wrbypasses_2_1_io_hit)
+     376             :     & (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit_data_0_valid | io_update_pc[1]
+     377             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     378          47 :   wire [2:0]  per_bank_update_wdata_2_0_ctr =
+     379             :     _GEN_2
+     380             :       ? (_GEN ? 3'h4 : 3'h3)
+     381             :       : wrbypass_data_valid_4
+     382             :           ? ((&_wrbypass_io_T_94) & _GEN
+     383             :                ? 3'h7
+     384             :                : _wrbypass_io_T_94 == 3'h0 & ~_GEN
+     385             :                    ? 3'h0
+     386             :                    : _GEN ? 3'(_wrbypass_io_T_94 + 3'h1) : 3'(_wrbypass_io_T_94 - 3'h1))
+     387             :           : (&_GEN_0) & _GEN
+     388             :               ? 3'h7
+     389             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     390             :   assign per_bank_not_silent_update_2_0 =
+     391             :     (wrbypass_data_valid_4
+     392             :        ? ~((&_wrbypass_io_T_94) & _GEN | _wrbypass_io_T_94 == 3'h0 & ~_GEN)
+     393             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     394             :   wire [2:0]  _wrbypass_io_T_116 =
+     395             :     (io_update_pc[1] ? _bank_wrbypasses_2_0_io_hit_data_0_bits : 3'h0)
+     396             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_1_io_hit_data_0_bits);
+     397          15 :   wire        wrbypass_data_valid_5 =
+     398             :     (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit | ~(io_update_pc[1])
+     399             :      & _bank_wrbypasses_2_1_io_hit)
+     400             :     & (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     401             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     402          43 :   wire [2:0]  per_bank_update_wdata_2_1_ctr =
+     403             :     _GEN_5
+     404             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     405             :       : wrbypass_data_valid_5
+     406             :           ? ((&_wrbypass_io_T_116) & _GEN_3
+     407             :                ? 3'h7
+     408             :                : _wrbypass_io_T_116 == 3'h0 & ~_GEN_3
+     409             :                    ? 3'h0
+     410             :                    : _GEN_3
+     411             :                        ? 3'(_wrbypass_io_T_116 + 3'h1)
+     412             :                        : 3'(_wrbypass_io_T_116 - 3'h1))
+     413             :           : (&_GEN_4) & _GEN_3
+     414             :               ? 3'h7
+     415             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     416             :                   ? 3'h0
+     417             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     418             :   assign per_bank_not_silent_update_2_1 =
+     419             :     (wrbypass_data_valid_5
+     420             :        ? ~((&_wrbypass_io_T_116) & _GEN_3 | _wrbypass_io_T_116 == 3'h0 & ~_GEN_3)
+     421             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     422             :   wire [2:0]  _wrbypass_io_T_138 =
+     423             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_0_io_hit_data_0_bits)
+     424             :     | (io_update_pc[1] ? _bank_wrbypasses_3_1_io_hit_data_0_bits : 3'h0);
+     425          18 :   wire        wrbypass_data_valid_6 =
+     426             :     (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit | io_update_pc[1]
+     427             :      & _bank_wrbypasses_3_1_io_hit)
+     428             :     & (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit_data_0_valid | io_update_pc[1]
+     429             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     430          57 :   wire [2:0]  per_bank_update_wdata_3_0_ctr =
+     431             :     _GEN_2
+     432             :       ? (_GEN ? 3'h4 : 3'h3)
+     433             :       : wrbypass_data_valid_6
+     434             :           ? ((&_wrbypass_io_T_138) & _GEN
+     435             :                ? 3'h7
+     436             :                : _wrbypass_io_T_138 == 3'h0 & ~_GEN
+     437             :                    ? 3'h0
+     438             :                    : _GEN ? 3'(_wrbypass_io_T_138 + 3'h1) : 3'(_wrbypass_io_T_138 - 3'h1))
+     439             :           : (&_GEN_0) & _GEN
+     440             :               ? 3'h7
+     441             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     442             :   assign per_bank_not_silent_update_3_0 =
+     443             :     (wrbypass_data_valid_6
+     444             :        ? ~((&_wrbypass_io_T_138) & _GEN | _wrbypass_io_T_138 == 3'h0 & ~_GEN)
+     445             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     446             :   wire [2:0]  _wrbypass_io_T_160 =
+     447             :     (io_update_pc[1] ? _bank_wrbypasses_3_0_io_hit_data_0_bits : 3'h0)
+     448             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_1_io_hit_data_0_bits);
+     449          22 :   wire        wrbypass_data_valid_7 =
+     450             :     (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit | ~(io_update_pc[1])
+     451             :      & _bank_wrbypasses_3_1_io_hit)
+     452             :     & (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     453             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     454          50 :   wire [2:0]  per_bank_update_wdata_3_1_ctr =
+     455             :     _GEN_5
+     456             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     457             :       : wrbypass_data_valid_7
+     458             :           ? ((&_wrbypass_io_T_160) & _GEN_3
+     459             :                ? 3'h7
+     460             :                : _wrbypass_io_T_160 == 3'h0 & ~_GEN_3
+     461             :                    ? 3'h0
+     462             :                    : _GEN_3
+     463             :                        ? 3'(_wrbypass_io_T_160 + 3'h1)
+     464             :                        : 3'(_wrbypass_io_T_160 - 3'h1))
+     465             :           : (&_GEN_4) & _GEN_3
+     466             :               ? 3'h7
+     467             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     468             :                   ? 3'h0
+     469             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     470             :   assign per_bank_not_silent_update_3_1 =
+     471             :     (wrbypass_data_valid_7
+     472             :        ? ~((&_wrbypass_io_T_160) & _GEN_3 | _wrbypass_io_T_160 == 3'h0 & ~_GEN_3)
+     473             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     474      127694 :   always @(posedge clock) begin
+     475        8350 :     if (_s1_bank_req_1h_T) begin
+     476        4175 :       s1_unhashed_idx <= io_req_bits_pc[40:1];
+     477        4175 :       s1_tag <=
+     478        4175 :         io_req_bits_pc[8:1] ^ io_req_bits_folded_hist_hist_3_folded_hist
+     479        4175 :         ^ {io_req_bits_folded_hist_hist_9_folded_hist, 1'h0};
+     480        4175 :       s1_bank_req_1h_0 <= s0_bank_req_1h_0;
+     481        4175 :       s1_bank_req_1h_1 <= s0_bank_req_1h_1;
+     482        4175 :       s1_bank_req_1h_2 <= s0_bank_req_1h_2;
+     483        4175 :       s1_bank_req_1h_3 <= &(s0_idx[1:0]);
+     484             :     end
+     485        8350 :     if (io_req_valid) begin
+     486        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     487        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     488        4175 :       s1_bank_has_write_on_this_req_2 <= _s1_bank_has_write_on_this_req_WIRE_2;
+     489        4175 :       s1_bank_has_write_on_this_req_3 <= _s1_bank_has_write_on_this_req_WIRE_3;
+     490             :     end
+     491             :   end // always @(posedge)
+     492      127730 :   always @(posedge clock or posedge reset) begin
+     493         272 :     if (reset)
+     494         136 :       powerOnResetState <= 1'h1;
+     495             :     else
+     496       63729 :       powerOnResetState <=
+     497       63729 :         ~(_us_io_r_req_ready & _table_banks_0_io_r_req_ready
+     498       63729 :           & _table_banks_1_io_r_req_ready & _table_banks_2_io_r_req_ready
+     499       63729 :           & _table_banks_3_io_r_req_ready) & powerOnResetState;
+     500             :   end // always @(posedge, posedge)
+     501             :   `ifdef ENABLE_INITIAL_REG_
+     502             :     `ifdef FIRRTL_BEFORE_INITIAL
+     503             :       `FIRRTL_BEFORE_INITIAL
+     504             :     `endif // FIRRTL_BEFORE_INITIAL
+     505             :     logic [31:0] _RANDOM[0:3];
+     506          58 :     initial begin
+     507             :       `ifdef INIT_RANDOM_PROLOG_
+     508             :         `INIT_RANDOM_PROLOG_
+     509             :       `endif // INIT_RANDOM_PROLOG_
+     510             :       `ifdef RANDOMIZE_REG_INIT
+     511             :         for (logic [2:0] i = 3'h0; i < 3'h4; i += 3'h1) begin
+     512             :           _RANDOM[i[1:0]] = `RANDOM;
+     513             :         end
+     514             :         s1_unhashed_idx = {_RANDOM[2'h0], _RANDOM[2'h1][7:0]};
+     515             :         s1_tag = _RANDOM[2'h1][26:19];
+     516             :         s1_bank_req_1h_0 = _RANDOM[2'h3][4];
+     517             :         s1_bank_req_1h_1 = _RANDOM[2'h3][5];
+     518             :         s1_bank_req_1h_2 = _RANDOM[2'h3][6];
+     519             :         s1_bank_req_1h_3 = _RANDOM[2'h3][7];
+     520             :         s1_bank_has_write_on_this_req_0 = _RANDOM[2'h3][8];
+     521             :         s1_bank_has_write_on_this_req_1 = _RANDOM[2'h3][9];
+     522             :         s1_bank_has_write_on_this_req_2 = _RANDOM[2'h3][10];
+     523             :         s1_bank_has_write_on_this_req_3 = _RANDOM[2'h3][11];
+     524             :         powerOnResetState = _RANDOM[2'h3][12];
+     525             :       `endif // RANDOMIZE_REG_INIT
+     526          17 :       if (reset)
+     527          12 :         powerOnResetState = 1'h1;
+     528             :     end // initial
+     529             :     `ifdef FIRRTL_AFTER_INITIAL
+     530             :       `FIRRTL_AFTER_INITIAL
+     531             :     `endif // FIRRTL_AFTER_INITIAL
+     532             :   `endif // ENABLE_INITIAL_REG_
+     533             :   FoldedSRAMTemplate us (
+     534             :     .clock                 (clock),
+     535             :     .reset                 (reset),
+     536             :     .io_r_req_ready        (_us_io_r_req_ready),
+     537             :     .io_r_req_valid        (_s1_bank_req_1h_T),
+     538             :     .io_r_req_bits_setIdx  (s0_idx),
+     539             :     .io_r_resp_data_0      (_us_io_r_resp_data_0),
+     540             :     .io_r_resp_data_1      (_us_io_r_resp_data_1),
+     541             :     .io_w_req_valid
+     542             :       (_us_extra_reset_T_1 & (io_update_uMask_0 | io_update_uMask_1)),
+     543             :     .io_w_req_bits_setIdx  (update_idx),
+     544             :     .io_w_req_bits_data_0
+     545             :       (~(io_update_pc[1]) & io_update_us_0 | io_update_pc[1] & io_update_us_1),
+     546             :     .io_w_req_bits_data_1
+     547             :       (io_update_pc[1] & io_update_us_0 | ~(io_update_pc[1]) & io_update_us_1),
+     548             :     .io_w_req_bits_waymask
+     549             :       ({io_update_pc[1] & io_update_uMask_0 | ~(io_update_pc[1]) & io_update_uMask_1,
+     550             :         ~(io_update_pc[1]) & io_update_uMask_0 | io_update_pc[1] & io_update_uMask_1}),
+     551             :     .extra_reset
+     552             :       ((io_update_reset_u_0 | io_update_reset_u_1) & _us_extra_reset_T_1)
+     553             :   );
+     554             :   FoldedSRAMTemplate_1 table_banks_0 (
+     555             :     .clock                    (clock),
+     556             :     .reset                    (reset),
+     557             :     .io_r_req_ready           (_table_banks_0_io_r_req_ready),
+     558             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_0),
+     559             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     560             :     .io_r_resp_data_0_valid   (_table_banks_0_io_r_resp_data_0_valid),
+     561             :     .io_r_resp_data_0_tag     (_table_banks_0_io_r_resp_data_0_tag),
+     562             :     .io_r_resp_data_0_ctr     (_table_banks_0_io_r_resp_data_0_ctr),
+     563             :     .io_r_resp_data_1_valid   (_table_banks_0_io_r_resp_data_1_valid),
+     564             :     .io_r_resp_data_1_tag     (_table_banks_0_io_r_resp_data_1_tag),
+     565             :     .io_r_resp_data_1_ctr     (_table_banks_0_io_r_resp_data_1_ctr),
+     566             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_0),
+     567             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     568             :     .io_w_req_bits_data_0_tag (update_tag),
+     569             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_0_0_ctr),
+     570             :     .io_w_req_bits_data_1_tag (update_tag),
+     571             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_0_1_ctr),
+     572             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_0)
+     573             :   );
+     574             :   FoldedSRAMTemplate_1 table_banks_1 (
+     575             :     .clock                    (clock),
+     576             :     .reset                    (reset),
+     577             :     .io_r_req_ready           (_table_banks_1_io_r_req_ready),
+     578             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_1),
+     579             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     580             :     .io_r_resp_data_0_valid   (_table_banks_1_io_r_resp_data_0_valid),
+     581             :     .io_r_resp_data_0_tag     (_table_banks_1_io_r_resp_data_0_tag),
+     582             :     .io_r_resp_data_0_ctr     (_table_banks_1_io_r_resp_data_0_ctr),
+     583             :     .io_r_resp_data_1_valid   (_table_banks_1_io_r_resp_data_1_valid),
+     584             :     .io_r_resp_data_1_tag     (_table_banks_1_io_r_resp_data_1_tag),
+     585             :     .io_r_resp_data_1_ctr     (_table_banks_1_io_r_resp_data_1_ctr),
+     586             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_1),
+     587             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     588             :     .io_w_req_bits_data_0_tag (update_tag),
+     589             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_1_0_ctr),
+     590             :     .io_w_req_bits_data_1_tag (update_tag),
+     591             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_1_1_ctr),
+     592             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_1)
+     593             :   );
+     594             :   FoldedSRAMTemplate_1 table_banks_2 (
+     595             :     .clock                    (clock),
+     596             :     .reset                    (reset),
+     597             :     .io_r_req_ready           (_table_banks_2_io_r_req_ready),
+     598             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_2),
+     599             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     600             :     .io_r_resp_data_0_valid   (_table_banks_2_io_r_resp_data_0_valid),
+     601             :     .io_r_resp_data_0_tag     (_table_banks_2_io_r_resp_data_0_tag),
+     602             :     .io_r_resp_data_0_ctr     (_table_banks_2_io_r_resp_data_0_ctr),
+     603             :     .io_r_resp_data_1_valid   (_table_banks_2_io_r_resp_data_1_valid),
+     604             :     .io_r_resp_data_1_tag     (_table_banks_2_io_r_resp_data_1_tag),
+     605             :     .io_r_resp_data_1_ctr     (_table_banks_2_io_r_resp_data_1_ctr),
+     606             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_2),
+     607             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     608             :     .io_w_req_bits_data_0_tag (update_tag),
+     609             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_2_0_ctr),
+     610             :     .io_w_req_bits_data_1_tag (update_tag),
+     611             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_2_1_ctr),
+     612             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_2)
+     613             :   );
+     614             :   FoldedSRAMTemplate_1 table_banks_3 (
+     615             :     .clock                    (clock),
+     616             :     .reset                    (reset),
+     617             :     .io_r_req_ready           (_table_banks_3_io_r_req_ready),
+     618             :     .io_r_req_valid           (_s1_bank_req_1h_T & (&(s0_idx[1:0]))),
+     619             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     620             :     .io_r_resp_data_0_valid   (_table_banks_3_io_r_resp_data_0_valid),
+     621             :     .io_r_resp_data_0_tag     (_table_banks_3_io_r_resp_data_0_tag),
+     622             :     .io_r_resp_data_0_ctr     (_table_banks_3_io_r_resp_data_0_ctr),
+     623             :     .io_r_resp_data_1_valid   (_table_banks_3_io_r_resp_data_1_valid),
+     624             :     .io_r_resp_data_1_tag     (_table_banks_3_io_r_resp_data_1_tag),
+     625             :     .io_r_resp_data_1_ctr     (_table_banks_3_io_r_resp_data_1_ctr),
+     626             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_3),
+     627             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     628             :     .io_w_req_bits_data_0_tag (update_tag),
+     629             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_3_0_ctr),
+     630             :     .io_w_req_bits_data_1_tag (update_tag),
+     631             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_3_1_ctr),
+     632             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_3)
+     633             :   );
+     634             :   WrBypass bank_wrbypasses_0_0 (
+     635             :     .clock               (clock),
+     636             :     .reset               (reset),
+     637             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_0),
+     638             :     .io_write_idx        (update_idx[10:2]),
+     639             :     .io_write_data_0
+     640             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_0_ctr)
+     641             :        | (io_update_pc[1] ? per_bank_update_wdata_0_1_ctr : 3'h0)),
+     642             :     .io_hit              (_bank_wrbypasses_0_0_io_hit),
+     643             :     .io_hit_data_0_valid (_bank_wrbypasses_0_0_io_hit_data_0_valid),
+     644             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_0_io_hit_data_0_bits)
+     645             :   );
+     646             :   WrBypass bank_wrbypasses_0_1 (
+     647             :     .clock               (clock),
+     648             :     .reset               (reset),
+     649             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_0),
+     650             :     .io_write_idx        (update_idx[10:2]),
+     651             :     .io_write_data_0
+     652             :       ((io_update_pc[1] ? per_bank_update_wdata_0_0_ctr : 3'h0)
+     653             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_1_ctr)),
+     654             :     .io_hit              (_bank_wrbypasses_0_1_io_hit),
+     655             :     .io_hit_data_0_valid (_bank_wrbypasses_0_1_io_hit_data_0_valid),
+     656             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_1_io_hit_data_0_bits)
+     657             :   );
+     658             :   WrBypass bank_wrbypasses_1_0 (
+     659             :     .clock               (clock),
+     660             :     .reset               (reset),
+     661             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_1),
+     662             :     .io_write_idx        (update_idx[10:2]),
+     663             :     .io_write_data_0
+     664             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_0_ctr)
+     665             :        | (io_update_pc[1] ? per_bank_update_wdata_1_1_ctr : 3'h0)),
+     666             :     .io_hit              (_bank_wrbypasses_1_0_io_hit),
+     667             :     .io_hit_data_0_valid (_bank_wrbypasses_1_0_io_hit_data_0_valid),
+     668             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_0_io_hit_data_0_bits)
+     669             :   );
+     670             :   WrBypass bank_wrbypasses_1_1 (
+     671             :     .clock               (clock),
+     672             :     .reset               (reset),
+     673             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_1),
+     674             :     .io_write_idx        (update_idx[10:2]),
+     675             :     .io_write_data_0
+     676             :       ((io_update_pc[1] ? per_bank_update_wdata_1_0_ctr : 3'h0)
+     677             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_1_ctr)),
+     678             :     .io_hit              (_bank_wrbypasses_1_1_io_hit),
+     679             :     .io_hit_data_0_valid (_bank_wrbypasses_1_1_io_hit_data_0_valid),
+     680             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_1_io_hit_data_0_bits)
+     681             :   );
+     682             :   WrBypass bank_wrbypasses_2_0 (
+     683             :     .clock               (clock),
+     684             :     .reset               (reset),
+     685             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_2),
+     686             :     .io_write_idx        (update_idx[10:2]),
+     687             :     .io_write_data_0
+     688             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_0_ctr)
+     689             :        | (io_update_pc[1] ? per_bank_update_wdata_2_1_ctr : 3'h0)),
+     690             :     .io_hit              (_bank_wrbypasses_2_0_io_hit),
+     691             :     .io_hit_data_0_valid (_bank_wrbypasses_2_0_io_hit_data_0_valid),
+     692             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_0_io_hit_data_0_bits)
+     693             :   );
+     694             :   WrBypass bank_wrbypasses_2_1 (
+     695             :     .clock               (clock),
+     696             :     .reset               (reset),
+     697             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_2),
+     698             :     .io_write_idx        (update_idx[10:2]),
+     699             :     .io_write_data_0
+     700             :       ((io_update_pc[1] ? per_bank_update_wdata_2_0_ctr : 3'h0)
+     701             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_1_ctr)),
+     702             :     .io_hit              (_bank_wrbypasses_2_1_io_hit),
+     703             :     .io_hit_data_0_valid (_bank_wrbypasses_2_1_io_hit_data_0_valid),
+     704             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_1_io_hit_data_0_bits)
+     705             :   );
+     706             :   WrBypass bank_wrbypasses_3_0 (
+     707             :     .clock               (clock),
+     708             :     .reset               (reset),
+     709             :     .io_wen              (io_update_mask_0 & (&(update_idx[1:0]))),
+     710             :     .io_write_idx        (update_idx[10:2]),
+     711             :     .io_write_data_0
+     712             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_0_ctr)
+     713             :        | (io_update_pc[1] ? per_bank_update_wdata_3_1_ctr : 3'h0)),
+     714             :     .io_hit              (_bank_wrbypasses_3_0_io_hit),
+     715             :     .io_hit_data_0_valid (_bank_wrbypasses_3_0_io_hit_data_0_valid),
+     716             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_0_io_hit_data_0_bits)
+     717             :   );
+     718             :   WrBypass bank_wrbypasses_3_1 (
+     719             :     .clock               (clock),
+     720             :     .reset               (reset),
+     721             :     .io_wen              (io_update_mask_1 & (&(update_idx[1:0]))),
+     722             :     .io_write_idx        (update_idx[10:2]),
+     723             :     .io_write_data_0
+     724             :       ((io_update_pc[1] ? per_bank_update_wdata_3_0_ctr : 3'h0)
+     725             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_1_ctr)),
+     726             :     .io_hit              (_bank_wrbypasses_3_1_io_hit),
+     727             :     .io_hit_data_0_valid (_bank_wrbypasses_3_1_io_hit_data_0_valid),
+     728             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_1_io_hit_data_0_bits)
+     729             :   );
+     730             :   assign io_req_ready = ~powerOnResetState;
+     731             :   assign io_resps_0_valid =
+     732             :     ~(s1_unhashed_idx[0]) & _hit_selected_T_6 | s1_unhashed_idx[0] & _hit_selected_T_13;
+     733             :   assign io_resps_0_bits_ctr =
+     734             :     (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_6)
+     735             :     | (s1_unhashed_idx[0] ? _resp_selected_T_27 : 3'h0);
+     736             :   assign io_resps_0_bits_u =
+     737             :     ~(s1_unhashed_idx[0]) & _us_io_r_resp_data_0 | s1_unhashed_idx[0]
+     738             :     & _us_io_r_resp_data_1;
+     739             :   assign io_resps_0_bits_unconf =
+     740             :     ~(s1_unhashed_idx[0]) & _unconf_selected_T_6 | s1_unhashed_idx[0]
+     741             :     & _unconf_selected_T_13;
+     742             :   assign io_resps_1_valid =
+     743             :     s1_unhashed_idx[0] & _hit_selected_T_6 | ~(s1_unhashed_idx[0]) & _hit_selected_T_13;
+     744             :   assign io_resps_1_bits_ctr =
+     745             :     (s1_unhashed_idx[0] ? _resp_selected_T_6 : 3'h0)
+     746             :     | (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_27);
+     747             :   assign io_resps_1_bits_u =
+     748             :     s1_unhashed_idx[0] & _us_io_r_resp_data_0 | ~(s1_unhashed_idx[0])
+     749             :     & _us_io_r_resp_data_1;
+     750             :   assign io_resps_1_bits_unconf =
+     751             :     s1_unhashed_idx[0] & _unconf_selected_T_6 | ~(s1_unhashed_idx[0])
+     752             :     & _unconf_selected_T_13;
+     753             : endmodule
+     754             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.func-sort-c.html new file mode 100644 index 0000000..448963a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.func.html new file mode 100644 index 0000000..693d778 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.gcov.html new file mode 100644 index 0000000..f5e9e99 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/TageTable_3.sv.gcov.html @@ -0,0 +1,830 @@ + + + + + + + LCOV - merged.info - BPUTop/TageTable_3.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - TageTable_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:107107100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module TageTable_3(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          54 :   output        io_req_ready,
+      62          76 :   input         io_req_valid,
+      63       10224 :   input  [40:0] io_req_bits_pc,
+      64        1102 :   input  [10:0] io_req_bits_folded_hist_hist_16_folded_hist,
+      65         930 :   input  [7:0]  io_req_bits_folded_hist_hist_8_folded_hist,
+      66         711 :   input  [6:0]  io_req_bits_folded_hist_hist_5_folded_hist,
+      67          14 :   output        io_resps_0_valid,
+      68         100 :   output [2:0]  io_resps_0_bits_ctr,
+      69          29 :   output        io_resps_0_bits_u,
+      70          26 :   output        io_resps_0_bits_unconf,
+      71          16 :   output        io_resps_1_valid,
+      72         107 :   output [2:0]  io_resps_1_bits_ctr,
+      73          29 :   output        io_resps_1_bits_u,
+      74          23 :   output        io_resps_1_bits_unconf,
+      75         641 :   input  [40:0] io_update_pc,
+      76         185 :   input  [10:0] io_update_folded_hist_hist_16_folded_hist,
+      77         121 :   input  [7:0]  io_update_folded_hist_hist_8_folded_hist,
+      78         102 :   input  [6:0]  io_update_folded_hist_hist_5_folded_hist,
+      79          30 :   input         io_update_mask_0,
+      80          31 :   input         io_update_mask_1,
+      81          12 :   input         io_update_takens_0,
+      82          17 :   input         io_update_takens_1,
+      83          15 :   input         io_update_alloc_0,
+      84          16 :   input         io_update_alloc_1,
+      85          49 :   input  [2:0]  io_update_oldCtrs_0,
+      86          52 :   input  [2:0]  io_update_oldCtrs_1,
+      87          17 :   input         io_update_uMask_0,
+      88          14 :   input         io_update_uMask_1,
+      89          16 :   input         io_update_us_0,
+      90          22 :   input         io_update_us_1,
+      91          28 :   input         io_update_reset_u_0,
+      92          23 :   input         io_update_reset_u_1
+      93             : );
+      94             : 
+      95          18 :   wire        per_bank_not_silent_update_3_1;
+      96          16 :   wire        per_bank_not_silent_update_3_0;
+      97          18 :   wire        per_bank_not_silent_update_2_1;
+      98          16 :   wire        per_bank_not_silent_update_2_0;
+      99          15 :   wire        per_bank_not_silent_update_1_1;
+     100          12 :   wire        per_bank_not_silent_update_1_0;
+     101          19 :   wire        per_bank_not_silent_update_0_1;
+     102          13 :   wire        per_bank_not_silent_update_0_0;
+     103          54 :   reg         powerOnResetState;
+     104             :   wire        _resp_invalid_by_write_T_6;
+     105             :   wire        _bank_wrbypasses_3_1_io_hit;
+     106             :   wire        _bank_wrbypasses_3_1_io_hit_data_0_valid;
+     107             :   wire [2:0]  _bank_wrbypasses_3_1_io_hit_data_0_bits;
+     108             :   wire        _bank_wrbypasses_3_0_io_hit;
+     109             :   wire        _bank_wrbypasses_3_0_io_hit_data_0_valid;
+     110             :   wire [2:0]  _bank_wrbypasses_3_0_io_hit_data_0_bits;
+     111             :   wire        _bank_wrbypasses_2_1_io_hit;
+     112             :   wire        _bank_wrbypasses_2_1_io_hit_data_0_valid;
+     113             :   wire [2:0]  _bank_wrbypasses_2_1_io_hit_data_0_bits;
+     114             :   wire        _bank_wrbypasses_2_0_io_hit;
+     115             :   wire        _bank_wrbypasses_2_0_io_hit_data_0_valid;
+     116             :   wire [2:0]  _bank_wrbypasses_2_0_io_hit_data_0_bits;
+     117             :   wire        _bank_wrbypasses_1_1_io_hit;
+     118             :   wire        _bank_wrbypasses_1_1_io_hit_data_0_valid;
+     119             :   wire [2:0]  _bank_wrbypasses_1_1_io_hit_data_0_bits;
+     120             :   wire        _bank_wrbypasses_1_0_io_hit;
+     121             :   wire        _bank_wrbypasses_1_0_io_hit_data_0_valid;
+     122             :   wire [2:0]  _bank_wrbypasses_1_0_io_hit_data_0_bits;
+     123             :   wire        _bank_wrbypasses_0_1_io_hit;
+     124             :   wire        _bank_wrbypasses_0_1_io_hit_data_0_valid;
+     125             :   wire [2:0]  _bank_wrbypasses_0_1_io_hit_data_0_bits;
+     126             :   wire        _bank_wrbypasses_0_0_io_hit;
+     127             :   wire        _bank_wrbypasses_0_0_io_hit_data_0_valid;
+     128             :   wire [2:0]  _bank_wrbypasses_0_0_io_hit_data_0_bits;
+     129             :   wire        _table_banks_3_io_r_req_ready;
+     130             :   wire        _table_banks_3_io_r_resp_data_0_valid;
+     131             :   wire [7:0]  _table_banks_3_io_r_resp_data_0_tag;
+     132             :   wire [2:0]  _table_banks_3_io_r_resp_data_0_ctr;
+     133             :   wire        _table_banks_3_io_r_resp_data_1_valid;
+     134             :   wire [7:0]  _table_banks_3_io_r_resp_data_1_tag;
+     135             :   wire [2:0]  _table_banks_3_io_r_resp_data_1_ctr;
+     136             :   wire        _table_banks_2_io_r_req_ready;
+     137             :   wire        _table_banks_2_io_r_resp_data_0_valid;
+     138             :   wire [7:0]  _table_banks_2_io_r_resp_data_0_tag;
+     139             :   wire [2:0]  _table_banks_2_io_r_resp_data_0_ctr;
+     140             :   wire        _table_banks_2_io_r_resp_data_1_valid;
+     141             :   wire [7:0]  _table_banks_2_io_r_resp_data_1_tag;
+     142             :   wire [2:0]  _table_banks_2_io_r_resp_data_1_ctr;
+     143             :   wire        _table_banks_1_io_r_req_ready;
+     144             :   wire        _table_banks_1_io_r_resp_data_0_valid;
+     145             :   wire [7:0]  _table_banks_1_io_r_resp_data_0_tag;
+     146             :   wire [2:0]  _table_banks_1_io_r_resp_data_0_ctr;
+     147             :   wire        _table_banks_1_io_r_resp_data_1_valid;
+     148             :   wire [7:0]  _table_banks_1_io_r_resp_data_1_tag;
+     149             :   wire [2:0]  _table_banks_1_io_r_resp_data_1_ctr;
+     150             :   wire        _table_banks_0_io_r_req_ready;
+     151             :   wire        _table_banks_0_io_r_resp_data_0_valid;
+     152             :   wire [7:0]  _table_banks_0_io_r_resp_data_0_tag;
+     153             :   wire [2:0]  _table_banks_0_io_r_resp_data_0_ctr;
+     154             :   wire        _table_banks_0_io_r_resp_data_1_valid;
+     155             :   wire [7:0]  _table_banks_0_io_r_resp_data_1_tag;
+     156             :   wire [2:0]  _table_banks_0_io_r_resp_data_1_ctr;
+     157             :   wire        _us_io_r_req_ready;
+     158             :   wire        _us_io_r_resp_data_0;
+     159             :   wire        _us_io_r_resp_data_1;
+     160             :   wire        _us_extra_reset_T_1 = io_update_mask_0 | io_update_mask_1;
+     161       26388 :   wire [10:0] s0_idx = io_req_bits_pc[11:1] ^ io_req_bits_folded_hist_hist_16_folded_hist;
+     162          74 :   wire        s0_bank_req_1h_0 = s0_idx[1:0] == 2'h0;
+     163          56 :   wire        s0_bank_req_1h_1 = s0_idx[1:0] == 2'h1;
+     164          47 :   wire        s0_bank_req_1h_2 = s0_idx[1:0] == 2'h2;
+     165             :   wire        _s1_bank_req_1h_T = ~powerOnResetState & io_req_valid;
+     166        9319 :   reg  [39:0] s1_unhashed_idx;
+     167        8003 :   reg  [7:0]  s1_tag;
+     168          42 :   reg         s1_bank_req_1h_0;
+     169          44 :   reg         s1_bank_req_1h_1;
+     170          38 :   reg         s1_bank_req_1h_2;
+     171          34 :   reg         s1_bank_req_1h_3;
+     172          31 :   reg         s1_bank_has_write_on_this_req_0;
+     173          31 :   reg         s1_bank_has_write_on_this_req_1;
+     174          29 :   reg         s1_bank_has_write_on_this_req_2;
+     175          31 :   reg         s1_bank_has_write_on_this_req_3;
+     176             :   wire [2:0]  _resp_selected_T_6 =
+     177             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_0_ctr : 3'h0)
+     178             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_0_ctr : 3'h0)
+     179             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_0_ctr : 3'h0)
+     180             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_0_ctr : 3'h0);
+     181             :   wire [2:0]  _resp_selected_T_27 =
+     182             :     (s1_bank_req_1h_0 ? _table_banks_0_io_r_resp_data_1_ctr : 3'h0)
+     183             :     | (s1_bank_req_1h_1 ? _table_banks_1_io_r_resp_data_1_ctr : 3'h0)
+     184             :     | (s1_bank_req_1h_2 ? _table_banks_2_io_r_resp_data_1_ctr : 3'h0)
+     185             :     | (s1_bank_req_1h_3 ? _table_banks_3_io_r_resp_data_1_ctr : 3'h0);
+     186             :   wire        _unconf_selected_T_6 =
+     187             :     s1_bank_req_1h_0
+     188             :     & (_table_banks_0_io_r_resp_data_0_ctr == 3'h4
+     189             :        | _table_banks_0_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_1
+     190             :     & (_table_banks_1_io_r_resp_data_0_ctr == 3'h4
+     191             :        | _table_banks_1_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_2
+     192             :     & (_table_banks_2_io_r_resp_data_0_ctr == 3'h4
+     193             :        | _table_banks_2_io_r_resp_data_0_ctr == 3'h3) | s1_bank_req_1h_3
+     194             :     & (_table_banks_3_io_r_resp_data_0_ctr == 3'h4
+     195             :        | _table_banks_3_io_r_resp_data_0_ctr == 3'h3);
+     196             :   wire        _unconf_selected_T_13 =
+     197             :     s1_bank_req_1h_0
+     198             :     & (_table_banks_0_io_r_resp_data_1_ctr == 3'h4
+     199             :        | _table_banks_0_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_1
+     200             :     & (_table_banks_1_io_r_resp_data_1_ctr == 3'h4
+     201             :        | _table_banks_1_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_2
+     202             :     & (_table_banks_2_io_r_resp_data_1_ctr == 3'h4
+     203             :        | _table_banks_2_io_r_resp_data_1_ctr == 3'h3) | s1_bank_req_1h_3
+     204             :     & (_table_banks_3_io_r_resp_data_1_ctr == 3'h4
+     205             :        | _table_banks_3_io_r_resp_data_1_ctr == 3'h3);
+     206             :   wire        _hit_selected_T_6 =
+     207             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_0_tag == s1_tag
+     208             :     & _table_banks_0_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     209             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_0_tag == s1_tag
+     210             :     & _table_banks_1_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     211             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_0_tag == s1_tag
+     212             :     & _table_banks_2_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6
+     213             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_0_tag == s1_tag
+     214             :     & _table_banks_3_io_r_resp_data_0_valid & ~_resp_invalid_by_write_T_6;
+     215             :   wire        _hit_selected_T_13 =
+     216             :     s1_bank_req_1h_0 & _table_banks_0_io_r_resp_data_1_tag == s1_tag
+     217             :     & _table_banks_0_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     218             :     | s1_bank_req_1h_1 & _table_banks_1_io_r_resp_data_1_tag == s1_tag
+     219             :     & _table_banks_1_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     220             :     | s1_bank_req_1h_2 & _table_banks_2_io_r_resp_data_1_tag == s1_tag
+     221             :     & _table_banks_2_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6
+     222             :     | s1_bank_req_1h_3 & _table_banks_3_io_r_resp_data_1_tag == s1_tag
+     223             :     & _table_banks_3_io_r_resp_data_1_valid & ~_resp_invalid_by_write_T_6;
+     224             :   assign _resp_invalid_by_write_T_6 =
+     225             :     s1_bank_req_1h_0 & s1_bank_has_write_on_this_req_0 | s1_bank_req_1h_1
+     226             :     & s1_bank_has_write_on_this_req_1 | s1_bank_req_1h_2 & s1_bank_has_write_on_this_req_2
+     227             :     | s1_bank_req_1h_3 & s1_bank_has_write_on_this_req_3;
+     228         323 :   wire [10:0] update_idx = io_update_pc[11:1] ^ io_update_folded_hist_hist_16_folded_hist;
+     229         123 :   wire [7:0]  update_tag =
+     230             :     io_update_pc[8:1] ^ io_update_folded_hist_hist_8_folded_hist
+     231             :     ^ {io_update_folded_hist_hist_5_folded_hist, 1'h0};
+     232          13 :   wire        update_req_bank_1h_0 = update_idx[1:0] == 2'h0;
+     233          19 :   wire        update_req_bank_1h_1 = update_idx[1:0] == 2'h1;
+     234          17 :   wire        update_req_bank_1h_2 = update_idx[1:0] == 2'h2;
+     235          68 :   wire [1:0]  per_bank_update_way_mask_0 =
+     236             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     237             :        & per_bank_not_silent_update_0_1,
+     238             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     239             :        & per_bank_not_silent_update_0_0};
+     240          57 :   wire [1:0]  per_bank_update_way_mask_1 =
+     241             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     242             :        & per_bank_not_silent_update_1_1,
+     243             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     244             :        & per_bank_not_silent_update_1_0};
+     245          69 :   wire [1:0]  per_bank_update_way_mask_2 =
+     246             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     247             :        & per_bank_not_silent_update_2_1,
+     248             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     249             :        & per_bank_not_silent_update_2_0};
+     250          63 :   wire [1:0]  per_bank_update_way_mask_3 =
+     251             :     {(io_update_pc[1] & io_update_mask_0 | ~(io_update_pc[1]) & io_update_mask_1)
+     252             :        & per_bank_not_silent_update_3_1,
+     253             :      (~(io_update_pc[1]) & io_update_mask_0 | io_update_pc[1] & io_update_mask_1)
+     254             :        & per_bank_not_silent_update_3_0};
+     255             :   wire        _s1_bank_has_write_on_this_req_WIRE_0 =
+     256             :     (|per_bank_update_way_mask_0) & update_req_bank_1h_0;
+     257             :   wire        _s1_bank_has_write_on_this_req_WIRE_1 =
+     258             :     (|per_bank_update_way_mask_1) & update_req_bank_1h_1;
+     259             :   wire        _s1_bank_has_write_on_this_req_WIRE_2 =
+     260             :     (|per_bank_update_way_mask_2) & update_req_bank_1h_2;
+     261             :   wire        _s1_bank_has_write_on_this_req_WIRE_3 =
+     262             :     (|per_bank_update_way_mask_3) & (&(update_idx[1:0]));
+     263             :   wire [2:0]  _wrbypass_io_T_6 =
+     264             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_0_io_hit_data_0_bits)
+     265             :     | (io_update_pc[1] ? _bank_wrbypasses_0_1_io_hit_data_0_bits : 3'h0);
+     266          17 :   wire        wrbypass_data_valid =
+     267             :     (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit | io_update_pc[1]
+     268             :      & _bank_wrbypasses_0_1_io_hit)
+     269             :     & (~(io_update_pc[1]) & _bank_wrbypasses_0_0_io_hit_data_0_valid | io_update_pc[1]
+     270             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     271             :   wire        _GEN = io_update_pc[1] ? io_update_takens_1 : io_update_takens_0;
+     272             :   wire [2:0]  _GEN_0 = io_update_pc[1] ? io_update_oldCtrs_1 : io_update_oldCtrs_0;
+     273             :   wire        _GEN_1 = (|_GEN_0) | _GEN;
+     274             :   wire        _GEN_2 = io_update_pc[1] ? io_update_alloc_1 : io_update_alloc_0;
+     275          41 :   wire [2:0]  per_bank_update_wdata_0_0_ctr =
+     276             :     _GEN_2
+     277             :       ? (_GEN ? 3'h4 : 3'h3)
+     278             :       : wrbypass_data_valid
+     279             :           ? ((&_wrbypass_io_T_6) & _GEN
+     280             :                ? 3'h7
+     281             :                : _wrbypass_io_T_6 == 3'h0 & ~_GEN
+     282             :                    ? 3'h0
+     283             :                    : _GEN ? 3'(_wrbypass_io_T_6 + 3'h1) : 3'(_wrbypass_io_T_6 - 3'h1))
+     284             :           : (&_GEN_0) & _GEN
+     285             :               ? 3'h7
+     286             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     287             :   assign per_bank_not_silent_update_0_0 =
+     288             :     (wrbypass_data_valid
+     289             :        ? ~((&_wrbypass_io_T_6) & _GEN | _wrbypass_io_T_6 == 3'h0 & ~_GEN)
+     290             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     291             :   wire [2:0]  _wrbypass_io_T_28 =
+     292             :     (io_update_pc[1] ? _bank_wrbypasses_0_0_io_hit_data_0_bits : 3'h0)
+     293             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_0_1_io_hit_data_0_bits);
+     294          13 :   wire        wrbypass_data_valid_1 =
+     295             :     (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit | ~(io_update_pc[1])
+     296             :      & _bank_wrbypasses_0_1_io_hit)
+     297             :     & (io_update_pc[1] & _bank_wrbypasses_0_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     298             :        & _bank_wrbypasses_0_1_io_hit_data_0_valid);
+     299             :   wire        _GEN_3 = io_update_pc[1] ? io_update_takens_0 : io_update_takens_1;
+     300             :   wire [2:0]  _GEN_4 = io_update_pc[1] ? io_update_oldCtrs_0 : io_update_oldCtrs_1;
+     301             :   wire        _GEN_5 = io_update_pc[1] ? io_update_alloc_0 : io_update_alloc_1;
+     302          55 :   wire [2:0]  per_bank_update_wdata_0_1_ctr =
+     303             :     _GEN_5
+     304             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     305             :       : wrbypass_data_valid_1
+     306             :           ? ((&_wrbypass_io_T_28) & _GEN_3
+     307             :                ? 3'h7
+     308             :                : _wrbypass_io_T_28 == 3'h0 & ~_GEN_3
+     309             :                    ? 3'h0
+     310             :                    : _GEN_3 ? 3'(_wrbypass_io_T_28 + 3'h1) : 3'(_wrbypass_io_T_28 - 3'h1))
+     311             :           : (&_GEN_4) & _GEN_3
+     312             :               ? 3'h7
+     313             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     314             :                   ? 3'h0
+     315             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     316             :   assign per_bank_not_silent_update_0_1 =
+     317             :     (wrbypass_data_valid_1
+     318             :        ? ~((&_wrbypass_io_T_28) & _GEN_3 | _wrbypass_io_T_28 == 3'h0 & ~_GEN_3)
+     319             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     320             :   wire [2:0]  _wrbypass_io_T_50 =
+     321             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_0_io_hit_data_0_bits)
+     322             :     | (io_update_pc[1] ? _bank_wrbypasses_1_1_io_hit_data_0_bits : 3'h0);
+     323          19 :   wire        wrbypass_data_valid_2 =
+     324             :     (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit | io_update_pc[1]
+     325             :      & _bank_wrbypasses_1_1_io_hit)
+     326             :     & (~(io_update_pc[1]) & _bank_wrbypasses_1_0_io_hit_data_0_valid | io_update_pc[1]
+     327             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     328          49 :   wire [2:0]  per_bank_update_wdata_1_0_ctr =
+     329             :     _GEN_2
+     330             :       ? (_GEN ? 3'h4 : 3'h3)
+     331             :       : wrbypass_data_valid_2
+     332             :           ? ((&_wrbypass_io_T_50) & _GEN
+     333             :                ? 3'h7
+     334             :                : _wrbypass_io_T_50 == 3'h0 & ~_GEN
+     335             :                    ? 3'h0
+     336             :                    : _GEN ? 3'(_wrbypass_io_T_50 + 3'h1) : 3'(_wrbypass_io_T_50 - 3'h1))
+     337             :           : (&_GEN_0) & _GEN
+     338             :               ? 3'h7
+     339             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     340             :   assign per_bank_not_silent_update_1_0 =
+     341             :     (wrbypass_data_valid_2
+     342             :        ? ~((&_wrbypass_io_T_50) & _GEN | _wrbypass_io_T_50 == 3'h0 & ~_GEN)
+     343             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     344             :   wire [2:0]  _wrbypass_io_T_72 =
+     345             :     (io_update_pc[1] ? _bank_wrbypasses_1_0_io_hit_data_0_bits : 3'h0)
+     346             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_1_1_io_hit_data_0_bits);
+     347          18 :   wire        wrbypass_data_valid_3 =
+     348             :     (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit | ~(io_update_pc[1])
+     349             :      & _bank_wrbypasses_1_1_io_hit)
+     350             :     & (io_update_pc[1] & _bank_wrbypasses_1_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     351             :        & _bank_wrbypasses_1_1_io_hit_data_0_valid);
+     352          48 :   wire [2:0]  per_bank_update_wdata_1_1_ctr =
+     353             :     _GEN_5
+     354             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     355             :       : wrbypass_data_valid_3
+     356             :           ? ((&_wrbypass_io_T_72) & _GEN_3
+     357             :                ? 3'h7
+     358             :                : _wrbypass_io_T_72 == 3'h0 & ~_GEN_3
+     359             :                    ? 3'h0
+     360             :                    : _GEN_3 ? 3'(_wrbypass_io_T_72 + 3'h1) : 3'(_wrbypass_io_T_72 - 3'h1))
+     361             :           : (&_GEN_4) & _GEN_3
+     362             :               ? 3'h7
+     363             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     364             :                   ? 3'h0
+     365             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     366             :   assign per_bank_not_silent_update_1_1 =
+     367             :     (wrbypass_data_valid_3
+     368             :        ? ~((&_wrbypass_io_T_72) & _GEN_3 | _wrbypass_io_T_72 == 3'h0 & ~_GEN_3)
+     369             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     370             :   wire [2:0]  _wrbypass_io_T_94 =
+     371             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_0_io_hit_data_0_bits)
+     372             :     | (io_update_pc[1] ? _bank_wrbypasses_2_1_io_hit_data_0_bits : 3'h0);
+     373          17 :   wire        wrbypass_data_valid_4 =
+     374             :     (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit | io_update_pc[1]
+     375             :      & _bank_wrbypasses_2_1_io_hit)
+     376             :     & (~(io_update_pc[1]) & _bank_wrbypasses_2_0_io_hit_data_0_valid | io_update_pc[1]
+     377             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     378          51 :   wire [2:0]  per_bank_update_wdata_2_0_ctr =
+     379             :     _GEN_2
+     380             :       ? (_GEN ? 3'h4 : 3'h3)
+     381             :       : wrbypass_data_valid_4
+     382             :           ? ((&_wrbypass_io_T_94) & _GEN
+     383             :                ? 3'h7
+     384             :                : _wrbypass_io_T_94 == 3'h0 & ~_GEN
+     385             :                    ? 3'h0
+     386             :                    : _GEN ? 3'(_wrbypass_io_T_94 + 3'h1) : 3'(_wrbypass_io_T_94 - 3'h1))
+     387             :           : (&_GEN_0) & _GEN
+     388             :               ? 3'h7
+     389             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     390             :   assign per_bank_not_silent_update_2_0 =
+     391             :     (wrbypass_data_valid_4
+     392             :        ? ~((&_wrbypass_io_T_94) & _GEN | _wrbypass_io_T_94 == 3'h0 & ~_GEN)
+     393             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     394             :   wire [2:0]  _wrbypass_io_T_116 =
+     395             :     (io_update_pc[1] ? _bank_wrbypasses_2_0_io_hit_data_0_bits : 3'h0)
+     396             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_2_1_io_hit_data_0_bits);
+     397          17 :   wire        wrbypass_data_valid_5 =
+     398             :     (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit | ~(io_update_pc[1])
+     399             :      & _bank_wrbypasses_2_1_io_hit)
+     400             :     & (io_update_pc[1] & _bank_wrbypasses_2_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     401             :        & _bank_wrbypasses_2_1_io_hit_data_0_valid);
+     402          48 :   wire [2:0]  per_bank_update_wdata_2_1_ctr =
+     403             :     _GEN_5
+     404             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     405             :       : wrbypass_data_valid_5
+     406             :           ? ((&_wrbypass_io_T_116) & _GEN_3
+     407             :                ? 3'h7
+     408             :                : _wrbypass_io_T_116 == 3'h0 & ~_GEN_3
+     409             :                    ? 3'h0
+     410             :                    : _GEN_3
+     411             :                        ? 3'(_wrbypass_io_T_116 + 3'h1)
+     412             :                        : 3'(_wrbypass_io_T_116 - 3'h1))
+     413             :           : (&_GEN_4) & _GEN_3
+     414             :               ? 3'h7
+     415             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     416             :                   ? 3'h0
+     417             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     418             :   assign per_bank_not_silent_update_2_1 =
+     419             :     (wrbypass_data_valid_5
+     420             :        ? ~((&_wrbypass_io_T_116) & _GEN_3 | _wrbypass_io_T_116 == 3'h0 & ~_GEN_3)
+     421             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     422             :   wire [2:0]  _wrbypass_io_T_138 =
+     423             :     (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_0_io_hit_data_0_bits)
+     424             :     | (io_update_pc[1] ? _bank_wrbypasses_3_1_io_hit_data_0_bits : 3'h0);
+     425          27 :   wire        wrbypass_data_valid_6 =
+     426             :     (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit | io_update_pc[1]
+     427             :      & _bank_wrbypasses_3_1_io_hit)
+     428             :     & (~(io_update_pc[1]) & _bank_wrbypasses_3_0_io_hit_data_0_valid | io_update_pc[1]
+     429             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     430          41 :   wire [2:0]  per_bank_update_wdata_3_0_ctr =
+     431             :     _GEN_2
+     432             :       ? (_GEN ? 3'h4 : 3'h3)
+     433             :       : wrbypass_data_valid_6
+     434             :           ? ((&_wrbypass_io_T_138) & _GEN
+     435             :                ? 3'h7
+     436             :                : _wrbypass_io_T_138 == 3'h0 & ~_GEN
+     437             :                    ? 3'h0
+     438             :                    : _GEN ? 3'(_wrbypass_io_T_138 + 3'h1) : 3'(_wrbypass_io_T_138 - 3'h1))
+     439             :           : (&_GEN_0) & _GEN
+     440             :               ? 3'h7
+     441             :               : _GEN_1 ? (_GEN ? 3'(_GEN_0 + 3'h1) : 3'(_GEN_0 - 3'h1)) : 3'h0;
+     442             :   assign per_bank_not_silent_update_3_0 =
+     443             :     (wrbypass_data_valid_6
+     444             :        ? ~((&_wrbypass_io_T_138) & _GEN | _wrbypass_io_T_138 == 3'h0 & ~_GEN)
+     445             :        : ~((&_GEN_0) & _GEN | _GEN_0 == 3'h0 & ~_GEN)) | _GEN_2;
+     446             :   wire [2:0]  _wrbypass_io_T_160 =
+     447             :     (io_update_pc[1] ? _bank_wrbypasses_3_0_io_hit_data_0_bits : 3'h0)
+     448             :     | (io_update_pc[1] ? 3'h0 : _bank_wrbypasses_3_1_io_hit_data_0_bits);
+     449          20 :   wire        wrbypass_data_valid_7 =
+     450             :     (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit | ~(io_update_pc[1])
+     451             :      & _bank_wrbypasses_3_1_io_hit)
+     452             :     & (io_update_pc[1] & _bank_wrbypasses_3_0_io_hit_data_0_valid | ~(io_update_pc[1])
+     453             :        & _bank_wrbypasses_3_1_io_hit_data_0_valid);
+     454          45 :   wire [2:0]  per_bank_update_wdata_3_1_ctr =
+     455             :     _GEN_5
+     456             :       ? (_GEN_3 ? 3'h4 : 3'h3)
+     457             :       : wrbypass_data_valid_7
+     458             :           ? ((&_wrbypass_io_T_160) & _GEN_3
+     459             :                ? 3'h7
+     460             :                : _wrbypass_io_T_160 == 3'h0 & ~_GEN_3
+     461             :                    ? 3'h0
+     462             :                    : _GEN_3
+     463             :                        ? 3'(_wrbypass_io_T_160 + 3'h1)
+     464             :                        : 3'(_wrbypass_io_T_160 - 3'h1))
+     465             :           : (&_GEN_4) & _GEN_3
+     466             :               ? 3'h7
+     467             :               : _GEN_4 == 3'h0 & ~_GEN_3
+     468             :                   ? 3'h0
+     469             :                   : _GEN_3 ? 3'(_GEN_4 + 3'h1) : 3'(_GEN_4 - 3'h1);
+     470             :   assign per_bank_not_silent_update_3_1 =
+     471             :     (wrbypass_data_valid_7
+     472             :        ? ~((&_wrbypass_io_T_160) & _GEN_3 | _wrbypass_io_T_160 == 3'h0 & ~_GEN_3)
+     473             :        : ~((&_GEN_4) & _GEN_3 | _GEN_4 == 3'h0 & ~_GEN_3)) | _GEN_5;
+     474      127694 :   always @(posedge clock) begin
+     475        8350 :     if (_s1_bank_req_1h_T) begin
+     476        4175 :       s1_unhashed_idx <= io_req_bits_pc[40:1];
+     477        4175 :       s1_tag <=
+     478        4175 :         io_req_bits_pc[8:1] ^ io_req_bits_folded_hist_hist_8_folded_hist
+     479        4175 :         ^ {io_req_bits_folded_hist_hist_5_folded_hist, 1'h0};
+     480        4175 :       s1_bank_req_1h_0 <= s0_bank_req_1h_0;
+     481        4175 :       s1_bank_req_1h_1 <= s0_bank_req_1h_1;
+     482        4175 :       s1_bank_req_1h_2 <= s0_bank_req_1h_2;
+     483        4175 :       s1_bank_req_1h_3 <= &(s0_idx[1:0]);
+     484             :     end
+     485        8350 :     if (io_req_valid) begin
+     486        4175 :       s1_bank_has_write_on_this_req_0 <= _s1_bank_has_write_on_this_req_WIRE_0;
+     487        4175 :       s1_bank_has_write_on_this_req_1 <= _s1_bank_has_write_on_this_req_WIRE_1;
+     488        4175 :       s1_bank_has_write_on_this_req_2 <= _s1_bank_has_write_on_this_req_WIRE_2;
+     489        4175 :       s1_bank_has_write_on_this_req_3 <= _s1_bank_has_write_on_this_req_WIRE_3;
+     490             :     end
+     491             :   end // always @(posedge)
+     492      127730 :   always @(posedge clock or posedge reset) begin
+     493         272 :     if (reset)
+     494         136 :       powerOnResetState <= 1'h1;
+     495             :     else
+     496       63729 :       powerOnResetState <=
+     497       63729 :         ~(_us_io_r_req_ready & _table_banks_0_io_r_req_ready
+     498       63729 :           & _table_banks_1_io_r_req_ready & _table_banks_2_io_r_req_ready
+     499       63729 :           & _table_banks_3_io_r_req_ready) & powerOnResetState;
+     500             :   end // always @(posedge, posedge)
+     501             :   `ifdef ENABLE_INITIAL_REG_
+     502             :     `ifdef FIRRTL_BEFORE_INITIAL
+     503             :       `FIRRTL_BEFORE_INITIAL
+     504             :     `endif // FIRRTL_BEFORE_INITIAL
+     505             :     logic [31:0] _RANDOM[0:3];
+     506          58 :     initial begin
+     507             :       `ifdef INIT_RANDOM_PROLOG_
+     508             :         `INIT_RANDOM_PROLOG_
+     509             :       `endif // INIT_RANDOM_PROLOG_
+     510             :       `ifdef RANDOMIZE_REG_INIT
+     511             :         for (logic [2:0] i = 3'h0; i < 3'h4; i += 3'h1) begin
+     512             :           _RANDOM[i[1:0]] = `RANDOM;
+     513             :         end
+     514             :         s1_unhashed_idx = {_RANDOM[2'h0], _RANDOM[2'h1][7:0]};
+     515             :         s1_tag = _RANDOM[2'h1][26:19];
+     516             :         s1_bank_req_1h_0 = _RANDOM[2'h3][4];
+     517             :         s1_bank_req_1h_1 = _RANDOM[2'h3][5];
+     518             :         s1_bank_req_1h_2 = _RANDOM[2'h3][6];
+     519             :         s1_bank_req_1h_3 = _RANDOM[2'h3][7];
+     520             :         s1_bank_has_write_on_this_req_0 = _RANDOM[2'h3][8];
+     521             :         s1_bank_has_write_on_this_req_1 = _RANDOM[2'h3][9];
+     522             :         s1_bank_has_write_on_this_req_2 = _RANDOM[2'h3][10];
+     523             :         s1_bank_has_write_on_this_req_3 = _RANDOM[2'h3][11];
+     524             :         powerOnResetState = _RANDOM[2'h3][12];
+     525             :       `endif // RANDOMIZE_REG_INIT
+     526          17 :       if (reset)
+     527          12 :         powerOnResetState = 1'h1;
+     528             :     end // initial
+     529             :     `ifdef FIRRTL_AFTER_INITIAL
+     530             :       `FIRRTL_AFTER_INITIAL
+     531             :     `endif // FIRRTL_AFTER_INITIAL
+     532             :   `endif // ENABLE_INITIAL_REG_
+     533             :   FoldedSRAMTemplate us (
+     534             :     .clock                 (clock),
+     535             :     .reset                 (reset),
+     536             :     .io_r_req_ready        (_us_io_r_req_ready),
+     537             :     .io_r_req_valid        (_s1_bank_req_1h_T),
+     538             :     .io_r_req_bits_setIdx  (s0_idx),
+     539             :     .io_r_resp_data_0      (_us_io_r_resp_data_0),
+     540             :     .io_r_resp_data_1      (_us_io_r_resp_data_1),
+     541             :     .io_w_req_valid
+     542             :       (_us_extra_reset_T_1 & (io_update_uMask_0 | io_update_uMask_1)),
+     543             :     .io_w_req_bits_setIdx  (update_idx),
+     544             :     .io_w_req_bits_data_0
+     545             :       (~(io_update_pc[1]) & io_update_us_0 | io_update_pc[1] & io_update_us_1),
+     546             :     .io_w_req_bits_data_1
+     547             :       (io_update_pc[1] & io_update_us_0 | ~(io_update_pc[1]) & io_update_us_1),
+     548             :     .io_w_req_bits_waymask
+     549             :       ({io_update_pc[1] & io_update_uMask_0 | ~(io_update_pc[1]) & io_update_uMask_1,
+     550             :         ~(io_update_pc[1]) & io_update_uMask_0 | io_update_pc[1] & io_update_uMask_1}),
+     551             :     .extra_reset
+     552             :       ((io_update_reset_u_0 | io_update_reset_u_1) & _us_extra_reset_T_1)
+     553             :   );
+     554             :   FoldedSRAMTemplate_1 table_banks_0 (
+     555             :     .clock                    (clock),
+     556             :     .reset                    (reset),
+     557             :     .io_r_req_ready           (_table_banks_0_io_r_req_ready),
+     558             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_0),
+     559             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     560             :     .io_r_resp_data_0_valid   (_table_banks_0_io_r_resp_data_0_valid),
+     561             :     .io_r_resp_data_0_tag     (_table_banks_0_io_r_resp_data_0_tag),
+     562             :     .io_r_resp_data_0_ctr     (_table_banks_0_io_r_resp_data_0_ctr),
+     563             :     .io_r_resp_data_1_valid   (_table_banks_0_io_r_resp_data_1_valid),
+     564             :     .io_r_resp_data_1_tag     (_table_banks_0_io_r_resp_data_1_tag),
+     565             :     .io_r_resp_data_1_ctr     (_table_banks_0_io_r_resp_data_1_ctr),
+     566             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_0),
+     567             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     568             :     .io_w_req_bits_data_0_tag (update_tag),
+     569             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_0_0_ctr),
+     570             :     .io_w_req_bits_data_1_tag (update_tag),
+     571             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_0_1_ctr),
+     572             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_0)
+     573             :   );
+     574             :   FoldedSRAMTemplate_1 table_banks_1 (
+     575             :     .clock                    (clock),
+     576             :     .reset                    (reset),
+     577             :     .io_r_req_ready           (_table_banks_1_io_r_req_ready),
+     578             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_1),
+     579             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     580             :     .io_r_resp_data_0_valid   (_table_banks_1_io_r_resp_data_0_valid),
+     581             :     .io_r_resp_data_0_tag     (_table_banks_1_io_r_resp_data_0_tag),
+     582             :     .io_r_resp_data_0_ctr     (_table_banks_1_io_r_resp_data_0_ctr),
+     583             :     .io_r_resp_data_1_valid   (_table_banks_1_io_r_resp_data_1_valid),
+     584             :     .io_r_resp_data_1_tag     (_table_banks_1_io_r_resp_data_1_tag),
+     585             :     .io_r_resp_data_1_ctr     (_table_banks_1_io_r_resp_data_1_ctr),
+     586             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_1),
+     587             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     588             :     .io_w_req_bits_data_0_tag (update_tag),
+     589             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_1_0_ctr),
+     590             :     .io_w_req_bits_data_1_tag (update_tag),
+     591             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_1_1_ctr),
+     592             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_1)
+     593             :   );
+     594             :   FoldedSRAMTemplate_1 table_banks_2 (
+     595             :     .clock                    (clock),
+     596             :     .reset                    (reset),
+     597             :     .io_r_req_ready           (_table_banks_2_io_r_req_ready),
+     598             :     .io_r_req_valid           (_s1_bank_req_1h_T & s0_bank_req_1h_2),
+     599             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     600             :     .io_r_resp_data_0_valid   (_table_banks_2_io_r_resp_data_0_valid),
+     601             :     .io_r_resp_data_0_tag     (_table_banks_2_io_r_resp_data_0_tag),
+     602             :     .io_r_resp_data_0_ctr     (_table_banks_2_io_r_resp_data_0_ctr),
+     603             :     .io_r_resp_data_1_valid   (_table_banks_2_io_r_resp_data_1_valid),
+     604             :     .io_r_resp_data_1_tag     (_table_banks_2_io_r_resp_data_1_tag),
+     605             :     .io_r_resp_data_1_ctr     (_table_banks_2_io_r_resp_data_1_ctr),
+     606             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_2),
+     607             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     608             :     .io_w_req_bits_data_0_tag (update_tag),
+     609             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_2_0_ctr),
+     610             :     .io_w_req_bits_data_1_tag (update_tag),
+     611             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_2_1_ctr),
+     612             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_2)
+     613             :   );
+     614             :   FoldedSRAMTemplate_1 table_banks_3 (
+     615             :     .clock                    (clock),
+     616             :     .reset                    (reset),
+     617             :     .io_r_req_ready           (_table_banks_3_io_r_req_ready),
+     618             :     .io_r_req_valid           (_s1_bank_req_1h_T & (&(s0_idx[1:0]))),
+     619             :     .io_r_req_bits_setIdx     (s0_idx[10:2]),
+     620             :     .io_r_resp_data_0_valid   (_table_banks_3_io_r_resp_data_0_valid),
+     621             :     .io_r_resp_data_0_tag     (_table_banks_3_io_r_resp_data_0_tag),
+     622             :     .io_r_resp_data_0_ctr     (_table_banks_3_io_r_resp_data_0_ctr),
+     623             :     .io_r_resp_data_1_valid   (_table_banks_3_io_r_resp_data_1_valid),
+     624             :     .io_r_resp_data_1_tag     (_table_banks_3_io_r_resp_data_1_tag),
+     625             :     .io_r_resp_data_1_ctr     (_table_banks_3_io_r_resp_data_1_ctr),
+     626             :     .io_w_req_valid           (_s1_bank_has_write_on_this_req_WIRE_3),
+     627             :     .io_w_req_bits_setIdx     (update_idx[10:2]),
+     628             :     .io_w_req_bits_data_0_tag (update_tag),
+     629             :     .io_w_req_bits_data_0_ctr (per_bank_update_wdata_3_0_ctr),
+     630             :     .io_w_req_bits_data_1_tag (update_tag),
+     631             :     .io_w_req_bits_data_1_ctr (per_bank_update_wdata_3_1_ctr),
+     632             :     .io_w_req_bits_waymask    (per_bank_update_way_mask_3)
+     633             :   );
+     634             :   WrBypass bank_wrbypasses_0_0 (
+     635             :     .clock               (clock),
+     636             :     .reset               (reset),
+     637             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_0),
+     638             :     .io_write_idx        (update_idx[10:2]),
+     639             :     .io_write_data_0
+     640             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_0_ctr)
+     641             :        | (io_update_pc[1] ? per_bank_update_wdata_0_1_ctr : 3'h0)),
+     642             :     .io_hit              (_bank_wrbypasses_0_0_io_hit),
+     643             :     .io_hit_data_0_valid (_bank_wrbypasses_0_0_io_hit_data_0_valid),
+     644             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_0_io_hit_data_0_bits)
+     645             :   );
+     646             :   WrBypass bank_wrbypasses_0_1 (
+     647             :     .clock               (clock),
+     648             :     .reset               (reset),
+     649             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_0),
+     650             :     .io_write_idx        (update_idx[10:2]),
+     651             :     .io_write_data_0
+     652             :       ((io_update_pc[1] ? per_bank_update_wdata_0_0_ctr : 3'h0)
+     653             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_0_1_ctr)),
+     654             :     .io_hit              (_bank_wrbypasses_0_1_io_hit),
+     655             :     .io_hit_data_0_valid (_bank_wrbypasses_0_1_io_hit_data_0_valid),
+     656             :     .io_hit_data_0_bits  (_bank_wrbypasses_0_1_io_hit_data_0_bits)
+     657             :   );
+     658             :   WrBypass bank_wrbypasses_1_0 (
+     659             :     .clock               (clock),
+     660             :     .reset               (reset),
+     661             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_1),
+     662             :     .io_write_idx        (update_idx[10:2]),
+     663             :     .io_write_data_0
+     664             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_0_ctr)
+     665             :        | (io_update_pc[1] ? per_bank_update_wdata_1_1_ctr : 3'h0)),
+     666             :     .io_hit              (_bank_wrbypasses_1_0_io_hit),
+     667             :     .io_hit_data_0_valid (_bank_wrbypasses_1_0_io_hit_data_0_valid),
+     668             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_0_io_hit_data_0_bits)
+     669             :   );
+     670             :   WrBypass bank_wrbypasses_1_1 (
+     671             :     .clock               (clock),
+     672             :     .reset               (reset),
+     673             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_1),
+     674             :     .io_write_idx        (update_idx[10:2]),
+     675             :     .io_write_data_0
+     676             :       ((io_update_pc[1] ? per_bank_update_wdata_1_0_ctr : 3'h0)
+     677             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_1_1_ctr)),
+     678             :     .io_hit              (_bank_wrbypasses_1_1_io_hit),
+     679             :     .io_hit_data_0_valid (_bank_wrbypasses_1_1_io_hit_data_0_valid),
+     680             :     .io_hit_data_0_bits  (_bank_wrbypasses_1_1_io_hit_data_0_bits)
+     681             :   );
+     682             :   WrBypass bank_wrbypasses_2_0 (
+     683             :     .clock               (clock),
+     684             :     .reset               (reset),
+     685             :     .io_wen              (io_update_mask_0 & update_req_bank_1h_2),
+     686             :     .io_write_idx        (update_idx[10:2]),
+     687             :     .io_write_data_0
+     688             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_0_ctr)
+     689             :        | (io_update_pc[1] ? per_bank_update_wdata_2_1_ctr : 3'h0)),
+     690             :     .io_hit              (_bank_wrbypasses_2_0_io_hit),
+     691             :     .io_hit_data_0_valid (_bank_wrbypasses_2_0_io_hit_data_0_valid),
+     692             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_0_io_hit_data_0_bits)
+     693             :   );
+     694             :   WrBypass bank_wrbypasses_2_1 (
+     695             :     .clock               (clock),
+     696             :     .reset               (reset),
+     697             :     .io_wen              (io_update_mask_1 & update_req_bank_1h_2),
+     698             :     .io_write_idx        (update_idx[10:2]),
+     699             :     .io_write_data_0
+     700             :       ((io_update_pc[1] ? per_bank_update_wdata_2_0_ctr : 3'h0)
+     701             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_2_1_ctr)),
+     702             :     .io_hit              (_bank_wrbypasses_2_1_io_hit),
+     703             :     .io_hit_data_0_valid (_bank_wrbypasses_2_1_io_hit_data_0_valid),
+     704             :     .io_hit_data_0_bits  (_bank_wrbypasses_2_1_io_hit_data_0_bits)
+     705             :   );
+     706             :   WrBypass bank_wrbypasses_3_0 (
+     707             :     .clock               (clock),
+     708             :     .reset               (reset),
+     709             :     .io_wen              (io_update_mask_0 & (&(update_idx[1:0]))),
+     710             :     .io_write_idx        (update_idx[10:2]),
+     711             :     .io_write_data_0
+     712             :       ((io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_0_ctr)
+     713             :        | (io_update_pc[1] ? per_bank_update_wdata_3_1_ctr : 3'h0)),
+     714             :     .io_hit              (_bank_wrbypasses_3_0_io_hit),
+     715             :     .io_hit_data_0_valid (_bank_wrbypasses_3_0_io_hit_data_0_valid),
+     716             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_0_io_hit_data_0_bits)
+     717             :   );
+     718             :   WrBypass bank_wrbypasses_3_1 (
+     719             :     .clock               (clock),
+     720             :     .reset               (reset),
+     721             :     .io_wen              (io_update_mask_1 & (&(update_idx[1:0]))),
+     722             :     .io_write_idx        (update_idx[10:2]),
+     723             :     .io_write_data_0
+     724             :       ((io_update_pc[1] ? per_bank_update_wdata_3_0_ctr : 3'h0)
+     725             :        | (io_update_pc[1] ? 3'h0 : per_bank_update_wdata_3_1_ctr)),
+     726             :     .io_hit              (_bank_wrbypasses_3_1_io_hit),
+     727             :     .io_hit_data_0_valid (_bank_wrbypasses_3_1_io_hit_data_0_valid),
+     728             :     .io_hit_data_0_bits  (_bank_wrbypasses_3_1_io_hit_data_0_bits)
+     729             :   );
+     730             :   assign io_req_ready = ~powerOnResetState;
+     731             :   assign io_resps_0_valid =
+     732             :     ~(s1_unhashed_idx[0]) & _hit_selected_T_6 | s1_unhashed_idx[0] & _hit_selected_T_13;
+     733             :   assign io_resps_0_bits_ctr =
+     734             :     (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_6)
+     735             :     | (s1_unhashed_idx[0] ? _resp_selected_T_27 : 3'h0);
+     736             :   assign io_resps_0_bits_u =
+     737             :     ~(s1_unhashed_idx[0]) & _us_io_r_resp_data_0 | s1_unhashed_idx[0]
+     738             :     & _us_io_r_resp_data_1;
+     739             :   assign io_resps_0_bits_unconf =
+     740             :     ~(s1_unhashed_idx[0]) & _unconf_selected_T_6 | s1_unhashed_idx[0]
+     741             :     & _unconf_selected_T_13;
+     742             :   assign io_resps_1_valid =
+     743             :     s1_unhashed_idx[0] & _hit_selected_T_6 | ~(s1_unhashed_idx[0]) & _hit_selected_T_13;
+     744             :   assign io_resps_1_bits_ctr =
+     745             :     (s1_unhashed_idx[0] ? _resp_selected_T_6 : 3'h0)
+     746             :     | (s1_unhashed_idx[0] ? 3'h0 : _resp_selected_T_27);
+     747             :   assign io_resps_1_bits_u =
+     748             :     s1_unhashed_idx[0] & _us_io_r_resp_data_0 | ~(s1_unhashed_idx[0])
+     749             :     & _us_io_r_resp_data_1;
+     750             :   assign io_resps_1_bits_unconf =
+     751             :     s1_unhashed_idx[0] & _unconf_selected_T_6 | ~(s1_unhashed_idx[0])
+     752             :     & _unconf_selected_T_13;
+     753             : endmodule
+     754             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.func-sort-c.html new file mode 100644 index 0000000..e3e0592 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Tage_SC.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Tage_SC.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1491362141.2 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.func.html new file mode 100644 index 0000000..a051f5c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/Tage_SC.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Tage_SC.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1491362141.2 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.gcov.html new file mode 100644 index 0000000..06062cf --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/Tage_SC.sv.gcov.html @@ -0,0 +1,5986 @@ + + + + + + + LCOV - merged.info - BPUTop/Tage_SC.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - Tage_SC.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1491362141.2 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module Tage_SC(
+      59      127786 :   input          clock,
+      60          62 :   input          reset,
+      61        1105 :   input  [35:0]  io_reset_vector,
+      62       10337 :   input  [40:0]  io_in_bits_s0_pc_0,
+      63       10224 :   input  [40:0]  io_in_bits_s0_pc_1,
+      64       35066 :   input  [40:0]  io_in_bits_s0_pc_3,
+      65        1182 :   input  [10:0]  io_in_bits_folded_hist_1_hist_17_folded_hist,
+      66        1102 :   input  [10:0]  io_in_bits_folded_hist_1_hist_16_folded_hist,
+      67         828 :   input  [6:0]   io_in_bits_folded_hist_1_hist_15_folded_hist,
+      68         295 :   input  [7:0]   io_in_bits_folded_hist_1_hist_14_folded_hist,
+      69         844 :   input  [6:0]   io_in_bits_folded_hist_1_hist_9_folded_hist,
+      70         930 :   input  [7:0]   io_in_bits_folded_hist_1_hist_8_folded_hist,
+      71         760 :   input  [6:0]   io_in_bits_folded_hist_1_hist_7_folded_hist,
+      72         711 :   input  [6:0]   io_in_bits_folded_hist_1_hist_5_folded_hist,
+      73         300 :   input  [7:0]   io_in_bits_folded_hist_1_hist_4_folded_hist,
+      74         263 :   input  [7:0]   io_in_bits_folded_hist_1_hist_3_folded_hist,
+      75        1122 :   input  [10:0]  io_in_bits_folded_hist_1_hist_1_folded_hist,
+      76         474 :   input  [3:0]   io_in_bits_folded_hist_3_hist_12_folded_hist,
+      77         858 :   input  [7:0]   io_in_bits_folded_hist_3_hist_11_folded_hist,
+      78         811 :   input  [7:0]   io_in_bits_folded_hist_3_hist_2_folded_hist,
+      79          75 :   output         io_out_s2_full_pred_0_br_taken_mask_0,
+      80          68 :   output         io_out_s2_full_pred_0_br_taken_mask_1,
+      81          61 :   output         io_out_s2_full_pred_1_br_taken_mask_0,
+      82          64 :   output         io_out_s2_full_pred_1_br_taken_mask_1,
+      83          64 :   output         io_out_s2_full_pred_2_br_taken_mask_0,
+      84          55 :   output         io_out_s2_full_pred_2_br_taken_mask_1,
+      85          75 :   output         io_out_s2_full_pred_3_br_taken_mask_0,
+      86          67 :   output         io_out_s2_full_pred_3_br_taken_mask_1,
+      87          75 :   output         io_out_s3_full_pred_0_br_taken_mask_0,
+      88          64 :   output         io_out_s3_full_pred_0_br_taken_mask_1,
+      89          82 :   output         io_out_s3_full_pred_1_br_taken_mask_0,
+      90          62 :   output         io_out_s3_full_pred_1_br_taken_mask_1,
+      91          84 :   output         io_out_s3_full_pred_2_br_taken_mask_0,
+      92          66 :   output         io_out_s3_full_pred_2_br_taken_mask_1,
+      93          70 :   output         io_out_s3_full_pred_3_br_taken_mask_0,
+      94          69 :   output         io_out_s3_full_pred_3_br_taken_mask_1,
+      95        4775 :   output [222:0] io_out_last_stage_meta,
+      96          79 :   input          io_ctrl_tage_enable,
+      97          88 :   input          io_ctrl_sc_enable,
+      98          75 :   input          io_s0_fire_0,
+      99          76 :   input          io_s0_fire_1,
+     100          73 :   input          io_s0_fire_3,
+     101         133 :   input          io_s1_fire_0,
+     102         131 :   input          io_s1_fire_1,
+     103         135 :   input          io_s1_fire_2,
+     104         133 :   input          io_s1_fire_3,
+     105         127 :   input          io_s2_fire_0,
+     106         127 :   input          io_s2_fire_1,
+     107         127 :   input          io_s2_fire_2,
+     108         127 :   input          io_s2_fire_3,
+     109          43 :   output         io_s1_ready,
+     110         105 :   input          io_update_valid,
+     111        1143 :   input  [40:0]  io_update_bits_pc,
+     112         310 :   input  [10:0]  io_update_bits_spec_info_folded_hist_hist_17_folded_hist,
+     113         322 :   input  [10:0]  io_update_bits_spec_info_folded_hist_hist_16_folded_hist,
+     114         196 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_15_folded_hist,
+     115         243 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_14_folded_hist,
+     116         108 :   input  [3:0]   io_update_bits_spec_info_folded_hist_hist_12_folded_hist,
+     117         240 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_11_folded_hist,
+     118         197 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_9_folded_hist,
+     119         244 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_8_folded_hist,
+     120         217 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_7_folded_hist,
+     121         202 :   input  [6:0]   io_update_bits_spec_info_folded_hist_hist_5_folded_hist,
+     122         219 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_4_folded_hist,
+     123         233 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_3_folded_hist,
+     124         231 :   input  [7:0]   io_update_bits_spec_info_folded_hist_hist_2_folded_hist,
+     125         339 :   input  [10:0]  io_update_bits_spec_info_folded_hist_hist_1_folded_hist,
+     126          35 :   input          io_update_bits_ftb_entry_brSlots_0_valid,
+     127          33 :   input          io_update_bits_ftb_entry_tailSlot_sharing,
+     128          28 :   input          io_update_bits_ftb_entry_tailSlot_valid,
+     129          32 :   input          io_update_bits_ftb_entry_always_taken_0,
+     130          33 :   input          io_update_bits_ftb_entry_always_taken_1,
+     131          24 :   input          io_update_bits_br_taken_mask_0,
+     132          40 :   input          io_update_bits_br_taken_mask_1,
+     133          45 :   input          io_update_bits_mispred_mask_0,
+     134          30 :   input          io_update_bits_mispred_mask_1,
+     135        4432 :   input  [222:0] io_update_bits_meta,
+     136         176 :   output [5:0]   io_perf_0_value,
+     137         149 :   output [5:0]   io_perf_1_value,
+     138         142 :   output [5:0]   io_perf_2_value
+     139             : );
+     140             : 
+     141         204 :   reg  [5:0]  r_3_3;
+     142         196 :   reg  [5:0]  r_3_2;
+     143         226 :   reg  [5:0]  r_3_1;
+     144         193 :   reg  [5:0]  r_3_0;
+     145          31 :   reg         resp_meta_scMeta_scPreds_1_r;
+     146          30 :   reg         resp_meta_scMeta_scUsed_1_r;
+     147          32 :   reg         resp_meta_scMeta_tageTakens_1_r;
+     148         213 :   reg  [5:0]  r_2_3;
+     149         193 :   reg  [5:0]  r_2_2;
+     150         177 :   reg  [5:0]  r_2_1;
+     151         214 :   reg  [5:0]  r_2_0;
+     152          33 :   reg         resp_meta_scMeta_scPreds_0_r;
+     153          36 :   reg         resp_meta_scMeta_scUsed_0_r;
+     154          33 :   reg         resp_meta_scMeta_tageTakens_0_r;
+     155          69 :   reg  [1:0]  resp_meta_basecnts_1_r;
+     156          31 :   reg         resp_meta_takens_1_r;
+     157          34 :   reg         resp_meta_altDiffers_1_r;
+     158          36 :   reg         resp_meta_altUsed_1_r;
+     159         131 :   reg  [3:0]  resp_meta_allocates_1_r;
+     160          28 :   reg         resp_meta_providerResps_1_r_unconf;
+     161          38 :   reg         resp_meta_providerResps_1_r_u;
+     162          98 :   reg  [2:0]  resp_meta_providerResps_1_r_ctr;
+     163          72 :   reg  [1:0]  resp_meta_providers_1_bits_r;
+     164          32 :   reg         resp_meta_providers_1_valid_r;
+     165          58 :   reg  [1:0]  resp_meta_basecnts_0_r;
+     166          30 :   reg         resp_meta_takens_0_r;
+     167          34 :   reg         resp_meta_altDiffers_0_r;
+     168          33 :   reg         resp_meta_altUsed_0_r;
+     169         134 :   reg  [3:0]  resp_meta_allocates_0_r;
+     170          39 :   reg         resp_meta_providerResps_0_r_unconf;
+     171          38 :   reg         resp_meta_providerResps_0_r_u;
+     172         101 :   reg  [2:0]  resp_meta_providerResps_0_r_ctr;
+     173          64 :   reg  [1:0]  resp_meta_providers_0_bits_r;
+     174          33 :   reg         resp_meta_providers_0_valid_r;
+     175             :   wire [5:0]  _scTables_3_io_resp_ctrs_0_0;
+     176             :   wire [5:0]  _scTables_3_io_resp_ctrs_0_1;
+     177             :   wire [5:0]  _scTables_3_io_resp_ctrs_1_0;
+     178             :   wire [5:0]  _scTables_3_io_resp_ctrs_1_1;
+     179             :   wire [5:0]  _scTables_2_io_resp_ctrs_0_0;
+     180             :   wire [5:0]  _scTables_2_io_resp_ctrs_0_1;
+     181             :   wire [5:0]  _scTables_2_io_resp_ctrs_1_0;
+     182             :   wire [5:0]  _scTables_2_io_resp_ctrs_1_1;
+     183             :   wire [5:0]  _scTables_1_io_resp_ctrs_0_0;
+     184             :   wire [5:0]  _scTables_1_io_resp_ctrs_0_1;
+     185             :   wire [5:0]  _scTables_1_io_resp_ctrs_1_0;
+     186             :   wire [5:0]  _scTables_1_io_resp_ctrs_1_1;
+     187             :   wire [5:0]  _scTables_0_io_resp_ctrs_0_0;
+     188             :   wire [5:0]  _scTables_0_io_resp_ctrs_0_1;
+     189             :   wire [5:0]  _scTables_0_io_resp_ctrs_1_0;
+     190             :   wire [5:0]  _scTables_0_io_resp_ctrs_1_1;
+     191             :   wire        _bt_io_req_ready;
+     192             :   wire [1:0]  _bt_io_s1_cnt_0;
+     193             :   wire [1:0]  _bt_io_s1_cnt_1;
+     194             :   wire        _tables_3_io_req_ready;
+     195             :   wire        _tables_3_io_resps_0_valid;
+     196             :   wire [2:0]  _tables_3_io_resps_0_bits_ctr;
+     197             :   wire        _tables_3_io_resps_0_bits_u;
+     198             :   wire        _tables_3_io_resps_0_bits_unconf;
+     199             :   wire        _tables_3_io_resps_1_valid;
+     200             :   wire [2:0]  _tables_3_io_resps_1_bits_ctr;
+     201             :   wire        _tables_3_io_resps_1_bits_u;
+     202             :   wire        _tables_3_io_resps_1_bits_unconf;
+     203             :   wire        _tables_2_io_req_ready;
+     204             :   wire        _tables_2_io_resps_0_valid;
+     205             :   wire [2:0]  _tables_2_io_resps_0_bits_ctr;
+     206             :   wire        _tables_2_io_resps_0_bits_u;
+     207             :   wire        _tables_2_io_resps_0_bits_unconf;
+     208             :   wire        _tables_2_io_resps_1_valid;
+     209             :   wire [2:0]  _tables_2_io_resps_1_bits_ctr;
+     210             :   wire        _tables_2_io_resps_1_bits_u;
+     211             :   wire        _tables_2_io_resps_1_bits_unconf;
+     212             :   wire        _tables_1_io_req_ready;
+     213             :   wire        _tables_1_io_resps_0_valid;
+     214             :   wire [2:0]  _tables_1_io_resps_0_bits_ctr;
+     215             :   wire        _tables_1_io_resps_0_bits_u;
+     216             :   wire        _tables_1_io_resps_0_bits_unconf;
+     217             :   wire        _tables_1_io_resps_1_valid;
+     218             :   wire [2:0]  _tables_1_io_resps_1_bits_ctr;
+     219             :   wire        _tables_1_io_resps_1_bits_u;
+     220             :   wire        _tables_1_io_resps_1_bits_unconf;
+     221             :   wire        _tables_0_io_req_ready;
+     222             :   wire        _tables_0_io_resps_0_valid;
+     223             :   wire [2:0]  _tables_0_io_resps_0_bits_ctr;
+     224             :   wire        _tables_0_io_resps_0_bits_u;
+     225             :   wire        _tables_0_io_resps_0_bits_unconf;
+     226             :   wire        _tables_0_io_resps_1_valid;
+     227             :   wire [2:0]  _tables_0_io_resps_1_bits_ctr;
+     228             :   wire        _tables_0_io_resps_1_bits_u;
+     229             :   wire        _tables_0_io_resps_1_bits_unconf;
+     230             :   wire [35:0] _reset_vector_delay_io_out;
+     231       10114 :   reg  [40:0] s1_pc_dup_0;
+     232          79 :   reg         REG;
+     233         102 :   reg         REG_1;
+     234         173 :   reg  [6:0]  bankTickCtrDistanceToTops_0;
+     235         169 :   reg  [6:0]  bankTickCtrDistanceToTops_1;
+     236         152 :   reg  [6:0]  bankTickCtrs_0;
+     237         161 :   reg  [6:0]  bankTickCtrs_1;
+     238          88 :   reg  [3:0]  useAltOnNaCtrs_0_0;
+     239         100 :   reg  [3:0]  useAltOnNaCtrs_0_1;
+     240          87 :   reg  [3:0]  useAltOnNaCtrs_0_2;
+     241          84 :   reg  [3:0]  useAltOnNaCtrs_0_3;
+     242          91 :   reg  [3:0]  useAltOnNaCtrs_0_4;
+     243          85 :   reg  [3:0]  useAltOnNaCtrs_0_5;
+     244          93 :   reg  [3:0]  useAltOnNaCtrs_0_6;
+     245          85 :   reg  [3:0]  useAltOnNaCtrs_0_7;
+     246          94 :   reg  [3:0]  useAltOnNaCtrs_0_8;
+     247          91 :   reg  [3:0]  useAltOnNaCtrs_0_9;
+     248          90 :   reg  [3:0]  useAltOnNaCtrs_0_10;
+     249          92 :   reg  [3:0]  useAltOnNaCtrs_0_11;
+     250          93 :   reg  [3:0]  useAltOnNaCtrs_0_12;
+     251         108 :   reg  [3:0]  useAltOnNaCtrs_0_13;
+     252          99 :   reg  [3:0]  useAltOnNaCtrs_0_14;
+     253          92 :   reg  [3:0]  useAltOnNaCtrs_0_15;
+     254          96 :   reg  [3:0]  useAltOnNaCtrs_0_16;
+     255          83 :   reg  [3:0]  useAltOnNaCtrs_0_17;
+     256          89 :   reg  [3:0]  useAltOnNaCtrs_0_18;
+     257          90 :   reg  [3:0]  useAltOnNaCtrs_0_19;
+     258          94 :   reg  [3:0]  useAltOnNaCtrs_0_20;
+     259          91 :   reg  [3:0]  useAltOnNaCtrs_0_21;
+     260         102 :   reg  [3:0]  useAltOnNaCtrs_0_22;
+     261          96 :   reg  [3:0]  useAltOnNaCtrs_0_23;
+     262          97 :   reg  [3:0]  useAltOnNaCtrs_0_24;
+     263          91 :   reg  [3:0]  useAltOnNaCtrs_0_25;
+     264          92 :   reg  [3:0]  useAltOnNaCtrs_0_26;
+     265          99 :   reg  [3:0]  useAltOnNaCtrs_0_27;
+     266          95 :   reg  [3:0]  useAltOnNaCtrs_0_28;
+     267          91 :   reg  [3:0]  useAltOnNaCtrs_0_29;
+     268          97 :   reg  [3:0]  useAltOnNaCtrs_0_30;
+     269          86 :   reg  [3:0]  useAltOnNaCtrs_0_31;
+     270          88 :   reg  [3:0]  useAltOnNaCtrs_0_32;
+     271          91 :   reg  [3:0]  useAltOnNaCtrs_0_33;
+     272          97 :   reg  [3:0]  useAltOnNaCtrs_0_34;
+     273          89 :   reg  [3:0]  useAltOnNaCtrs_0_35;
+     274          83 :   reg  [3:0]  useAltOnNaCtrs_0_36;
+     275          89 :   reg  [3:0]  useAltOnNaCtrs_0_37;
+     276         101 :   reg  [3:0]  useAltOnNaCtrs_0_38;
+     277          88 :   reg  [3:0]  useAltOnNaCtrs_0_39;
+     278          95 :   reg  [3:0]  useAltOnNaCtrs_0_40;
+     279          96 :   reg  [3:0]  useAltOnNaCtrs_0_41;
+     280          97 :   reg  [3:0]  useAltOnNaCtrs_0_42;
+     281          90 :   reg  [3:0]  useAltOnNaCtrs_0_43;
+     282          82 :   reg  [3:0]  useAltOnNaCtrs_0_44;
+     283          90 :   reg  [3:0]  useAltOnNaCtrs_0_45;
+     284          89 :   reg  [3:0]  useAltOnNaCtrs_0_46;
+     285          85 :   reg  [3:0]  useAltOnNaCtrs_0_47;
+     286          94 :   reg  [3:0]  useAltOnNaCtrs_0_48;
+     287          86 :   reg  [3:0]  useAltOnNaCtrs_0_49;
+     288          90 :   reg  [3:0]  useAltOnNaCtrs_0_50;
+     289          87 :   reg  [3:0]  useAltOnNaCtrs_0_51;
+     290          99 :   reg  [3:0]  useAltOnNaCtrs_0_52;
+     291          96 :   reg  [3:0]  useAltOnNaCtrs_0_53;
+     292          95 :   reg  [3:0]  useAltOnNaCtrs_0_54;
+     293          88 :   reg  [3:0]  useAltOnNaCtrs_0_55;
+     294          77 :   reg  [3:0]  useAltOnNaCtrs_0_56;
+     295          96 :   reg  [3:0]  useAltOnNaCtrs_0_57;
+     296          87 :   reg  [3:0]  useAltOnNaCtrs_0_58;
+     297          89 :   reg  [3:0]  useAltOnNaCtrs_0_59;
+     298          91 :   reg  [3:0]  useAltOnNaCtrs_0_60;
+     299          84 :   reg  [3:0]  useAltOnNaCtrs_0_61;
+     300          96 :   reg  [3:0]  useAltOnNaCtrs_0_62;
+     301          89 :   reg  [3:0]  useAltOnNaCtrs_0_63;
+     302          86 :   reg  [3:0]  useAltOnNaCtrs_0_64;
+     303          76 :   reg  [3:0]  useAltOnNaCtrs_0_65;
+     304          99 :   reg  [3:0]  useAltOnNaCtrs_0_66;
+     305          98 :   reg  [3:0]  useAltOnNaCtrs_0_67;
+     306          94 :   reg  [3:0]  useAltOnNaCtrs_0_68;
+     307          94 :   reg  [3:0]  useAltOnNaCtrs_0_69;
+     308          97 :   reg  [3:0]  useAltOnNaCtrs_0_70;
+     309          98 :   reg  [3:0]  useAltOnNaCtrs_0_71;
+     310          90 :   reg  [3:0]  useAltOnNaCtrs_0_72;
+     311         100 :   reg  [3:0]  useAltOnNaCtrs_0_73;
+     312          86 :   reg  [3:0]  useAltOnNaCtrs_0_74;
+     313          90 :   reg  [3:0]  useAltOnNaCtrs_0_75;
+     314          89 :   reg  [3:0]  useAltOnNaCtrs_0_76;
+     315          85 :   reg  [3:0]  useAltOnNaCtrs_0_77;
+     316          86 :   reg  [3:0]  useAltOnNaCtrs_0_78;
+     317          90 :   reg  [3:0]  useAltOnNaCtrs_0_79;
+     318          82 :   reg  [3:0]  useAltOnNaCtrs_0_80;
+     319          93 :   reg  [3:0]  useAltOnNaCtrs_0_81;
+     320          91 :   reg  [3:0]  useAltOnNaCtrs_0_82;
+     321          83 :   reg  [3:0]  useAltOnNaCtrs_0_83;
+     322          82 :   reg  [3:0]  useAltOnNaCtrs_0_84;
+     323          87 :   reg  [3:0]  useAltOnNaCtrs_0_85;
+     324          96 :   reg  [3:0]  useAltOnNaCtrs_0_86;
+     325         101 :   reg  [3:0]  useAltOnNaCtrs_0_87;
+     326          83 :   reg  [3:0]  useAltOnNaCtrs_0_88;
+     327          90 :   reg  [3:0]  useAltOnNaCtrs_0_89;
+     328         103 :   reg  [3:0]  useAltOnNaCtrs_0_90;
+     329          84 :   reg  [3:0]  useAltOnNaCtrs_0_91;
+     330          89 :   reg  [3:0]  useAltOnNaCtrs_0_92;
+     331          98 :   reg  [3:0]  useAltOnNaCtrs_0_93;
+     332          76 :   reg  [3:0]  useAltOnNaCtrs_0_94;
+     333          88 :   reg  [3:0]  useAltOnNaCtrs_0_95;
+     334          97 :   reg  [3:0]  useAltOnNaCtrs_0_96;
+     335          79 :   reg  [3:0]  useAltOnNaCtrs_0_97;
+     336          98 :   reg  [3:0]  useAltOnNaCtrs_0_98;
+     337          93 :   reg  [3:0]  useAltOnNaCtrs_0_99;
+     338          85 :   reg  [3:0]  useAltOnNaCtrs_0_100;
+     339          99 :   reg  [3:0]  useAltOnNaCtrs_0_101;
+     340          90 :   reg  [3:0]  useAltOnNaCtrs_0_102;
+     341          98 :   reg  [3:0]  useAltOnNaCtrs_0_103;
+     342          96 :   reg  [3:0]  useAltOnNaCtrs_0_104;
+     343          83 :   reg  [3:0]  useAltOnNaCtrs_0_105;
+     344          88 :   reg  [3:0]  useAltOnNaCtrs_0_106;
+     345          96 :   reg  [3:0]  useAltOnNaCtrs_0_107;
+     346         105 :   reg  [3:0]  useAltOnNaCtrs_0_108;
+     347          91 :   reg  [3:0]  useAltOnNaCtrs_0_109;
+     348          98 :   reg  [3:0]  useAltOnNaCtrs_0_110;
+     349         104 :   reg  [3:0]  useAltOnNaCtrs_0_111;
+     350          89 :   reg  [3:0]  useAltOnNaCtrs_0_112;
+     351          78 :   reg  [3:0]  useAltOnNaCtrs_0_113;
+     352          89 :   reg  [3:0]  useAltOnNaCtrs_0_114;
+     353          84 :   reg  [3:0]  useAltOnNaCtrs_0_115;
+     354          81 :   reg  [3:0]  useAltOnNaCtrs_0_116;
+     355          98 :   reg  [3:0]  useAltOnNaCtrs_0_117;
+     356          88 :   reg  [3:0]  useAltOnNaCtrs_0_118;
+     357          86 :   reg  [3:0]  useAltOnNaCtrs_0_119;
+     358          83 :   reg  [3:0]  useAltOnNaCtrs_0_120;
+     359          86 :   reg  [3:0]  useAltOnNaCtrs_0_121;
+     360          92 :   reg  [3:0]  useAltOnNaCtrs_0_122;
+     361          87 :   reg  [3:0]  useAltOnNaCtrs_0_123;
+     362          87 :   reg  [3:0]  useAltOnNaCtrs_0_124;
+     363          90 :   reg  [3:0]  useAltOnNaCtrs_0_125;
+     364          92 :   reg  [3:0]  useAltOnNaCtrs_0_126;
+     365         102 :   reg  [3:0]  useAltOnNaCtrs_0_127;
+     366          87 :   reg  [3:0]  useAltOnNaCtrs_1_0;
+     367          86 :   reg  [3:0]  useAltOnNaCtrs_1_1;
+     368          92 :   reg  [3:0]  useAltOnNaCtrs_1_2;
+     369          96 :   reg  [3:0]  useAltOnNaCtrs_1_3;
+     370         101 :   reg  [3:0]  useAltOnNaCtrs_1_4;
+     371          93 :   reg  [3:0]  useAltOnNaCtrs_1_5;
+     372          98 :   reg  [3:0]  useAltOnNaCtrs_1_6;
+     373          83 :   reg  [3:0]  useAltOnNaCtrs_1_7;
+     374          98 :   reg  [3:0]  useAltOnNaCtrs_1_8;
+     375          95 :   reg  [3:0]  useAltOnNaCtrs_1_9;
+     376          89 :   reg  [3:0]  useAltOnNaCtrs_1_10;
+     377          90 :   reg  [3:0]  useAltOnNaCtrs_1_11;
+     378          90 :   reg  [3:0]  useAltOnNaCtrs_1_12;
+     379         100 :   reg  [3:0]  useAltOnNaCtrs_1_13;
+     380         104 :   reg  [3:0]  useAltOnNaCtrs_1_14;
+     381          95 :   reg  [3:0]  useAltOnNaCtrs_1_15;
+     382          86 :   reg  [3:0]  useAltOnNaCtrs_1_16;
+     383          98 :   reg  [3:0]  useAltOnNaCtrs_1_17;
+     384          92 :   reg  [3:0]  useAltOnNaCtrs_1_18;
+     385          89 :   reg  [3:0]  useAltOnNaCtrs_1_19;
+     386         103 :   reg  [3:0]  useAltOnNaCtrs_1_20;
+     387          94 :   reg  [3:0]  useAltOnNaCtrs_1_21;
+     388          96 :   reg  [3:0]  useAltOnNaCtrs_1_22;
+     389          98 :   reg  [3:0]  useAltOnNaCtrs_1_23;
+     390          91 :   reg  [3:0]  useAltOnNaCtrs_1_24;
+     391         100 :   reg  [3:0]  useAltOnNaCtrs_1_25;
+     392          90 :   reg  [3:0]  useAltOnNaCtrs_1_26;
+     393         103 :   reg  [3:0]  useAltOnNaCtrs_1_27;
+     394          87 :   reg  [3:0]  useAltOnNaCtrs_1_28;
+     395          97 :   reg  [3:0]  useAltOnNaCtrs_1_29;
+     396          97 :   reg  [3:0]  useAltOnNaCtrs_1_30;
+     397          88 :   reg  [3:0]  useAltOnNaCtrs_1_31;
+     398          92 :   reg  [3:0]  useAltOnNaCtrs_1_32;
+     399          97 :   reg  [3:0]  useAltOnNaCtrs_1_33;
+     400         103 :   reg  [3:0]  useAltOnNaCtrs_1_34;
+     401          86 :   reg  [3:0]  useAltOnNaCtrs_1_35;
+     402          88 :   reg  [3:0]  useAltOnNaCtrs_1_36;
+     403          94 :   reg  [3:0]  useAltOnNaCtrs_1_37;
+     404          94 :   reg  [3:0]  useAltOnNaCtrs_1_38;
+     405          99 :   reg  [3:0]  useAltOnNaCtrs_1_39;
+     406          84 :   reg  [3:0]  useAltOnNaCtrs_1_40;
+     407          82 :   reg  [3:0]  useAltOnNaCtrs_1_41;
+     408         100 :   reg  [3:0]  useAltOnNaCtrs_1_42;
+     409          85 :   reg  [3:0]  useAltOnNaCtrs_1_43;
+     410         101 :   reg  [3:0]  useAltOnNaCtrs_1_44;
+     411         106 :   reg  [3:0]  useAltOnNaCtrs_1_45;
+     412          91 :   reg  [3:0]  useAltOnNaCtrs_1_46;
+     413          88 :   reg  [3:0]  useAltOnNaCtrs_1_47;
+     414          88 :   reg  [3:0]  useAltOnNaCtrs_1_48;
+     415          84 :   reg  [3:0]  useAltOnNaCtrs_1_49;
+     416          76 :   reg  [3:0]  useAltOnNaCtrs_1_50;
+     417          89 :   reg  [3:0]  useAltOnNaCtrs_1_51;
+     418          83 :   reg  [3:0]  useAltOnNaCtrs_1_52;
+     419         100 :   reg  [3:0]  useAltOnNaCtrs_1_53;
+     420          96 :   reg  [3:0]  useAltOnNaCtrs_1_54;
+     421          92 :   reg  [3:0]  useAltOnNaCtrs_1_55;
+     422         102 :   reg  [3:0]  useAltOnNaCtrs_1_56;
+     423          98 :   reg  [3:0]  useAltOnNaCtrs_1_57;
+     424          89 :   reg  [3:0]  useAltOnNaCtrs_1_58;
+     425          93 :   reg  [3:0]  useAltOnNaCtrs_1_59;
+     426          82 :   reg  [3:0]  useAltOnNaCtrs_1_60;
+     427          86 :   reg  [3:0]  useAltOnNaCtrs_1_61;
+     428          96 :   reg  [3:0]  useAltOnNaCtrs_1_62;
+     429          86 :   reg  [3:0]  useAltOnNaCtrs_1_63;
+     430          97 :   reg  [3:0]  useAltOnNaCtrs_1_64;
+     431         106 :   reg  [3:0]  useAltOnNaCtrs_1_65;
+     432         100 :   reg  [3:0]  useAltOnNaCtrs_1_66;
+     433          92 :   reg  [3:0]  useAltOnNaCtrs_1_67;
+     434          94 :   reg  [3:0]  useAltOnNaCtrs_1_68;
+     435          95 :   reg  [3:0]  useAltOnNaCtrs_1_69;
+     436          83 :   reg  [3:0]  useAltOnNaCtrs_1_70;
+     437          96 :   reg  [3:0]  useAltOnNaCtrs_1_71;
+     438          94 :   reg  [3:0]  useAltOnNaCtrs_1_72;
+     439          81 :   reg  [3:0]  useAltOnNaCtrs_1_73;
+     440          84 :   reg  [3:0]  useAltOnNaCtrs_1_74;
+     441          87 :   reg  [3:0]  useAltOnNaCtrs_1_75;
+     442          92 :   reg  [3:0]  useAltOnNaCtrs_1_76;
+     443          89 :   reg  [3:0]  useAltOnNaCtrs_1_77;
+     444          98 :   reg  [3:0]  useAltOnNaCtrs_1_78;
+     445          82 :   reg  [3:0]  useAltOnNaCtrs_1_79;
+     446          74 :   reg  [3:0]  useAltOnNaCtrs_1_80;
+     447          99 :   reg  [3:0]  useAltOnNaCtrs_1_81;
+     448          92 :   reg  [3:0]  useAltOnNaCtrs_1_82;
+     449          84 :   reg  [3:0]  useAltOnNaCtrs_1_83;
+     450          94 :   reg  [3:0]  useAltOnNaCtrs_1_84;
+     451         101 :   reg  [3:0]  useAltOnNaCtrs_1_85;
+     452          97 :   reg  [3:0]  useAltOnNaCtrs_1_86;
+     453          97 :   reg  [3:0]  useAltOnNaCtrs_1_87;
+     454          92 :   reg  [3:0]  useAltOnNaCtrs_1_88;
+     455          91 :   reg  [3:0]  useAltOnNaCtrs_1_89;
+     456          92 :   reg  [3:0]  useAltOnNaCtrs_1_90;
+     457          89 :   reg  [3:0]  useAltOnNaCtrs_1_91;
+     458         106 :   reg  [3:0]  useAltOnNaCtrs_1_92;
+     459          92 :   reg  [3:0]  useAltOnNaCtrs_1_93;
+     460          86 :   reg  [3:0]  useAltOnNaCtrs_1_94;
+     461          94 :   reg  [3:0]  useAltOnNaCtrs_1_95;
+     462         103 :   reg  [3:0]  useAltOnNaCtrs_1_96;
+     463          87 :   reg  [3:0]  useAltOnNaCtrs_1_97;
+     464          90 :   reg  [3:0]  useAltOnNaCtrs_1_98;
+     465          99 :   reg  [3:0]  useAltOnNaCtrs_1_99;
+     466          99 :   reg  [3:0]  useAltOnNaCtrs_1_100;
+     467          91 :   reg  [3:0]  useAltOnNaCtrs_1_101;
+     468         101 :   reg  [3:0]  useAltOnNaCtrs_1_102;
+     469          85 :   reg  [3:0]  useAltOnNaCtrs_1_103;
+     470          96 :   reg  [3:0]  useAltOnNaCtrs_1_104;
+     471          95 :   reg  [3:0]  useAltOnNaCtrs_1_105;
+     472          90 :   reg  [3:0]  useAltOnNaCtrs_1_106;
+     473          87 :   reg  [3:0]  useAltOnNaCtrs_1_107;
+     474          95 :   reg  [3:0]  useAltOnNaCtrs_1_108;
+     475          74 :   reg  [3:0]  useAltOnNaCtrs_1_109;
+     476          94 :   reg  [3:0]  useAltOnNaCtrs_1_110;
+     477          89 :   reg  [3:0]  useAltOnNaCtrs_1_111;
+     478          88 :   reg  [3:0]  useAltOnNaCtrs_1_112;
+     479          89 :   reg  [3:0]  useAltOnNaCtrs_1_113;
+     480          88 :   reg  [3:0]  useAltOnNaCtrs_1_114;
+     481          92 :   reg  [3:0]  useAltOnNaCtrs_1_115;
+     482          99 :   reg  [3:0]  useAltOnNaCtrs_1_116;
+     483         112 :   reg  [3:0]  useAltOnNaCtrs_1_117;
+     484         105 :   reg  [3:0]  useAltOnNaCtrs_1_118;
+     485         105 :   reg  [3:0]  useAltOnNaCtrs_1_119;
+     486          97 :   reg  [3:0]  useAltOnNaCtrs_1_120;
+     487          95 :   reg  [3:0]  useAltOnNaCtrs_1_121;
+     488          94 :   reg  [3:0]  useAltOnNaCtrs_1_122;
+     489          79 :   reg  [3:0]  useAltOnNaCtrs_1_123;
+     490          89 :   reg  [3:0]  useAltOnNaCtrs_1_124;
+     491          86 :   reg  [3:0]  useAltOnNaCtrs_1_125;
+     492         104 :   reg  [3:0]  useAltOnNaCtrs_1_126;
+     493          95 :   reg  [3:0]  useAltOnNaCtrs_1_127;
+     494          29 :   reg         s2_provideds_0;
+     495          31 :   reg         s2_provideds_1;
+     496          60 :   reg  [1:0]  s2_providers_0;
+     497          64 :   reg  [1:0]  s2_providers_1;
+     498         101 :   reg  [2:0]  s2_providerResps_0_ctr;
+     499          28 :   reg         s2_providerResps_0_u;
+     500          34 :   reg         s2_providerResps_0_unconf;
+     501         102 :   reg  [2:0]  s2_providerResps_1_ctr;
+     502          26 :   reg         s2_providerResps_1_u;
+     503          34 :   reg         s2_providerResps_1_unconf;
+     504          31 :   reg         s2_altUsed_0;
+     505          30 :   reg         s2_altUsed_1;
+     506          27 :   reg         s2_tageTakens_dup_0_0;
+     507          26 :   reg         s2_tageTakens_dup_0_1;
+     508          28 :   reg         s2_tageTakens_dup_1_0;
+     509          34 :   reg         s2_tageTakens_dup_1_1;
+     510          28 :   reg         s2_tageTakens_dup_2_0;
+     511          39 :   reg         s2_tageTakens_dup_2_1;
+     512          28 :   reg         s2_tageTakens_dup_3_0;
+     513          33 :   reg         s2_tageTakens_dup_3_1;
+     514          30 :   reg         s2_finalAltPreds_0;
+     515          35 :   reg         s2_finalAltPreds_1;
+     516          71 :   reg  [1:0]  s2_basecnts_0;
+     517          58 :   reg  [1:0]  s2_basecnts_1;
+     518          19 :   wire        updateValids_0 =
+     519             :     io_update_bits_ftb_entry_brSlots_0_valid & io_update_valid
+     520             :     & ~io_update_bits_ftb_entry_always_taken_0;
+     521          21 :   wire        updateValids_1 =
+     522             :     io_update_bits_ftb_entry_tailSlot_valid & io_update_bits_ftb_entry_tailSlot_sharing
+     523             :     & io_update_valid & ~io_update_bits_ftb_entry_always_taken_1
+     524             :     & ~io_update_bits_br_taken_mask_0;
+     525         122 :   reg  [3:0]  allocatableSlots;
+     526          90 :   reg         tage_enable_dup_REG;
+     527          15 :   wire        updateTaken = updateValids_0 & io_update_bits_br_taken_mask_0;
+     528          32 :   wire        updateProviderCorrect = io_update_bits_meta[76] == updateTaken;
+     529          22 :   wire        needToAllocate =
+     530             :     updateValids_0 & io_update_bits_mispred_mask_0
+     531             :     & ~(io_update_bits_meta[70] & updateProviderCorrect & io_update_bits_meta[84]);
+     532     2001218 :   reg  [63:0] allocLFSR_lfsr;
+     533             :   wire [3:0]  _longerHistoryTableMask_T_1 = 4'h1 << io_update_bits_meta[83:82];
+     534             :   wire [2:0]  _GEN = _longerHistoryTableMask_T_1[2:0] | _longerHistoryTableMask_T_1[3:1];
+     535         100 :   wire [3:0]  longerHistoryTableMask =
+     536             :     ~({&(io_update_bits_meta[83:82]),
+     537             :        _GEN[2],
+     538             :        _GEN[1] | (&(io_update_bits_meta[83:82])),
+     539             :        _GEN[0] | io_update_bits_meta[83:82] == 2'h2 | (&(io_update_bits_meta[83:82]))}
+     540             :       & {4{io_update_bits_meta[84]}});
+     541             :   wire        _firstEntry_T = io_update_bits_meta[56] & longerHistoryTableMask[0];
+     542             :   wire        _firstEntry_T_1 = io_update_bits_meta[57] & longerHistoryTableMask[1];
+     543             :   wire        _firstEntry_T_2 = io_update_bits_meta[58] & longerHistoryTableMask[2];
+     544         121 :   reg  [3:0]  allocatableSlots_1;
+     545          93 :   reg         tage_enable_dup_REG_1;
+     546          13 :   wire        updateTaken_1 = updateValids_1 & io_update_bits_br_taken_mask_1;
+     547          34 :   wire        updateProviderCorrect_1 = io_update_bits_meta[81] == updateTaken_1;
+     548          14 :   wire        needToAllocate_1 =
+     549             :     updateValids_1 & io_update_bits_mispred_mask_1
+     550             :     & ~(io_update_bits_meta[71] & updateProviderCorrect_1 & io_update_bits_meta[87]);
+     551     2001199 :   reg  [63:0] allocLFSR_lfsr_1;
+     552             :   wire [3:0]  _longerHistoryTableMask_T_11 = 4'h1 << io_update_bits_meta[86:85];
+     553             :   wire [2:0]  _GEN_0 =
+     554             :     _longerHistoryTableMask_T_11[2:0] | _longerHistoryTableMask_T_11[3:1];
+     555         111 :   wire [3:0]  longerHistoryTableMask_1 =
+     556             :     ~({&(io_update_bits_meta[86:85]),
+     557             :        _GEN_0[2],
+     558             :        _GEN_0[1] | (&(io_update_bits_meta[86:85])),
+     559             :        _GEN_0[0] | io_update_bits_meta[86:85] == 2'h2 | (&(io_update_bits_meta[86:85]))}
+     560             :       & {4{io_update_bits_meta[87]}});
+     561             :   wire        _firstEntry_T_6 = io_update_bits_meta[60] & longerHistoryTableMask_1[0];
+     562             :   wire        _firstEntry_T_7 = io_update_bits_meta[61] & longerHistoryTableMask_1[1];
+     563             :   wire        _firstEntry_T_8 = io_update_bits_meta[62] & longerHistoryTableMask_1[2];
+     564          38 :   reg         tables_0_io_update_reset_u_0_REG;
+     565          32 :   reg         tables_0_io_update_mask_0_REG;
+     566          13 :   reg         tables_0_io_update_takens_0_r;
+     567          15 :   reg         tables_0_io_update_alloc_0_r;
+     568          42 :   reg  [2:0]  tables_0_io_update_oldCtrs_0_r;
+     569          14 :   reg         tables_0_io_update_uMask_0_r;
+     570          11 :   reg         tables_0_io_update_us_0_r;
+     571          29 :   reg         tables_1_io_update_reset_u_0_REG;
+     572          22 :   reg         tables_1_io_update_mask_0_REG;
+     573          18 :   reg         tables_1_io_update_takens_0_r;
+     574          15 :   reg         tables_1_io_update_alloc_0_r;
+     575          47 :   reg  [2:0]  tables_1_io_update_oldCtrs_0_r;
+     576          15 :   reg         tables_1_io_update_uMask_0_r;
+     577          14 :   reg         tables_1_io_update_us_0_r;
+     578          26 :   reg         tables_2_io_update_reset_u_0_REG;
+     579          29 :   reg         tables_2_io_update_mask_0_REG;
+     580          16 :   reg         tables_2_io_update_takens_0_r;
+     581          10 :   reg         tables_2_io_update_alloc_0_r;
+     582          45 :   reg  [2:0]  tables_2_io_update_oldCtrs_0_r;
+     583          19 :   reg         tables_2_io_update_uMask_0_r;
+     584          18 :   reg         tables_2_io_update_us_0_r;
+     585          28 :   reg         tables_3_io_update_reset_u_0_REG;
+     586          30 :   reg         tables_3_io_update_mask_0_REG;
+     587          12 :   reg         tables_3_io_update_takens_0_r;
+     588          15 :   reg         tables_3_io_update_alloc_0_r;
+     589          49 :   reg  [2:0]  tables_3_io_update_oldCtrs_0_r;
+     590          17 :   reg         tables_3_io_update_uMask_0_r;
+     591          16 :   reg         tables_3_io_update_us_0_r;
+     592          31 :   reg         tables_0_io_update_reset_u_1_REG;
+     593          40 :   reg         tables_0_io_update_mask_1_REG;
+     594          20 :   reg         tables_0_io_update_takens_1_r;
+     595          15 :   reg         tables_0_io_update_alloc_1_r;
+     596          38 :   reg  [2:0]  tables_0_io_update_oldCtrs_1_r;
+     597          13 :   reg         tables_0_io_update_uMask_1_r;
+     598          12 :   reg         tables_0_io_update_us_1_r;
+     599         615 :   reg  [40:0] tables_0_io_update_pc_r_1;
+     600         122 :   reg  [7:0]  tables_0_io_update_folded_hist_r_1_hist_14_folded_hist;
+     601         102 :   reg  [6:0]  tables_0_io_update_folded_hist_r_1_hist_7_folded_hist;
+     602          36 :   reg         tables_1_io_update_reset_u_1_REG;
+     603          26 :   reg         tables_1_io_update_mask_1_REG;
+     604          13 :   reg         tables_1_io_update_takens_1_r;
+     605          17 :   reg         tables_1_io_update_alloc_1_r;
+     606          38 :   reg  [2:0]  tables_1_io_update_oldCtrs_1_r;
+     607          13 :   reg         tables_1_io_update_uMask_1_r;
+     608          15 :   reg         tables_1_io_update_us_1_r;
+     609         599 :   reg  [40:0] tables_1_io_update_pc_r_1;
+     610          99 :   reg  [6:0]  tables_1_io_update_folded_hist_r_1_hist_15_folded_hist;
+     611         113 :   reg  [7:0]  tables_1_io_update_folded_hist_r_1_hist_4_folded_hist;
+     612         166 :   reg  [10:0] tables_1_io_update_folded_hist_r_1_hist_1_folded_hist;
+     613          33 :   reg         tables_2_io_update_reset_u_1_REG;
+     614          30 :   reg         tables_2_io_update_mask_1_REG;
+     615          15 :   reg         tables_2_io_update_takens_1_r;
+     616          20 :   reg         tables_2_io_update_alloc_1_r;
+     617          45 :   reg  [2:0]  tables_2_io_update_oldCtrs_1_r;
+     618          12 :   reg         tables_2_io_update_uMask_1_r;
+     619          21 :   reg         tables_2_io_update_us_1_r;
+     620         623 :   reg  [40:0] tables_2_io_update_pc_r_1;
+     621         176 :   reg  [10:0] tables_2_io_update_folded_hist_r_1_hist_17_folded_hist;
+     622          99 :   reg  [6:0]  tables_2_io_update_folded_hist_r_1_hist_9_folded_hist;
+     623         134 :   reg  [7:0]  tables_2_io_update_folded_hist_r_1_hist_3_folded_hist;
+     624          23 :   reg         tables_3_io_update_reset_u_1_REG;
+     625          31 :   reg         tables_3_io_update_mask_1_REG;
+     626          17 :   reg         tables_3_io_update_takens_1_r;
+     627          16 :   reg         tables_3_io_update_alloc_1_r;
+     628          52 :   reg  [2:0]  tables_3_io_update_oldCtrs_1_r;
+     629          14 :   reg         tables_3_io_update_uMask_1_r;
+     630          22 :   reg         tables_3_io_update_us_1_r;
+     631         641 :   reg  [40:0] tables_3_io_update_pc_r_1;
+     632         185 :   reg  [10:0] tables_3_io_update_folded_hist_r_1_hist_16_folded_hist;
+     633         121 :   reg  [7:0]  tables_3_io_update_folded_hist_r_1_hist_8_folded_hist;
+     634         102 :   reg  [6:0]  tables_3_io_update_folded_hist_r_1_hist_5_folded_hist;
+     635          28 :   reg         REG_2_0;
+     636          26 :   reg         REG_2_1;
+     637          29 :   reg  [1:0]  r_0;
+     638          29 :   reg  [1:0]  r_1;
+     639         689 :   reg  [40:0] bt_io_update_pc_r;
+     640          13 :   reg         r_1_0;
+     641          18 :   reg         r_1_1;
+     642         110 :   reg  [4:0]  scThresholds_0_ctr;
+     643         182 :   reg  [7:0]  scThresholds_0_thres;
+     644         113 :   reg  [4:0]  scThresholds_1_ctr;
+     645         174 :   reg  [7:0]  scThresholds_1_thres;
+     646         258 :   reg  [8:0]  s2_scTableSums_0;
+     647         292 :   reg  [8:0]  s2_scTableSums_1;
+     648          99 :   reg  [2:0]  s2_tagePrvdCtrCentered_r;
+     649         195 :   reg  [5:0]  s2_scResps_r_0_ctrs_0_0;
+     650         191 :   reg  [5:0]  s2_scResps_r_0_ctrs_0_1;
+     651         194 :   reg  [5:0]  s2_scResps_r_1_ctrs_0_0;
+     652         197 :   reg  [5:0]  s2_scResps_r_1_ctrs_0_1;
+     653         184 :   reg  [5:0]  s2_scResps_r_2_ctrs_0_0;
+     654         191 :   reg  [5:0]  s2_scResps_r_2_ctrs_0_1;
+     655         189 :   reg  [5:0]  s2_scResps_r_3_ctrs_0_0;
+     656         195 :   reg  [5:0]  s2_scResps_r_3_ctrs_0_1;
+     657          35 :   reg         s3_pred_dup_0;
+     658          30 :   reg         s3_pred_dup_1;
+     659          26 :   reg         s3_pred_dup_2;
+     660          37 :   reg         s3_pred_dup_3;
+     661         108 :   reg         sc_enable_dup_REG;
+     662             :   wire        _GEN_1 = updateValids_0 & io_update_bits_meta[50];
+     663             :   wire [7:0]  _sum_T_8 =
+     664             :     8'({io_update_bits_meta[5], io_update_bits_meta[5:0], 1'h1}
+     665             :        + {io_update_bits_meta[11], io_update_bits_meta[11:6], 1'h1});
+     666             :   wire [7:0]  _sum_T_9 =
+     667             :     8'({io_update_bits_meta[17], io_update_bits_meta[17:12], 1'h1}
+     668             :        + {io_update_bits_meta[23], io_update_bits_meta[23:18], 1'h1});
+     669             :   wire [8:0]  _sum_T_10 = 9'({_sum_T_8[7], _sum_T_8} + {_sum_T_9[7], _sum_T_9});
+     670             :   wire [2:0]  _sumAboveThreshold_T = io_update_bits_meta[76:74] ^ 3'h4;
+     671         265 :   wire [9:0]  sum =
+     672             :     10'({_sum_T_10[8], _sum_T_10}
+     673             :         + {{3{_sumAboveThreshold_T[2]}}, _sumAboveThreshold_T, 4'h8});
+     674             :   wire        _update_on_mispred_0_T =
+     675             :     io_update_bits_meta[48] != io_update_bits_br_taken_mask_0;
+     676         293 :   reg  [8:0]  s2_scTableSums_1_0;
+     677         285 :   reg  [8:0]  s2_scTableSums_1_1;
+     678         104 :   reg  [2:0]  s2_tagePrvdCtrCentered_r_1;
+     679         168 :   reg  [5:0]  s2_scResps_r_1_0_ctrs_1_0;
+     680         184 :   reg  [5:0]  s2_scResps_r_1_0_ctrs_1_1;
+     681         191 :   reg  [5:0]  s2_scResps_r_1_1_ctrs_1_0;
+     682         188 :   reg  [5:0]  s2_scResps_r_1_1_ctrs_1_1;
+     683         191 :   reg  [5:0]  s2_scResps_r_1_2_ctrs_1_0;
+     684         191 :   reg  [5:0]  s2_scResps_r_1_2_ctrs_1_1;
+     685         191 :   reg  [5:0]  s2_scResps_r_1_3_ctrs_1_0;
+     686         167 :   reg  [5:0]  s2_scResps_r_1_3_ctrs_1_1;
+     687          33 :   reg         s3_pred_dup_0_1;
+     688          31 :   reg         s3_pred_dup_1_1;
+     689          38 :   reg         s3_pred_dup_2_1;
+     690          36 :   reg         s3_pred_dup_3_1;
+     691         101 :   reg         sc_enable_dup_REG_1;
+     692             :   wire        _GEN_2 = updateValids_1 & io_update_bits_meta[51];
+     693             :   wire [7:0]  _sum_T_22 =
+     694             :     8'({io_update_bits_meta[29], io_update_bits_meta[29:24], 1'h1}
+     695             :        + {io_update_bits_meta[35], io_update_bits_meta[35:30], 1'h1});
+     696             :   wire [7:0]  _sum_T_23 =
+     697             :     8'({io_update_bits_meta[41], io_update_bits_meta[41:36], 1'h1}
+     698             :        + {io_update_bits_meta[47], io_update_bits_meta[47:42], 1'h1});
+     699             :   wire [8:0]  _sum_T_24 = 9'({_sum_T_22[7], _sum_T_22} + {_sum_T_23[7], _sum_T_23});
+     700             :   wire [2:0]  _sumAboveThreshold_T_19 = io_update_bits_meta[81:79] ^ 3'h4;
+     701         282 :   wire [9:0]  sum_1 =
+     702             :     10'({_sum_T_24[8], _sum_T_24}
+     703             :         + {{3{_sumAboveThreshold_T_19[2]}}, _sumAboveThreshold_T_19, 4'h8});
+     704             :   wire        _update_on_mispred_1_T =
+     705             :     io_update_bits_meta[49] != io_update_bits_br_taken_mask_1;
+     706          35 :   reg         scTables_0_io_update_mask_0_REG;
+     707          11 :   reg         scTables_0_io_update_tagePreds_0_r;
+     708          20 :   reg         scTables_0_io_update_takens_0_r;
+     709         101 :   reg  [5:0]  scTables_0_io_update_oldCtrs_0_r;
+     710          31 :   reg         scTables_1_io_update_mask_0_REG;
+     711          13 :   reg         scTables_1_io_update_tagePreds_0_r;
+     712          18 :   reg         scTables_1_io_update_takens_0_r;
+     713          87 :   reg  [5:0]  scTables_1_io_update_oldCtrs_0_r;
+     714          31 :   reg         scTables_2_io_update_mask_0_REG;
+     715          15 :   reg         scTables_2_io_update_tagePreds_0_r;
+     716          18 :   reg         scTables_2_io_update_takens_0_r;
+     717          96 :   reg  [5:0]  scTables_2_io_update_oldCtrs_0_r;
+     718          30 :   reg         scTables_3_io_update_mask_0_REG;
+     719          18 :   reg         scTables_3_io_update_tagePreds_0_r;
+     720          17 :   reg         scTables_3_io_update_takens_0_r;
+     721          95 :   reg  [5:0]  scTables_3_io_update_oldCtrs_0_r;
+     722          32 :   reg         scTables_0_io_update_mask_1_REG;
+     723          19 :   reg         scTables_0_io_update_tagePreds_1_r;
+     724          21 :   reg         scTables_0_io_update_takens_1_r;
+     725         102 :   reg  [5:0]  scTables_0_io_update_oldCtrs_1_r;
+     726         618 :   reg  [40:0] scTables_0_io_update_pc_r_1;
+     727          32 :   reg         scTables_1_io_update_mask_1_REG;
+     728          17 :   reg         scTables_1_io_update_tagePreds_1_r;
+     729          16 :   reg         scTables_1_io_update_takens_1_r;
+     730          97 :   reg  [5:0]  scTables_1_io_update_oldCtrs_1_r;
+     731         622 :   reg  [40:0] scTables_1_io_update_pc_r_1;
+     732          56 :   reg  [3:0]  scTables_1_io_update_folded_hist_r_1_hist_12_folded_hist;
+     733          34 :   reg         scTables_2_io_update_mask_1_REG;
+     734          16 :   reg         scTables_2_io_update_tagePreds_1_r;
+     735          19 :   reg         scTables_2_io_update_takens_1_r;
+     736          79 :   reg  [5:0]  scTables_2_io_update_oldCtrs_1_r;
+     737         648 :   reg  [40:0] scTables_2_io_update_pc_r_1;
+     738         123 :   reg  [7:0]  scTables_2_io_update_folded_hist_r_1_hist_11_folded_hist;
+     739          29 :   reg         scTables_3_io_update_mask_1_REG;
+     740          18 :   reg         scTables_3_io_update_tagePreds_1_r;
+     741          17 :   reg         scTables_3_io_update_takens_1_r;
+     742          85 :   reg  [5:0]  scTables_3_io_update_oldCtrs_1_r;
+     743         637 :   reg  [40:0] scTables_3_io_update_pc_r_1;
+     744         112 :   reg  [7:0]  scTables_3_io_update_folded_hist_r_1_hist_2_folded_hist;
+     745          84 :   reg  [1:0]  io_perf_0_value_REG;
+     746         112 :   reg  [1:0]  io_perf_0_value_REG_1;
+     747          58 :   reg  [1:0]  io_perf_1_value_REG;
+     748          95 :   reg  [1:0]  io_perf_1_value_REG_1;
+     749          69 :   reg  [1:0]  io_perf_2_value_REG;
+     750          72 :   reg  [1:0]  io_perf_2_value_REG_1;
+     751             :   wire [7:0]  _s1_scTableSums_T_8 =
+     752             :     8'({_scTables_0_io_resp_ctrs_0_0[5], _scTables_0_io_resp_ctrs_0_0, 1'h1}
+     753             :        + {_scTables_1_io_resp_ctrs_0_0[5], _scTables_1_io_resp_ctrs_0_0, 1'h1});
+     754             :   wire [7:0]  _s1_scTableSums_T_9 =
+     755             :     8'({_scTables_2_io_resp_ctrs_0_0[5], _scTables_2_io_resp_ctrs_0_0, 1'h1}
+     756             :        + {_scTables_3_io_resp_ctrs_0_0[5], _scTables_3_io_resp_ctrs_0_0, 1'h1});
+     757             :   wire [7:0]  _s1_scTableSums_T_19 =
+     758             :     8'({_scTables_0_io_resp_ctrs_0_1[5], _scTables_0_io_resp_ctrs_0_1, 1'h1}
+     759             :        + {_scTables_1_io_resp_ctrs_0_1[5], _scTables_1_io_resp_ctrs_0_1, 1'h1});
+     760             :   wire [7:0]  _s1_scTableSums_T_20 =
+     761             :     8'({_scTables_2_io_resp_ctrs_0_1[5], _scTables_2_io_resp_ctrs_0_1, 1'h1}
+     762             :        + {_scTables_3_io_resp_ctrs_0_1[5], _scTables_3_io_resp_ctrs_0_1, 1'h1});
+     763             :   wire [7:0]  _s1_scTableSums_T_30 =
+     764             :     8'({_scTables_0_io_resp_ctrs_1_0[5], _scTables_0_io_resp_ctrs_1_0, 1'h1}
+     765             :        + {_scTables_1_io_resp_ctrs_1_0[5], _scTables_1_io_resp_ctrs_1_0, 1'h1});
+     766             :   wire [7:0]  _s1_scTableSums_T_31 =
+     767             :     8'({_scTables_2_io_resp_ctrs_1_0[5], _scTables_2_io_resp_ctrs_1_0, 1'h1}
+     768             :        + {_scTables_3_io_resp_ctrs_1_0[5], _scTables_3_io_resp_ctrs_1_0, 1'h1});
+     769             :   wire [7:0]  _s1_scTableSums_T_41 =
+     770             :     8'({_scTables_0_io_resp_ctrs_1_1[5], _scTables_0_io_resp_ctrs_1_1, 1'h1}
+     771             :        + {_scTables_1_io_resp_ctrs_1_1[5], _scTables_1_io_resp_ctrs_1_1, 1'h1});
+     772             :   wire [7:0]  _s1_scTableSums_T_42 =
+     773             :     8'({_scTables_2_io_resp_ctrs_1_1[5], _scTables_2_io_resp_ctrs_1_1, 1'h1}
+     774             :        + {_scTables_3_io_resp_ctrs_1_1[5], _scTables_3_io_resp_ctrs_1_1, 1'h1});
+     775          32 :   wire        useAltCtr =
+     776             :     s1_pc_dup_0[7:1] == 7'h0 & useAltOnNaCtrs_0_0[3] | s1_pc_dup_0[7:1] == 7'h1
+     777             :     & useAltOnNaCtrs_0_1[3] | s1_pc_dup_0[7:1] == 7'h2 & useAltOnNaCtrs_0_2[3]
+     778             :     | s1_pc_dup_0[7:1] == 7'h3 & useAltOnNaCtrs_0_3[3] | s1_pc_dup_0[7:1] == 7'h4
+     779             :     & useAltOnNaCtrs_0_4[3] | s1_pc_dup_0[7:1] == 7'h5 & useAltOnNaCtrs_0_5[3]
+     780             :     | s1_pc_dup_0[7:1] == 7'h6 & useAltOnNaCtrs_0_6[3] | s1_pc_dup_0[7:1] == 7'h7
+     781             :     & useAltOnNaCtrs_0_7[3] | s1_pc_dup_0[7:1] == 7'h8 & useAltOnNaCtrs_0_8[3]
+     782             :     | s1_pc_dup_0[7:1] == 7'h9 & useAltOnNaCtrs_0_9[3] | s1_pc_dup_0[7:1] == 7'hA
+     783             :     & useAltOnNaCtrs_0_10[3] | s1_pc_dup_0[7:1] == 7'hB & useAltOnNaCtrs_0_11[3]
+     784             :     | s1_pc_dup_0[7:1] == 7'hC & useAltOnNaCtrs_0_12[3] | s1_pc_dup_0[7:1] == 7'hD
+     785             :     & useAltOnNaCtrs_0_13[3] | s1_pc_dup_0[7:1] == 7'hE & useAltOnNaCtrs_0_14[3]
+     786             :     | s1_pc_dup_0[7:1] == 7'hF & useAltOnNaCtrs_0_15[3] | s1_pc_dup_0[7:1] == 7'h10
+     787             :     & useAltOnNaCtrs_0_16[3] | s1_pc_dup_0[7:1] == 7'h11 & useAltOnNaCtrs_0_17[3]
+     788             :     | s1_pc_dup_0[7:1] == 7'h12 & useAltOnNaCtrs_0_18[3] | s1_pc_dup_0[7:1] == 7'h13
+     789             :     & useAltOnNaCtrs_0_19[3] | s1_pc_dup_0[7:1] == 7'h14 & useAltOnNaCtrs_0_20[3]
+     790             :     | s1_pc_dup_0[7:1] == 7'h15 & useAltOnNaCtrs_0_21[3] | s1_pc_dup_0[7:1] == 7'h16
+     791             :     & useAltOnNaCtrs_0_22[3] | s1_pc_dup_0[7:1] == 7'h17 & useAltOnNaCtrs_0_23[3]
+     792             :     | s1_pc_dup_0[7:1] == 7'h18 & useAltOnNaCtrs_0_24[3] | s1_pc_dup_0[7:1] == 7'h19
+     793             :     & useAltOnNaCtrs_0_25[3] | s1_pc_dup_0[7:1] == 7'h1A & useAltOnNaCtrs_0_26[3]
+     794             :     | s1_pc_dup_0[7:1] == 7'h1B & useAltOnNaCtrs_0_27[3] | s1_pc_dup_0[7:1] == 7'h1C
+     795             :     & useAltOnNaCtrs_0_28[3] | s1_pc_dup_0[7:1] == 7'h1D & useAltOnNaCtrs_0_29[3]
+     796             :     | s1_pc_dup_0[7:1] == 7'h1E & useAltOnNaCtrs_0_30[3] | s1_pc_dup_0[7:1] == 7'h1F
+     797             :     & useAltOnNaCtrs_0_31[3] | s1_pc_dup_0[7:1] == 7'h20 & useAltOnNaCtrs_0_32[3]
+     798             :     | s1_pc_dup_0[7:1] == 7'h21 & useAltOnNaCtrs_0_33[3] | s1_pc_dup_0[7:1] == 7'h22
+     799             :     & useAltOnNaCtrs_0_34[3] | s1_pc_dup_0[7:1] == 7'h23 & useAltOnNaCtrs_0_35[3]
+     800             :     | s1_pc_dup_0[7:1] == 7'h24 & useAltOnNaCtrs_0_36[3] | s1_pc_dup_0[7:1] == 7'h25
+     801             :     & useAltOnNaCtrs_0_37[3] | s1_pc_dup_0[7:1] == 7'h26 & useAltOnNaCtrs_0_38[3]
+     802             :     | s1_pc_dup_0[7:1] == 7'h27 & useAltOnNaCtrs_0_39[3] | s1_pc_dup_0[7:1] == 7'h28
+     803             :     & useAltOnNaCtrs_0_40[3] | s1_pc_dup_0[7:1] == 7'h29 & useAltOnNaCtrs_0_41[3]
+     804             :     | s1_pc_dup_0[7:1] == 7'h2A & useAltOnNaCtrs_0_42[3] | s1_pc_dup_0[7:1] == 7'h2B
+     805             :     & useAltOnNaCtrs_0_43[3] | s1_pc_dup_0[7:1] == 7'h2C & useAltOnNaCtrs_0_44[3]
+     806             :     | s1_pc_dup_0[7:1] == 7'h2D & useAltOnNaCtrs_0_45[3] | s1_pc_dup_0[7:1] == 7'h2E
+     807             :     & useAltOnNaCtrs_0_46[3] | s1_pc_dup_0[7:1] == 7'h2F & useAltOnNaCtrs_0_47[3]
+     808             :     | s1_pc_dup_0[7:1] == 7'h30 & useAltOnNaCtrs_0_48[3] | s1_pc_dup_0[7:1] == 7'h31
+     809             :     & useAltOnNaCtrs_0_49[3] | s1_pc_dup_0[7:1] == 7'h32 & useAltOnNaCtrs_0_50[3]
+     810             :     | s1_pc_dup_0[7:1] == 7'h33 & useAltOnNaCtrs_0_51[3] | s1_pc_dup_0[7:1] == 7'h34
+     811             :     & useAltOnNaCtrs_0_52[3] | s1_pc_dup_0[7:1] == 7'h35 & useAltOnNaCtrs_0_53[3]
+     812             :     | s1_pc_dup_0[7:1] == 7'h36 & useAltOnNaCtrs_0_54[3] | s1_pc_dup_0[7:1] == 7'h37
+     813             :     & useAltOnNaCtrs_0_55[3] | s1_pc_dup_0[7:1] == 7'h38 & useAltOnNaCtrs_0_56[3]
+     814             :     | s1_pc_dup_0[7:1] == 7'h39 & useAltOnNaCtrs_0_57[3] | s1_pc_dup_0[7:1] == 7'h3A
+     815             :     & useAltOnNaCtrs_0_58[3] | s1_pc_dup_0[7:1] == 7'h3B & useAltOnNaCtrs_0_59[3]
+     816             :     | s1_pc_dup_0[7:1] == 7'h3C & useAltOnNaCtrs_0_60[3] | s1_pc_dup_0[7:1] == 7'h3D
+     817             :     & useAltOnNaCtrs_0_61[3] | s1_pc_dup_0[7:1] == 7'h3E & useAltOnNaCtrs_0_62[3]
+     818             :     | s1_pc_dup_0[7:1] == 7'h3F & useAltOnNaCtrs_0_63[3] | s1_pc_dup_0[7:1] == 7'h40
+     819             :     & useAltOnNaCtrs_0_64[3] | s1_pc_dup_0[7:1] == 7'h41 & useAltOnNaCtrs_0_65[3]
+     820             :     | s1_pc_dup_0[7:1] == 7'h42 & useAltOnNaCtrs_0_66[3] | s1_pc_dup_0[7:1] == 7'h43
+     821             :     & useAltOnNaCtrs_0_67[3] | s1_pc_dup_0[7:1] == 7'h44 & useAltOnNaCtrs_0_68[3]
+     822             :     | s1_pc_dup_0[7:1] == 7'h45 & useAltOnNaCtrs_0_69[3] | s1_pc_dup_0[7:1] == 7'h46
+     823             :     & useAltOnNaCtrs_0_70[3] | s1_pc_dup_0[7:1] == 7'h47 & useAltOnNaCtrs_0_71[3]
+     824             :     | s1_pc_dup_0[7:1] == 7'h48 & useAltOnNaCtrs_0_72[3] | s1_pc_dup_0[7:1] == 7'h49
+     825             :     & useAltOnNaCtrs_0_73[3] | s1_pc_dup_0[7:1] == 7'h4A & useAltOnNaCtrs_0_74[3]
+     826             :     | s1_pc_dup_0[7:1] == 7'h4B & useAltOnNaCtrs_0_75[3] | s1_pc_dup_0[7:1] == 7'h4C
+     827             :     & useAltOnNaCtrs_0_76[3] | s1_pc_dup_0[7:1] == 7'h4D & useAltOnNaCtrs_0_77[3]
+     828             :     | s1_pc_dup_0[7:1] == 7'h4E & useAltOnNaCtrs_0_78[3] | s1_pc_dup_0[7:1] == 7'h4F
+     829             :     & useAltOnNaCtrs_0_79[3] | s1_pc_dup_0[7:1] == 7'h50 & useAltOnNaCtrs_0_80[3]
+     830             :     | s1_pc_dup_0[7:1] == 7'h51 & useAltOnNaCtrs_0_81[3] | s1_pc_dup_0[7:1] == 7'h52
+     831             :     & useAltOnNaCtrs_0_82[3] | s1_pc_dup_0[7:1] == 7'h53 & useAltOnNaCtrs_0_83[3]
+     832             :     | s1_pc_dup_0[7:1] == 7'h54 & useAltOnNaCtrs_0_84[3] | s1_pc_dup_0[7:1] == 7'h55
+     833             :     & useAltOnNaCtrs_0_85[3] | s1_pc_dup_0[7:1] == 7'h56 & useAltOnNaCtrs_0_86[3]
+     834             :     | s1_pc_dup_0[7:1] == 7'h57 & useAltOnNaCtrs_0_87[3] | s1_pc_dup_0[7:1] == 7'h58
+     835             :     & useAltOnNaCtrs_0_88[3] | s1_pc_dup_0[7:1] == 7'h59 & useAltOnNaCtrs_0_89[3]
+     836             :     | s1_pc_dup_0[7:1] == 7'h5A & useAltOnNaCtrs_0_90[3] | s1_pc_dup_0[7:1] == 7'h5B
+     837             :     & useAltOnNaCtrs_0_91[3] | s1_pc_dup_0[7:1] == 7'h5C & useAltOnNaCtrs_0_92[3]
+     838             :     | s1_pc_dup_0[7:1] == 7'h5D & useAltOnNaCtrs_0_93[3] | s1_pc_dup_0[7:1] == 7'h5E
+     839             :     & useAltOnNaCtrs_0_94[3] | s1_pc_dup_0[7:1] == 7'h5F & useAltOnNaCtrs_0_95[3]
+     840             :     | s1_pc_dup_0[7:1] == 7'h60 & useAltOnNaCtrs_0_96[3] | s1_pc_dup_0[7:1] == 7'h61
+     841             :     & useAltOnNaCtrs_0_97[3] | s1_pc_dup_0[7:1] == 7'h62 & useAltOnNaCtrs_0_98[3]
+     842             :     | s1_pc_dup_0[7:1] == 7'h63 & useAltOnNaCtrs_0_99[3] | s1_pc_dup_0[7:1] == 7'h64
+     843             :     & useAltOnNaCtrs_0_100[3] | s1_pc_dup_0[7:1] == 7'h65 & useAltOnNaCtrs_0_101[3]
+     844             :     | s1_pc_dup_0[7:1] == 7'h66 & useAltOnNaCtrs_0_102[3] | s1_pc_dup_0[7:1] == 7'h67
+     845             :     & useAltOnNaCtrs_0_103[3] | s1_pc_dup_0[7:1] == 7'h68 & useAltOnNaCtrs_0_104[3]
+     846             :     | s1_pc_dup_0[7:1] == 7'h69 & useAltOnNaCtrs_0_105[3] | s1_pc_dup_0[7:1] == 7'h6A
+     847             :     & useAltOnNaCtrs_0_106[3] | s1_pc_dup_0[7:1] == 7'h6B & useAltOnNaCtrs_0_107[3]
+     848             :     | s1_pc_dup_0[7:1] == 7'h6C & useAltOnNaCtrs_0_108[3] | s1_pc_dup_0[7:1] == 7'h6D
+     849             :     & useAltOnNaCtrs_0_109[3] | s1_pc_dup_0[7:1] == 7'h6E & useAltOnNaCtrs_0_110[3]
+     850             :     | s1_pc_dup_0[7:1] == 7'h6F & useAltOnNaCtrs_0_111[3] | s1_pc_dup_0[7:1] == 7'h70
+     851             :     & useAltOnNaCtrs_0_112[3] | s1_pc_dup_0[7:1] == 7'h71 & useAltOnNaCtrs_0_113[3]
+     852             :     | s1_pc_dup_0[7:1] == 7'h72 & useAltOnNaCtrs_0_114[3] | s1_pc_dup_0[7:1] == 7'h73
+     853             :     & useAltOnNaCtrs_0_115[3] | s1_pc_dup_0[7:1] == 7'h74 & useAltOnNaCtrs_0_116[3]
+     854             :     | s1_pc_dup_0[7:1] == 7'h75 & useAltOnNaCtrs_0_117[3] | s1_pc_dup_0[7:1] == 7'h76
+     855             :     & useAltOnNaCtrs_0_118[3] | s1_pc_dup_0[7:1] == 7'h77 & useAltOnNaCtrs_0_119[3]
+     856             :     | s1_pc_dup_0[7:1] == 7'h78 & useAltOnNaCtrs_0_120[3] | s1_pc_dup_0[7:1] == 7'h79
+     857             :     & useAltOnNaCtrs_0_121[3] | s1_pc_dup_0[7:1] == 7'h7A & useAltOnNaCtrs_0_122[3]
+     858             :     | s1_pc_dup_0[7:1] == 7'h7B & useAltOnNaCtrs_0_123[3] | s1_pc_dup_0[7:1] == 7'h7C
+     859             :     & useAltOnNaCtrs_0_124[3] | s1_pc_dup_0[7:1] == 7'h7D & useAltOnNaCtrs_0_125[3]
+     860             :     | s1_pc_dup_0[7:1] == 7'h7E & useAltOnNaCtrs_0_126[3] | (&(s1_pc_dup_0[7:1]))
+     861             :     & useAltOnNaCtrs_0_127[3];
+     862             :   wire        _providerInfo_T = _tables_3_io_resps_0_valid | _tables_2_io_resps_0_valid;
+     863         103 :   wire [2:0]  providerInfo_resp_ctr =
+     864             :     _providerInfo_T
+     865             :       ? (_tables_3_io_resps_0_valid
+     866             :            ? _tables_3_io_resps_0_bits_ctr
+     867             :            : _tables_2_io_resps_0_bits_ctr)
+     868             :       : _tables_1_io_resps_0_valid
+     869             :           ? _tables_1_io_resps_0_bits_ctr
+     870             :           : _tables_0_io_resps_0_bits_ctr;
+     871          16 :   wire        provided =
+     872             :     _tables_0_io_resps_0_valid | _tables_1_io_resps_0_valid | _tables_2_io_resps_0_valid
+     873             :     | _tables_3_io_resps_0_valid;
+     874          17 :   wire        s1_altUsed_0 =
+     875             :     ~provided
+     876             :     | (_providerInfo_T
+     877             :          ? (_tables_3_io_resps_0_valid
+     878             :               ? _tables_3_io_resps_0_bits_unconf & useAltCtr
+     879             :               : _tables_2_io_resps_0_bits_unconf & useAltCtr)
+     880             :          : _tables_1_io_resps_0_valid
+     881             :              ? _tables_1_io_resps_0_bits_unconf & useAltCtr
+     882             :              : _tables_0_io_resps_0_bits_unconf & useAltCtr);
+     883          25 :   wire        s1_tageTakens_0 =
+     884             :     s1_altUsed_0 ? _bt_io_s1_cnt_0[1] : providerInfo_resp_ctr[2];
+     885          18 :   wire        baseupdate_0 = updateValids_0 & io_update_bits_meta[70];
+     886             :   wire        _GEN_3 = io_update_bits_meta[83:82] == 2'h0;
+     887             :   wire        _GEN_4 = updateValids_0 & io_update_bits_meta[84];
+     888             :   wire        _GEN_5 = io_update_bits_meta[83:82] == 2'h1;
+     889             :   wire        _GEN_6 = io_update_bits_meta[83:82] == 2'h2;
+     890       25359 :   wire [1:0]  maskedEntry =
+     891             :     io_update_bits_meta[56] & longerHistoryTableMask[0] & allocLFSR_lfsr[0]
+     892             :       ? 2'h0
+     893             :       : io_update_bits_meta[57] & longerHistoryTableMask[1] & allocLFSR_lfsr[1]
+     894             :           ? 2'h1
+     895             :           : {1'h1,
+     896             :              ~(io_update_bits_meta[58] & longerHistoryTableMask[2] & allocLFSR_lfsr[2])};
+     897             :   wire [3:0]  _allocate_T =
+     898             :     (io_update_bits_meta[59:56] & longerHistoryTableMask) >> maskedEntry;
+     899       21731 :   wire [1:0]  allocate =
+     900             :     _allocate_T[0]
+     901             :       ? maskedEntry
+     902             :       : _firstEntry_T ? 2'h0 : _firstEntry_T_1 ? 2'h1 : {1'h1, ~_firstEntry_T_2};
+     903             :   wire        _GEN_7 = allocate == 2'h0;
+     904             :   wire        _GEN_8 = needToAllocate & (|(io_update_bits_meta[59:56])) & _GEN_7;
+     905          13 :   wire        updateMask_0_0 = _GEN_8 | _GEN_4 & _GEN_3;
+     906             :   wire        _GEN_9 = allocate == 2'h1;
+     907             :   wire        _GEN_10 = needToAllocate & (|(io_update_bits_meta[59:56])) & _GEN_9;
+     908          11 :   wire        updateMask_0_1 = _GEN_10 | _GEN_4 & _GEN_5;
+     909             :   wire        _GEN_11 = allocate == 2'h2;
+     910             :   wire        _GEN_12 = needToAllocate & (|(io_update_bits_meta[59:56])) & _GEN_11;
+     911          20 :   wire        updateMask_0_2 = _GEN_12 | _GEN_4 & _GEN_6;
+     912             :   wire        _GEN_13 = needToAllocate & (|(io_update_bits_meta[59:56])) & (&allocate);
+     913          17 :   wire        updateMask_0_3 = _GEN_13 | _GEN_4 & (&(io_update_bits_meta[83:82]));
+     914             :   wire        _GEN_14 = needToAllocate & (|(io_update_bits_meta[59:56]));
+     915          12 :   wire        updateResetU_0 = needToAllocate & (&bankTickCtrs_0);
+     916          33 :   wire        useAltCtr_1 =
+     917             :     s1_pc_dup_0[7:1] == 7'h0 & useAltOnNaCtrs_1_0[3] | s1_pc_dup_0[7:1] == 7'h1
+     918             :     & useAltOnNaCtrs_1_1[3] | s1_pc_dup_0[7:1] == 7'h2 & useAltOnNaCtrs_1_2[3]
+     919             :     | s1_pc_dup_0[7:1] == 7'h3 & useAltOnNaCtrs_1_3[3] | s1_pc_dup_0[7:1] == 7'h4
+     920             :     & useAltOnNaCtrs_1_4[3] | s1_pc_dup_0[7:1] == 7'h5 & useAltOnNaCtrs_1_5[3]
+     921             :     | s1_pc_dup_0[7:1] == 7'h6 & useAltOnNaCtrs_1_6[3] | s1_pc_dup_0[7:1] == 7'h7
+     922             :     & useAltOnNaCtrs_1_7[3] | s1_pc_dup_0[7:1] == 7'h8 & useAltOnNaCtrs_1_8[3]
+     923             :     | s1_pc_dup_0[7:1] == 7'h9 & useAltOnNaCtrs_1_9[3] | s1_pc_dup_0[7:1] == 7'hA
+     924             :     & useAltOnNaCtrs_1_10[3] | s1_pc_dup_0[7:1] == 7'hB & useAltOnNaCtrs_1_11[3]
+     925             :     | s1_pc_dup_0[7:1] == 7'hC & useAltOnNaCtrs_1_12[3] | s1_pc_dup_0[7:1] == 7'hD
+     926             :     & useAltOnNaCtrs_1_13[3] | s1_pc_dup_0[7:1] == 7'hE & useAltOnNaCtrs_1_14[3]
+     927             :     | s1_pc_dup_0[7:1] == 7'hF & useAltOnNaCtrs_1_15[3] | s1_pc_dup_0[7:1] == 7'h10
+     928             :     & useAltOnNaCtrs_1_16[3] | s1_pc_dup_0[7:1] == 7'h11 & useAltOnNaCtrs_1_17[3]
+     929             :     | s1_pc_dup_0[7:1] == 7'h12 & useAltOnNaCtrs_1_18[3] | s1_pc_dup_0[7:1] == 7'h13
+     930             :     & useAltOnNaCtrs_1_19[3] | s1_pc_dup_0[7:1] == 7'h14 & useAltOnNaCtrs_1_20[3]
+     931             :     | s1_pc_dup_0[7:1] == 7'h15 & useAltOnNaCtrs_1_21[3] | s1_pc_dup_0[7:1] == 7'h16
+     932             :     & useAltOnNaCtrs_1_22[3] | s1_pc_dup_0[7:1] == 7'h17 & useAltOnNaCtrs_1_23[3]
+     933             :     | s1_pc_dup_0[7:1] == 7'h18 & useAltOnNaCtrs_1_24[3] | s1_pc_dup_0[7:1] == 7'h19
+     934             :     & useAltOnNaCtrs_1_25[3] | s1_pc_dup_0[7:1] == 7'h1A & useAltOnNaCtrs_1_26[3]
+     935             :     | s1_pc_dup_0[7:1] == 7'h1B & useAltOnNaCtrs_1_27[3] | s1_pc_dup_0[7:1] == 7'h1C
+     936             :     & useAltOnNaCtrs_1_28[3] | s1_pc_dup_0[7:1] == 7'h1D & useAltOnNaCtrs_1_29[3]
+     937             :     | s1_pc_dup_0[7:1] == 7'h1E & useAltOnNaCtrs_1_30[3] | s1_pc_dup_0[7:1] == 7'h1F
+     938             :     & useAltOnNaCtrs_1_31[3] | s1_pc_dup_0[7:1] == 7'h20 & useAltOnNaCtrs_1_32[3]
+     939             :     | s1_pc_dup_0[7:1] == 7'h21 & useAltOnNaCtrs_1_33[3] | s1_pc_dup_0[7:1] == 7'h22
+     940             :     & useAltOnNaCtrs_1_34[3] | s1_pc_dup_0[7:1] == 7'h23 & useAltOnNaCtrs_1_35[3]
+     941             :     | s1_pc_dup_0[7:1] == 7'h24 & useAltOnNaCtrs_1_36[3] | s1_pc_dup_0[7:1] == 7'h25
+     942             :     & useAltOnNaCtrs_1_37[3] | s1_pc_dup_0[7:1] == 7'h26 & useAltOnNaCtrs_1_38[3]
+     943             :     | s1_pc_dup_0[7:1] == 7'h27 & useAltOnNaCtrs_1_39[3] | s1_pc_dup_0[7:1] == 7'h28
+     944             :     & useAltOnNaCtrs_1_40[3] | s1_pc_dup_0[7:1] == 7'h29 & useAltOnNaCtrs_1_41[3]
+     945             :     | s1_pc_dup_0[7:1] == 7'h2A & useAltOnNaCtrs_1_42[3] | s1_pc_dup_0[7:1] == 7'h2B
+     946             :     & useAltOnNaCtrs_1_43[3] | s1_pc_dup_0[7:1] == 7'h2C & useAltOnNaCtrs_1_44[3]
+     947             :     | s1_pc_dup_0[7:1] == 7'h2D & useAltOnNaCtrs_1_45[3] | s1_pc_dup_0[7:1] == 7'h2E
+     948             :     & useAltOnNaCtrs_1_46[3] | s1_pc_dup_0[7:1] == 7'h2F & useAltOnNaCtrs_1_47[3]
+     949             :     | s1_pc_dup_0[7:1] == 7'h30 & useAltOnNaCtrs_1_48[3] | s1_pc_dup_0[7:1] == 7'h31
+     950             :     & useAltOnNaCtrs_1_49[3] | s1_pc_dup_0[7:1] == 7'h32 & useAltOnNaCtrs_1_50[3]
+     951             :     | s1_pc_dup_0[7:1] == 7'h33 & useAltOnNaCtrs_1_51[3] | s1_pc_dup_0[7:1] == 7'h34
+     952             :     & useAltOnNaCtrs_1_52[3] | s1_pc_dup_0[7:1] == 7'h35 & useAltOnNaCtrs_1_53[3]
+     953             :     | s1_pc_dup_0[7:1] == 7'h36 & useAltOnNaCtrs_1_54[3] | s1_pc_dup_0[7:1] == 7'h37
+     954             :     & useAltOnNaCtrs_1_55[3] | s1_pc_dup_0[7:1] == 7'h38 & useAltOnNaCtrs_1_56[3]
+     955             :     | s1_pc_dup_0[7:1] == 7'h39 & useAltOnNaCtrs_1_57[3] | s1_pc_dup_0[7:1] == 7'h3A
+     956             :     & useAltOnNaCtrs_1_58[3] | s1_pc_dup_0[7:1] == 7'h3B & useAltOnNaCtrs_1_59[3]
+     957             :     | s1_pc_dup_0[7:1] == 7'h3C & useAltOnNaCtrs_1_60[3] | s1_pc_dup_0[7:1] == 7'h3D
+     958             :     & useAltOnNaCtrs_1_61[3] | s1_pc_dup_0[7:1] == 7'h3E & useAltOnNaCtrs_1_62[3]
+     959             :     | s1_pc_dup_0[7:1] == 7'h3F & useAltOnNaCtrs_1_63[3] | s1_pc_dup_0[7:1] == 7'h40
+     960             :     & useAltOnNaCtrs_1_64[3] | s1_pc_dup_0[7:1] == 7'h41 & useAltOnNaCtrs_1_65[3]
+     961             :     | s1_pc_dup_0[7:1] == 7'h42 & useAltOnNaCtrs_1_66[3] | s1_pc_dup_0[7:1] == 7'h43
+     962             :     & useAltOnNaCtrs_1_67[3] | s1_pc_dup_0[7:1] == 7'h44 & useAltOnNaCtrs_1_68[3]
+     963             :     | s1_pc_dup_0[7:1] == 7'h45 & useAltOnNaCtrs_1_69[3] | s1_pc_dup_0[7:1] == 7'h46
+     964             :     & useAltOnNaCtrs_1_70[3] | s1_pc_dup_0[7:1] == 7'h47 & useAltOnNaCtrs_1_71[3]
+     965             :     | s1_pc_dup_0[7:1] == 7'h48 & useAltOnNaCtrs_1_72[3] | s1_pc_dup_0[7:1] == 7'h49
+     966             :     & useAltOnNaCtrs_1_73[3] | s1_pc_dup_0[7:1] == 7'h4A & useAltOnNaCtrs_1_74[3]
+     967             :     | s1_pc_dup_0[7:1] == 7'h4B & useAltOnNaCtrs_1_75[3] | s1_pc_dup_0[7:1] == 7'h4C
+     968             :     & useAltOnNaCtrs_1_76[3] | s1_pc_dup_0[7:1] == 7'h4D & useAltOnNaCtrs_1_77[3]
+     969             :     | s1_pc_dup_0[7:1] == 7'h4E & useAltOnNaCtrs_1_78[3] | s1_pc_dup_0[7:1] == 7'h4F
+     970             :     & useAltOnNaCtrs_1_79[3] | s1_pc_dup_0[7:1] == 7'h50 & useAltOnNaCtrs_1_80[3]
+     971             :     | s1_pc_dup_0[7:1] == 7'h51 & useAltOnNaCtrs_1_81[3] | s1_pc_dup_0[7:1] == 7'h52
+     972             :     & useAltOnNaCtrs_1_82[3] | s1_pc_dup_0[7:1] == 7'h53 & useAltOnNaCtrs_1_83[3]
+     973             :     | s1_pc_dup_0[7:1] == 7'h54 & useAltOnNaCtrs_1_84[3] | s1_pc_dup_0[7:1] == 7'h55
+     974             :     & useAltOnNaCtrs_1_85[3] | s1_pc_dup_0[7:1] == 7'h56 & useAltOnNaCtrs_1_86[3]
+     975             :     | s1_pc_dup_0[7:1] == 7'h57 & useAltOnNaCtrs_1_87[3] | s1_pc_dup_0[7:1] == 7'h58
+     976             :     & useAltOnNaCtrs_1_88[3] | s1_pc_dup_0[7:1] == 7'h59 & useAltOnNaCtrs_1_89[3]
+     977             :     | s1_pc_dup_0[7:1] == 7'h5A & useAltOnNaCtrs_1_90[3] | s1_pc_dup_0[7:1] == 7'h5B
+     978             :     & useAltOnNaCtrs_1_91[3] | s1_pc_dup_0[7:1] == 7'h5C & useAltOnNaCtrs_1_92[3]
+     979             :     | s1_pc_dup_0[7:1] == 7'h5D & useAltOnNaCtrs_1_93[3] | s1_pc_dup_0[7:1] == 7'h5E
+     980             :     & useAltOnNaCtrs_1_94[3] | s1_pc_dup_0[7:1] == 7'h5F & useAltOnNaCtrs_1_95[3]
+     981             :     | s1_pc_dup_0[7:1] == 7'h60 & useAltOnNaCtrs_1_96[3] | s1_pc_dup_0[7:1] == 7'h61
+     982             :     & useAltOnNaCtrs_1_97[3] | s1_pc_dup_0[7:1] == 7'h62 & useAltOnNaCtrs_1_98[3]
+     983             :     | s1_pc_dup_0[7:1] == 7'h63 & useAltOnNaCtrs_1_99[3] | s1_pc_dup_0[7:1] == 7'h64
+     984             :     & useAltOnNaCtrs_1_100[3] | s1_pc_dup_0[7:1] == 7'h65 & useAltOnNaCtrs_1_101[3]
+     985             :     | s1_pc_dup_0[7:1] == 7'h66 & useAltOnNaCtrs_1_102[3] | s1_pc_dup_0[7:1] == 7'h67
+     986             :     & useAltOnNaCtrs_1_103[3] | s1_pc_dup_0[7:1] == 7'h68 & useAltOnNaCtrs_1_104[3]
+     987             :     | s1_pc_dup_0[7:1] == 7'h69 & useAltOnNaCtrs_1_105[3] | s1_pc_dup_0[7:1] == 7'h6A
+     988             :     & useAltOnNaCtrs_1_106[3] | s1_pc_dup_0[7:1] == 7'h6B & useAltOnNaCtrs_1_107[3]
+     989             :     | s1_pc_dup_0[7:1] == 7'h6C & useAltOnNaCtrs_1_108[3] | s1_pc_dup_0[7:1] == 7'h6D
+     990             :     & useAltOnNaCtrs_1_109[3] | s1_pc_dup_0[7:1] == 7'h6E & useAltOnNaCtrs_1_110[3]
+     991             :     | s1_pc_dup_0[7:1] == 7'h6F & useAltOnNaCtrs_1_111[3] | s1_pc_dup_0[7:1] == 7'h70
+     992             :     & useAltOnNaCtrs_1_112[3] | s1_pc_dup_0[7:1] == 7'h71 & useAltOnNaCtrs_1_113[3]
+     993             :     | s1_pc_dup_0[7:1] == 7'h72 & useAltOnNaCtrs_1_114[3] | s1_pc_dup_0[7:1] == 7'h73
+     994             :     & useAltOnNaCtrs_1_115[3] | s1_pc_dup_0[7:1] == 7'h74 & useAltOnNaCtrs_1_116[3]
+     995             :     | s1_pc_dup_0[7:1] == 7'h75 & useAltOnNaCtrs_1_117[3] | s1_pc_dup_0[7:1] == 7'h76
+     996             :     & useAltOnNaCtrs_1_118[3] | s1_pc_dup_0[7:1] == 7'h77 & useAltOnNaCtrs_1_119[3]
+     997             :     | s1_pc_dup_0[7:1] == 7'h78 & useAltOnNaCtrs_1_120[3] | s1_pc_dup_0[7:1] == 7'h79
+     998             :     & useAltOnNaCtrs_1_121[3] | s1_pc_dup_0[7:1] == 7'h7A & useAltOnNaCtrs_1_122[3]
+     999             :     | s1_pc_dup_0[7:1] == 7'h7B & useAltOnNaCtrs_1_123[3] | s1_pc_dup_0[7:1] == 7'h7C
+    1000             :     & useAltOnNaCtrs_1_124[3] | s1_pc_dup_0[7:1] == 7'h7D & useAltOnNaCtrs_1_125[3]
+    1001             :     | s1_pc_dup_0[7:1] == 7'h7E & useAltOnNaCtrs_1_126[3] | (&(s1_pc_dup_0[7:1]))
+    1002             :     & useAltOnNaCtrs_1_127[3];
+    1003             :   wire        _providerInfo_T_5 = _tables_3_io_resps_1_valid | _tables_2_io_resps_1_valid;
+    1004         112 :   wire [2:0]  s1_providerResps_1_ctr =
+    1005             :     _providerInfo_T_5
+    1006             :       ? (_tables_3_io_resps_1_valid
+    1007             :            ? _tables_3_io_resps_1_bits_ctr
+    1008             :            : _tables_2_io_resps_1_bits_ctr)
+    1009             :       : _tables_1_io_resps_1_valid
+    1010             :           ? _tables_1_io_resps_1_bits_ctr
+    1011             :           : _tables_0_io_resps_1_bits_ctr;
+    1012          14 :   wire        provided_1 =
+    1013             :     _tables_0_io_resps_1_valid | _tables_1_io_resps_1_valid | _tables_2_io_resps_1_valid
+    1014             :     | _tables_3_io_resps_1_valid;
+    1015          13 :   wire        s1_altUsed_1 =
+    1016             :     ~provided_1
+    1017             :     | (_providerInfo_T_5
+    1018             :          ? (_tables_3_io_resps_1_valid
+    1019             :               ? _tables_3_io_resps_1_bits_unconf & useAltCtr_1
+    1020             :               : _tables_2_io_resps_1_bits_unconf & useAltCtr_1)
+    1021             :          : _tables_1_io_resps_1_valid
+    1022             :              ? _tables_1_io_resps_1_bits_unconf & useAltCtr_1
+    1023             :              : _tables_0_io_resps_1_bits_unconf & useAltCtr_1);
+    1024          33 :   wire        s1_tageTakens_1 =
+    1025             :     s1_altUsed_1 ? _bt_io_s1_cnt_1[1] : s1_providerResps_1_ctr[2];
+    1026          17 :   wire        baseupdate_1 = updateValids_1 & io_update_bits_meta[71];
+    1027             :   wire        _GEN_15 = io_update_bits_meta[86:85] == 2'h0;
+    1028             :   wire        _GEN_16 = updateValids_1 & io_update_bits_meta[87];
+    1029             :   wire        _GEN_17 = io_update_bits_meta[86:85] == 2'h1;
+    1030             :   wire        _GEN_18 = io_update_bits_meta[86:85] == 2'h2;
+    1031       25309 :   wire [1:0]  maskedEntry_1 =
+    1032             :     io_update_bits_meta[60] & longerHistoryTableMask_1[0] & allocLFSR_lfsr_1[0]
+    1033             :       ? 2'h0
+    1034             :       : io_update_bits_meta[61] & longerHistoryTableMask_1[1] & allocLFSR_lfsr_1[1]
+    1035             :           ? 2'h1
+    1036             :           : {1'h1,
+    1037             :              ~(io_update_bits_meta[62] & longerHistoryTableMask_1[2]
+    1038             :                & allocLFSR_lfsr_1[2])};
+    1039             :   wire [3:0]  _allocate_T_2 =
+    1040             :     (io_update_bits_meta[63:60] & longerHistoryTableMask_1) >> maskedEntry_1;
+    1041       17630 :   wire [1:0]  allocate_1 =
+    1042             :     _allocate_T_2[0]
+    1043             :       ? maskedEntry_1
+    1044             :       : _firstEntry_T_6 ? 2'h0 : _firstEntry_T_7 ? 2'h1 : {1'h1, ~_firstEntry_T_8};
+    1045             :   wire        _GEN_19 = allocate_1 == 2'h0;
+    1046             :   wire        _GEN_20 = needToAllocate_1 & (|(io_update_bits_meta[63:60])) & _GEN_19;
+    1047          18 :   wire        updateMask_1_0 = _GEN_20 | _GEN_16 & _GEN_15;
+    1048             :   wire        _GEN_21 = allocate_1 == 2'h1;
+    1049             :   wire        _GEN_22 = needToAllocate_1 & (|(io_update_bits_meta[63:60])) & _GEN_21;
+    1050          19 :   wire        updateMask_1_1 = _GEN_22 | _GEN_16 & _GEN_17;
+    1051             :   wire        _GEN_23 = allocate_1 == 2'h2;
+    1052             :   wire        _GEN_24 = needToAllocate_1 & (|(io_update_bits_meta[63:60])) & _GEN_23;
+    1053          15 :   wire        updateMask_1_2 = _GEN_24 | _GEN_16 & _GEN_18;
+    1054             :   wire        _GEN_25 =
+    1055             :     needToAllocate_1 & (|(io_update_bits_meta[63:60])) & (&allocate_1);
+    1056          15 :   wire        updateMask_1_3 = _GEN_25 | _GEN_16 & (&(io_update_bits_meta[86:85]));
+    1057             :   wire        _GEN_26 = needToAllocate_1 & (|(io_update_bits_meta[63:60]));
+    1058          14 :   wire        updateResetU_1 = needToAllocate_1 & (&bankTickCtrs_1);
+    1059             :   wire [2:0]  _s2_tagePrvdCtrCentered_T = s2_tagePrvdCtrCentered_r ^ 3'h4;
+    1060             :   wire [9:0]  _GEN_27 =
+    1061             :     {{3{_s2_tagePrvdCtrCentered_T[2]}}, _s2_tagePrvdCtrCentered_T, 4'h8};
+    1062         317 :   wire [9:0]  s2_totalSums_0 = 10'({s2_scTableSums_0[8], s2_scTableSums_0} + _GEN_27);
+    1063         332 :   wire [9:0]  s2_totalSums_1 = 10'({s2_scTableSums_1[8], s2_scTableSums_1} + _GEN_27);
+    1064             :   wire [8:0]  _GEN_28 = {1'h0, scThresholds_0_thres};
+    1065             :   wire [8:0]  _GEN_29 =
+    1066             :     {{2{_s2_tagePrvdCtrCentered_T[2]}}, _s2_tagePrvdCtrCentered_T, 4'h8};
+    1067             :   wire        _GEN_30 =
+    1068             :     s2_tageTakens_dup_3_0
+    1069             :       ? $signed(s2_totalSums_1) > -10'sh1
+    1070             :       : $signed(s2_totalSums_0) > -10'sh1;
+    1071          27 :   wire        s2_pred =
+    1072             :     s2_provideds_0
+    1073             :     & (s2_tageTakens_dup_3_0
+    1074             :          ? $signed(s2_scTableSums_1) > $signed(9'(_GEN_28 - _GEN_29))
+    1075             :            & ~(s2_totalSums_1[9])
+    1076             :            | $signed(s2_scTableSums_1) < $signed(9'(9'(9'h0 - _GEN_28) - _GEN_29))
+    1077             :            & s2_totalSums_1[9]
+    1078             :          : $signed(s2_scTableSums_0) > $signed(9'(_GEN_28 - _GEN_29))
+    1079             :            & ~(s2_totalSums_0[9])
+    1080             :            | $signed(s2_scTableSums_0) < $signed(9'(9'(9'h0 - _GEN_28) - _GEN_29))
+    1081             :            & s2_totalSums_0[9])
+    1082             :       ? _GEN_30
+    1083             :       : s2_tageTakens_dup_3_0;
+    1084             :   wire [12:0] _GEN_31 = {1'h0, 12'({1'h0, scThresholds_0_thres, 3'h0} + 12'h15)};
+    1085             :   wire [12:0] _GEN_32 = {{6{_sumAboveThreshold_T[2]}}, _sumAboveThreshold_T, 4'h8};
+    1086             :   wire [12:0] _GEN_33 = {{3{sum[9]}}, sum};
+    1087         278 :   wire [10:0] sumAboveThreshold_totalSum =
+    1088             :     11'({sum[9], sum} + {{4{_sumAboveThreshold_T[2]}}, _sumAboveThreshold_T, 4'h8});
+    1089             :   wire        _GEN_34 =
+    1090             :     _update_on_mispred_0_T
+    1091             :     | ~($signed(_GEN_33) > $signed(13'(_GEN_31 - _GEN_32))
+    1092             :         & ~(sumAboveThreshold_totalSum[10])
+    1093             :         | $signed(_GEN_33) < $signed(13'(13'(13'h0 - _GEN_31) - _GEN_32))
+    1094             :         & sumAboveThreshold_totalSum[10]);
+    1095          13 :   wire        scUpdateMask_0_3 = _GEN_1 & _GEN_34;
+    1096             :   wire        _GEN_35 = _GEN_1 & _GEN_34;
+    1097             :   wire [2:0]  _s2_tagePrvdCtrCentered_T_2 = s2_tagePrvdCtrCentered_r_1 ^ 3'h4;
+    1098             :   wire [9:0]  _GEN_36 =
+    1099             :     {{3{_s2_tagePrvdCtrCentered_T_2[2]}}, _s2_tagePrvdCtrCentered_T_2, 4'h8};
+    1100         326 :   wire [9:0]  s2_totalSums_0_1 =
+    1101             :     10'({s2_scTableSums_1_0[8], s2_scTableSums_1_0} + _GEN_36);
+    1102         312 :   wire [9:0]  s2_totalSums_1_1 =
+    1103             :     10'({s2_scTableSums_1_1[8], s2_scTableSums_1_1} + _GEN_36);
+    1104             :   wire [8:0]  _GEN_37 = {1'h0, scThresholds_1_thres};
+    1105             :   wire [8:0]  _GEN_38 =
+    1106             :     {{2{_s2_tagePrvdCtrCentered_T_2[2]}}, _s2_tagePrvdCtrCentered_T_2, 4'h8};
+    1107             :   wire        _GEN_39 =
+    1108             :     s2_tageTakens_dup_3_1
+    1109             :       ? $signed(s2_totalSums_1_1) > -10'sh1
+    1110             :       : $signed(s2_totalSums_0_1) > -10'sh1;
+    1111          42 :   wire        s2_pred_1 =
+    1112             :     s2_provideds_1
+    1113             :     & (s2_tageTakens_dup_3_1
+    1114             :          ? $signed(s2_scTableSums_1_1) > $signed(9'(_GEN_37 - _GEN_38))
+    1115             :            & ~(s2_totalSums_1_1[9])
+    1116             :            | $signed(s2_scTableSums_1_1) < $signed(9'(9'(9'h0 - _GEN_37) - _GEN_38))
+    1117             :            & s2_totalSums_1_1[9]
+    1118             :          : $signed(s2_scTableSums_1_0) > $signed(9'(_GEN_37 - _GEN_38))
+    1119             :            & ~(s2_totalSums_0_1[9])
+    1120             :            | $signed(s2_scTableSums_1_0) < $signed(9'(9'(9'h0 - _GEN_37) - _GEN_38))
+    1121             :            & s2_totalSums_0_1[9])
+    1122             :       ? _GEN_39
+    1123             :       : s2_tageTakens_dup_3_1;
+    1124             :   wire [12:0] _GEN_40 = {1'h0, 12'({1'h0, scThresholds_1_thres, 3'h0} + 12'h15)};
+    1125             :   wire [12:0] _GEN_41 = {{6{_sumAboveThreshold_T_19[2]}}, _sumAboveThreshold_T_19, 4'h8};
+    1126             :   wire [12:0] _GEN_42 = {{3{sum_1[9]}}, sum_1};
+    1127         331 :   wire [10:0] sumAboveThreshold_totalSum_1 =
+    1128             :     11'({sum_1[9], sum_1}
+    1129             :         + {{4{_sumAboveThreshold_T_19[2]}}, _sumAboveThreshold_T_19, 4'h8});
+    1130             :   wire        _GEN_43 =
+    1131             :     _update_on_mispred_1_T
+    1132             :     | ~($signed(_GEN_42) > $signed(13'(_GEN_40 - _GEN_41))
+    1133             :         & ~(sumAboveThreshold_totalSum_1[10])
+    1134             :         | $signed(_GEN_42) < $signed(13'(13'(13'h0 - _GEN_40) - _GEN_41))
+    1135             :         & sumAboveThreshold_totalSum_1[10]);
+    1136          14 :   wire        scUpdateMask_1_3 = _GEN_2 & _GEN_43;
+    1137             :   wire        _GEN_44 = _GEN_2 & _GEN_43;
+    1138          29 :   wire [1:0]  providerInfo_tableIdx =
+    1139             :     _providerInfo_T
+    1140             :       ? {1'h1, _tables_3_io_resps_0_valid}
+    1141             :       : {1'h0, _tables_1_io_resps_0_valid};
+    1142             :   wire [3:0]  _allocatableSlots_T_14 = 4'h1 << providerInfo_tableIdx;
+    1143             :   wire [2:0]  _GEN_45 = _allocatableSlots_T_14[2:0] | _allocatableSlots_T_14[3:1];
+    1144          32 :   wire [1:0]  s1_providers_1 =
+    1145             :     _providerInfo_T_5
+    1146             :       ? {1'h1, _tables_3_io_resps_1_valid}
+    1147             :       : {1'h0, _tables_1_io_resps_1_valid};
+    1148             :   wire [3:0]  _allocatableSlots_T_39 = 4'h1 << s1_providers_1;
+    1149             :   wire [2:0]  _GEN_46 = _allocatableSlots_T_39[2:0] | _allocatableSlots_T_39[3:1];
+    1150      127694 :   always @(posedge clock) begin
+    1151         120 :     if (REG_1)
+    1152          60 :       s1_pc_dup_0 <= {5'h0, _reset_vector_delay_io_out};
+    1153        8350 :     else if (io_s0_fire_0)
+    1154        4175 :       s1_pc_dup_0 <= io_in_bits_s0_pc_0;
+    1155       63847 :     REG <= reset;
+    1156       63847 :     REG_1 <= REG & ~reset;
+    1157        8252 :     if (io_s1_fire_1) begin
+    1158        4126 :       s2_provideds_0 <= provided;
+    1159        4126 :       s2_provideds_1 <= provided_1;
+    1160        4126 :       s2_providers_0 <= providerInfo_tableIdx;
+    1161        4126 :       s2_providers_1 <= s1_providers_1;
+    1162        4126 :       s2_providerResps_0_ctr <= providerInfo_resp_ctr;
+    1163        4126 :       s2_providerResps_0_u <=
+    1164        4126 :         _providerInfo_T
+    1165        4126 :           ? (_tables_3_io_resps_0_valid
+    1166        4126 :                ? _tables_3_io_resps_0_bits_u
+    1167        4126 :                : _tables_2_io_resps_0_bits_u)
+    1168        4126 :           : _tables_1_io_resps_0_valid
+    1169        4126 :               ? _tables_1_io_resps_0_bits_u
+    1170        4126 :               : _tables_0_io_resps_0_bits_u;
+    1171        4126 :       s2_providerResps_0_unconf <=
+    1172        4126 :         _providerInfo_T
+    1173        4126 :           ? (_tables_3_io_resps_0_valid
+    1174        4126 :                ? _tables_3_io_resps_0_bits_unconf
+    1175        4126 :                : _tables_2_io_resps_0_bits_unconf)
+    1176        4126 :           : _tables_1_io_resps_0_valid
+    1177        4126 :               ? _tables_1_io_resps_0_bits_unconf
+    1178        4126 :               : _tables_0_io_resps_0_bits_unconf;
+    1179        4126 :       s2_providerResps_1_ctr <= s1_providerResps_1_ctr;
+    1180        4126 :       s2_providerResps_1_u <=
+    1181        4126 :         _providerInfo_T_5
+    1182        4126 :           ? (_tables_3_io_resps_1_valid
+    1183        4126 :                ? _tables_3_io_resps_1_bits_u
+    1184        4126 :                : _tables_2_io_resps_1_bits_u)
+    1185        4126 :           : _tables_1_io_resps_1_valid
+    1186        4126 :               ? _tables_1_io_resps_1_bits_u
+    1187        4126 :               : _tables_0_io_resps_1_bits_u;
+    1188        4126 :       s2_providerResps_1_unconf <=
+    1189        4126 :         _providerInfo_T_5
+    1190        4126 :           ? (_tables_3_io_resps_1_valid
+    1191        4126 :                ? _tables_3_io_resps_1_bits_unconf
+    1192        4126 :                : _tables_2_io_resps_1_bits_unconf)
+    1193        4126 :           : _tables_1_io_resps_1_valid
+    1194        4126 :               ? _tables_1_io_resps_1_bits_unconf
+    1195        4126 :               : _tables_0_io_resps_1_bits_unconf;
+    1196        4126 :       s2_altUsed_0 <= s1_altUsed_0;
+    1197        4126 :       s2_altUsed_1 <= s1_altUsed_1;
+    1198        4126 :       s2_tageTakens_dup_1_0 <= s1_tageTakens_0;
+    1199        4126 :       s2_tageTakens_dup_1_1 <= s1_tageTakens_1;
+    1200        4126 :       s2_finalAltPreds_0 <= _bt_io_s1_cnt_0[1];
+    1201        4126 :       s2_finalAltPreds_1 <= _bt_io_s1_cnt_1[1];
+    1202        4126 :       s2_basecnts_0 <= _bt_io_s1_cnt_0;
+    1203        4126 :       s2_basecnts_1 <= _bt_io_s1_cnt_1;
+    1204        4126 :       allocatableSlots <=
+    1205        4126 :         {~_tables_3_io_resps_0_valid & ~_tables_3_io_resps_0_bits_u,
+    1206        4126 :          ~_tables_2_io_resps_0_valid & ~_tables_2_io_resps_0_bits_u,
+    1207        4126 :          ~_tables_1_io_resps_0_valid & ~_tables_1_io_resps_0_bits_u,
+    1208        4126 :          ~_tables_0_io_resps_0_valid & ~_tables_0_io_resps_0_bits_u}
+    1209        4126 :         & ~({&providerInfo_tableIdx,
+    1210        4126 :              _GEN_45[2],
+    1211        4126 :              _GEN_45[1] | (&providerInfo_tableIdx),
+    1212        4126 :              _GEN_45[0] | providerInfo_tableIdx == 2'h2 | (&providerInfo_tableIdx)}
+    1213        4126 :             & {4{provided}});
+    1214        4126 :       allocatableSlots_1 <=
+    1215        4126 :         {~_tables_3_io_resps_1_valid & ~_tables_3_io_resps_1_bits_u,
+    1216        4126 :          ~_tables_2_io_resps_1_valid & ~_tables_2_io_resps_1_bits_u,
+    1217        4126 :          ~_tables_1_io_resps_1_valid & ~_tables_1_io_resps_1_bits_u,
+    1218        4126 :          ~_tables_0_io_resps_1_valid & ~_tables_0_io_resps_1_bits_u}
+    1219        4126 :         & ~({&s1_providers_1,
+    1220        4126 :              _GEN_46[2],
+    1221        4126 :              _GEN_46[1] | (&s1_providers_1),
+    1222        4126 :              _GEN_46[0] | s1_providers_1 == 2'h2 | (&s1_providers_1)} & {4{provided_1}});
+    1223             :     end
+    1224        8252 :     if (io_s1_fire_0) begin
+    1225        4126 :       s2_tageTakens_dup_0_0 <= s1_tageTakens_0;
+    1226        4126 :       s2_tageTakens_dup_0_1 <= s1_tageTakens_1;
+    1227             :     end
+    1228        8252 :     if (io_s1_fire_2) begin
+    1229        4126 :       s2_tageTakens_dup_2_0 <= s1_tageTakens_0;
+    1230        4126 :       s2_tageTakens_dup_2_1 <= s1_tageTakens_1;
+    1231             :     end
+    1232        8252 :     if (io_s1_fire_3) begin
+    1233        4126 :       s2_tageTakens_dup_3_0 <= s1_tageTakens_0;
+    1234        4126 :       s2_tageTakens_dup_3_1 <= s1_tageTakens_1;
+    1235        4126 :       s2_scTableSums_0 <=
+    1236        4126 :         9'({_s1_scTableSums_T_8[7], _s1_scTableSums_T_8}
+    1237        4126 :            + {_s1_scTableSums_T_9[7], _s1_scTableSums_T_9});
+    1238        4126 :       s2_scTableSums_1 <=
+    1239        4126 :         9'({_s1_scTableSums_T_19[7], _s1_scTableSums_T_19}
+    1240        4126 :            + {_s1_scTableSums_T_20[7], _s1_scTableSums_T_20});
+    1241        4126 :       s2_tagePrvdCtrCentered_r <= providerInfo_resp_ctr;
+    1242        4126 :       s2_scResps_r_0_ctrs_0_0 <= _scTables_0_io_resp_ctrs_0_0;
+    1243        4126 :       s2_scResps_r_0_ctrs_0_1 <= _scTables_0_io_resp_ctrs_0_1;
+    1244        4126 :       s2_scResps_r_1_ctrs_0_0 <= _scTables_1_io_resp_ctrs_0_0;
+    1245        4126 :       s2_scResps_r_1_ctrs_0_1 <= _scTables_1_io_resp_ctrs_0_1;
+    1246        4126 :       s2_scResps_r_2_ctrs_0_0 <= _scTables_2_io_resp_ctrs_0_0;
+    1247        4126 :       s2_scResps_r_2_ctrs_0_1 <= _scTables_2_io_resp_ctrs_0_1;
+    1248        4126 :       s2_scResps_r_3_ctrs_0_0 <= _scTables_3_io_resp_ctrs_0_0;
+    1249        4126 :       s2_scResps_r_3_ctrs_0_1 <= _scTables_3_io_resp_ctrs_0_1;
+    1250        4126 :       s2_scTableSums_1_0 <=
+    1251        4126 :         9'({_s1_scTableSums_T_30[7], _s1_scTableSums_T_30}
+    1252        4126 :            + {_s1_scTableSums_T_31[7], _s1_scTableSums_T_31});
+    1253        4126 :       s2_scTableSums_1_1 <=
+    1254        4126 :         9'({_s1_scTableSums_T_41[7], _s1_scTableSums_T_41}
+    1255        4126 :            + {_s1_scTableSums_T_42[7], _s1_scTableSums_T_42});
+    1256        4126 :       s2_tagePrvdCtrCentered_r_1 <= s1_providerResps_1_ctr;
+    1257        4126 :       s2_scResps_r_1_0_ctrs_1_0 <= _scTables_0_io_resp_ctrs_1_0;
+    1258        4126 :       s2_scResps_r_1_0_ctrs_1_1 <= _scTables_0_io_resp_ctrs_1_1;
+    1259        4126 :       s2_scResps_r_1_1_ctrs_1_0 <= _scTables_1_io_resp_ctrs_1_0;
+    1260        4126 :       s2_scResps_r_1_1_ctrs_1_1 <= _scTables_1_io_resp_ctrs_1_1;
+    1261        4126 :       s2_scResps_r_1_2_ctrs_1_0 <= _scTables_2_io_resp_ctrs_1_0;
+    1262        4126 :       s2_scResps_r_1_2_ctrs_1_1 <= _scTables_2_io_resp_ctrs_1_1;
+    1263        4126 :       s2_scResps_r_1_3_ctrs_1_0 <= _scTables_3_io_resp_ctrs_1_0;
+    1264        4126 :       s2_scResps_r_1_3_ctrs_1_1 <= _scTables_3_io_resp_ctrs_1_1;
+    1265             :     end
+    1266        8150 :     if (io_s2_fire_1) begin
+    1267        4075 :       resp_meta_providers_0_valid_r <= s2_provideds_0;
+    1268        4075 :       resp_meta_providers_0_bits_r <= s2_providers_0;
+    1269        4075 :       resp_meta_providerResps_0_r_ctr <= s2_providerResps_0_ctr;
+    1270        4075 :       resp_meta_providerResps_0_r_u <= s2_providerResps_0_u;
+    1271        4075 :       resp_meta_providerResps_0_r_unconf <= s2_providerResps_0_unconf;
+    1272        4075 :       resp_meta_allocates_0_r <= allocatableSlots;
+    1273        4075 :       resp_meta_altUsed_0_r <= s2_altUsed_0;
+    1274        4075 :       resp_meta_altDiffers_0_r <= s2_finalAltPreds_0 != s2_providerResps_0_ctr[2];
+    1275        4075 :       resp_meta_takens_0_r <= s2_tageTakens_dup_0_0;
+    1276        4075 :       resp_meta_basecnts_0_r <= s2_basecnts_0;
+    1277        4075 :       resp_meta_providers_1_valid_r <= s2_provideds_1;
+    1278        4075 :       resp_meta_providers_1_bits_r <= s2_providers_1;
+    1279        4075 :       resp_meta_providerResps_1_r_ctr <= s2_providerResps_1_ctr;
+    1280        4075 :       resp_meta_providerResps_1_r_u <= s2_providerResps_1_u;
+    1281        4075 :       resp_meta_providerResps_1_r_unconf <= s2_providerResps_1_unconf;
+    1282        4075 :       resp_meta_allocates_1_r <= allocatableSlots_1;
+    1283        4075 :       resp_meta_altUsed_1_r <= s2_altUsed_1;
+    1284        4075 :       resp_meta_altDiffers_1_r <= s2_finalAltPreds_1 != s2_providerResps_1_ctr[2];
+    1285        4075 :       resp_meta_takens_1_r <= s2_tageTakens_dup_0_1;
+    1286        4075 :       resp_meta_basecnts_1_r <= s2_basecnts_1;
+    1287        4075 :       s3_pred_dup_1 <= s2_pred;
+    1288        4075 :       s3_pred_dup_1_1 <= s2_pred_1;
+    1289             :     end
+    1290       63847 :     tage_enable_dup_REG <= io_ctrl_tage_enable;
+    1291       63847 :     tage_enable_dup_REG_1 <= io_ctrl_tage_enable;
+    1292       63847 :     tables_0_io_update_reset_u_0_REG <= updateResetU_0;
+    1293       63847 :     tables_0_io_update_mask_0_REG <= updateMask_0_0;
+    1294           2 :     if (updateMask_0_0 | updateMask_1_0) begin
+    1295           1 :       tables_0_io_update_takens_0_r <= updateTaken;
+    1296           1 :       tables_0_io_update_alloc_0_r <= _GEN_14 & _GEN_7;
+    1297           1 :       tables_0_io_update_oldCtrs_0_r <= io_update_bits_meta[76:74];
+    1298           1 :       tables_0_io_update_uMask_0_r <=
+    1299           1 :         _GEN_8 | updateValids_0 & io_update_bits_meta[84] & _GEN_3
+    1300           1 :         & io_update_bits_meta[68];
+    1301           1 :       tables_0_io_update_us_0_r <= ~_GEN_8 & updateProviderCorrect;
+    1302           1 :       tables_0_io_update_takens_1_r <= updateTaken_1;
+    1303           1 :       tables_0_io_update_alloc_1_r <= _GEN_26 & _GEN_19;
+    1304           1 :       tables_0_io_update_oldCtrs_1_r <= io_update_bits_meta[81:79];
+    1305           1 :       tables_0_io_update_uMask_1_r <=
+    1306           1 :         _GEN_20 | updateValids_1 & io_update_bits_meta[87] & _GEN_15
+    1307           1 :         & io_update_bits_meta[69];
+    1308           1 :       tables_0_io_update_us_1_r <= ~_GEN_20 & updateProviderCorrect_1;
+    1309           1 :       tables_0_io_update_pc_r_1 <= io_update_bits_pc;
+    1310           1 :       tables_0_io_update_folded_hist_r_1_hist_14_folded_hist <=
+    1311           1 :         io_update_bits_spec_info_folded_hist_hist_14_folded_hist;
+    1312           1 :       tables_0_io_update_folded_hist_r_1_hist_7_folded_hist <=
+    1313           1 :         io_update_bits_spec_info_folded_hist_hist_7_folded_hist;
+    1314             :     end
+    1315       63847 :     tables_1_io_update_reset_u_0_REG <= updateResetU_0;
+    1316       63847 :     tables_1_io_update_mask_0_REG <= updateMask_0_1;
+    1317           0 :     if (updateMask_0_1 | updateMask_1_1) begin
+    1318           0 :       tables_1_io_update_takens_0_r <= updateTaken;
+    1319           0 :       tables_1_io_update_alloc_0_r <= _GEN_14 & _GEN_9;
+    1320           0 :       tables_1_io_update_oldCtrs_0_r <= io_update_bits_meta[76:74];
+    1321           0 :       tables_1_io_update_uMask_0_r <=
+    1322           0 :         _GEN_10 | updateValids_0 & io_update_bits_meta[84] & _GEN_5
+    1323           0 :         & io_update_bits_meta[68];
+    1324           0 :       tables_1_io_update_us_0_r <= ~_GEN_10 & updateProviderCorrect;
+    1325           0 :       tables_1_io_update_takens_1_r <= updateTaken_1;
+    1326           0 :       tables_1_io_update_alloc_1_r <= _GEN_26 & _GEN_21;
+    1327           0 :       tables_1_io_update_oldCtrs_1_r <= io_update_bits_meta[81:79];
+    1328           0 :       tables_1_io_update_uMask_1_r <=
+    1329           0 :         _GEN_22 | updateValids_1 & io_update_bits_meta[87] & _GEN_17
+    1330           0 :         & io_update_bits_meta[69];
+    1331           0 :       tables_1_io_update_us_1_r <= ~_GEN_22 & updateProviderCorrect_1;
+    1332           0 :       tables_1_io_update_pc_r_1 <= io_update_bits_pc;
+    1333           0 :       tables_1_io_update_folded_hist_r_1_hist_15_folded_hist <=
+    1334           0 :         io_update_bits_spec_info_folded_hist_hist_15_folded_hist;
+    1335           0 :       tables_1_io_update_folded_hist_r_1_hist_4_folded_hist <=
+    1336           0 :         io_update_bits_spec_info_folded_hist_hist_4_folded_hist;
+    1337           0 :       tables_1_io_update_folded_hist_r_1_hist_1_folded_hist <=
+    1338           0 :         io_update_bits_spec_info_folded_hist_hist_1_folded_hist;
+    1339             :     end
+    1340       63847 :     tables_2_io_update_reset_u_0_REG <= updateResetU_0;
+    1341       63847 :     tables_2_io_update_mask_0_REG <= updateMask_0_2;
+    1342           4 :     if (updateMask_0_2 | updateMask_1_2) begin
+    1343           2 :       tables_2_io_update_takens_0_r <= updateTaken;
+    1344           2 :       tables_2_io_update_alloc_0_r <= _GEN_14 & _GEN_11;
+    1345           2 :       tables_2_io_update_oldCtrs_0_r <= io_update_bits_meta[76:74];
+    1346           2 :       tables_2_io_update_uMask_0_r <=
+    1347           2 :         _GEN_12 | updateValids_0 & io_update_bits_meta[84] & _GEN_6
+    1348           2 :         & io_update_bits_meta[68];
+    1349           2 :       tables_2_io_update_us_0_r <= ~_GEN_12 & updateProviderCorrect;
+    1350           2 :       tables_2_io_update_takens_1_r <= updateTaken_1;
+    1351           2 :       tables_2_io_update_alloc_1_r <= _GEN_26 & _GEN_23;
+    1352           2 :       tables_2_io_update_oldCtrs_1_r <= io_update_bits_meta[81:79];
+    1353           2 :       tables_2_io_update_uMask_1_r <=
+    1354           2 :         _GEN_24 | updateValids_1 & io_update_bits_meta[87] & _GEN_18
+    1355           2 :         & io_update_bits_meta[69];
+    1356           2 :       tables_2_io_update_us_1_r <= ~_GEN_24 & updateProviderCorrect_1;
+    1357           2 :       tables_2_io_update_pc_r_1 <= io_update_bits_pc;
+    1358           2 :       tables_2_io_update_folded_hist_r_1_hist_17_folded_hist <=
+    1359           2 :         io_update_bits_spec_info_folded_hist_hist_17_folded_hist;
+    1360           2 :       tables_2_io_update_folded_hist_r_1_hist_9_folded_hist <=
+    1361           2 :         io_update_bits_spec_info_folded_hist_hist_9_folded_hist;
+    1362           2 :       tables_2_io_update_folded_hist_r_1_hist_3_folded_hist <=
+    1363           2 :         io_update_bits_spec_info_folded_hist_hist_3_folded_hist;
+    1364             :     end
+    1365       63847 :     tables_3_io_update_reset_u_0_REG <= updateResetU_0;
+    1366       63847 :     tables_3_io_update_mask_0_REG <= updateMask_0_3;
+    1367           4 :     if (updateMask_0_3 | updateMask_1_3) begin
+    1368           2 :       tables_3_io_update_takens_0_r <= updateTaken;
+    1369           2 :       tables_3_io_update_alloc_0_r <= _GEN_14 & (&allocate);
+    1370           2 :       tables_3_io_update_oldCtrs_0_r <= io_update_bits_meta[76:74];
+    1371           2 :       tables_3_io_update_uMask_0_r <=
+    1372           2 :         _GEN_13 | updateValids_0 & io_update_bits_meta[84]
+    1373           2 :         & (&(io_update_bits_meta[83:82])) & io_update_bits_meta[68];
+    1374           2 :       tables_3_io_update_us_0_r <= ~_GEN_13 & updateProviderCorrect;
+    1375           2 :       tables_3_io_update_takens_1_r <= updateTaken_1;
+    1376           2 :       tables_3_io_update_alloc_1_r <= _GEN_26 & (&allocate_1);
+    1377           2 :       tables_3_io_update_oldCtrs_1_r <= io_update_bits_meta[81:79];
+    1378           2 :       tables_3_io_update_uMask_1_r <=
+    1379           2 :         _GEN_25 | updateValids_1 & io_update_bits_meta[87]
+    1380           2 :         & (&(io_update_bits_meta[86:85])) & io_update_bits_meta[69];
+    1381           2 :       tables_3_io_update_us_1_r <= ~_GEN_25 & updateProviderCorrect_1;
+    1382           2 :       tables_3_io_update_pc_r_1 <= io_update_bits_pc;
+    1383           2 :       tables_3_io_update_folded_hist_r_1_hist_16_folded_hist <=
+    1384           2 :         io_update_bits_spec_info_folded_hist_hist_16_folded_hist;
+    1385           2 :       tables_3_io_update_folded_hist_r_1_hist_8_folded_hist <=
+    1386           2 :         io_update_bits_spec_info_folded_hist_hist_8_folded_hist;
+    1387           2 :       tables_3_io_update_folded_hist_r_1_hist_5_folded_hist <=
+    1388           2 :         io_update_bits_spec_info_folded_hist_hist_5_folded_hist;
+    1389             :     end
+    1390       63847 :     tables_0_io_update_reset_u_1_REG <= updateResetU_1;
+    1391       63847 :     tables_0_io_update_mask_1_REG <= updateMask_1_0;
+    1392       63847 :     tables_1_io_update_reset_u_1_REG <= updateResetU_1;
+    1393       63847 :     tables_1_io_update_mask_1_REG <= updateMask_1_1;
+    1394       63847 :     tables_2_io_update_reset_u_1_REG <= updateResetU_1;
+    1395       63847 :     tables_2_io_update_mask_1_REG <= updateMask_1_2;
+    1396       63847 :     tables_3_io_update_reset_u_1_REG <= updateResetU_1;
+    1397       63847 :     tables_3_io_update_mask_1_REG <= updateMask_1_3;
+    1398       63847 :     REG_2_0 <= baseupdate_0;
+    1399       63847 :     REG_2_1 <= baseupdate_1;
+    1400           6 :     if (baseupdate_0 | baseupdate_1) begin
+    1401           3 :       r_0 <= io_update_bits_meta[65:64];
+    1402           3 :       r_1 <= io_update_bits_meta[67:66];
+    1403           3 :       bt_io_update_pc_r <= io_update_bits_pc;
+    1404           3 :       r_1_0 <= updateTaken;
+    1405           3 :       r_1_1 <= updateTaken_1;
+    1406             :     end
+    1407        8150 :     if (io_s2_fire_3) begin
+    1408        4075 :       resp_meta_scMeta_tageTakens_0_r <= s2_tageTakens_dup_3_0;
+    1409        4075 :       resp_meta_scMeta_scUsed_0_r <= s2_provideds_0;
+    1410        4075 :       resp_meta_scMeta_scPreds_0_r <= _GEN_30;
+    1411        4075 :       r_2_0 <= s2_tageTakens_dup_3_0 ? s2_scResps_r_0_ctrs_0_1 : s2_scResps_r_0_ctrs_0_0;
+    1412        4075 :       r_2_1 <= s2_tageTakens_dup_3_0 ? s2_scResps_r_1_ctrs_0_1 : s2_scResps_r_1_ctrs_0_0;
+    1413        4075 :       r_2_2 <= s2_tageTakens_dup_3_0 ? s2_scResps_r_2_ctrs_0_1 : s2_scResps_r_2_ctrs_0_0;
+    1414        4075 :       r_2_3 <= s2_tageTakens_dup_3_0 ? s2_scResps_r_3_ctrs_0_1 : s2_scResps_r_3_ctrs_0_0;
+    1415        4075 :       s3_pred_dup_3 <= s2_pred;
+    1416        4075 :       resp_meta_scMeta_tageTakens_1_r <= s2_tageTakens_dup_3_1;
+    1417        4075 :       resp_meta_scMeta_scUsed_1_r <= s2_provideds_1;
+    1418        4075 :       resp_meta_scMeta_scPreds_1_r <= _GEN_39;
+    1419        4075 :       r_3_0 <=
+    1420        4075 :         s2_tageTakens_dup_3_1 ? s2_scResps_r_1_0_ctrs_1_1 : s2_scResps_r_1_0_ctrs_1_0;
+    1421        4075 :       r_3_1 <=
+    1422        4075 :         s2_tageTakens_dup_3_1 ? s2_scResps_r_1_1_ctrs_1_1 : s2_scResps_r_1_1_ctrs_1_0;
+    1423        4075 :       r_3_2 <=
+    1424        4075 :         s2_tageTakens_dup_3_1 ? s2_scResps_r_1_2_ctrs_1_1 : s2_scResps_r_1_2_ctrs_1_0;
+    1425        4075 :       r_3_3 <=
+    1426        4075 :         s2_tageTakens_dup_3_1 ? s2_scResps_r_1_3_ctrs_1_1 : s2_scResps_r_1_3_ctrs_1_0;
+    1427        4075 :       s3_pred_dup_3_1 <= s2_pred_1;
+    1428             :     end
+    1429        8150 :     if (io_s2_fire_0) begin
+    1430        4075 :       s3_pred_dup_0 <= s2_pred;
+    1431        4075 :       s3_pred_dup_0_1 <= s2_pred_1;
+    1432             :     end
+    1433        8150 :     if (io_s2_fire_2) begin
+    1434        4075 :       s3_pred_dup_2 <= s2_pred;
+    1435        4075 :       s3_pred_dup_2_1 <= s2_pred_1;
+    1436             :     end
+    1437       63847 :     sc_enable_dup_REG <= io_ctrl_sc_enable;
+    1438       63847 :     sc_enable_dup_REG_1 <= io_ctrl_sc_enable;
+    1439       63847 :     scTables_0_io_update_mask_0_REG <= scUpdateMask_0_3;
+    1440           4 :     if (scUpdateMask_0_3 | scUpdateMask_1_3) begin
+    1441           2 :       scTables_0_io_update_tagePreds_0_r <= io_update_bits_meta[52];
+    1442           2 :       scTables_0_io_update_takens_0_r <= io_update_bits_br_taken_mask_0;
+    1443           2 :       scTables_0_io_update_oldCtrs_0_r <= io_update_bits_meta[5:0];
+    1444           2 :       scTables_0_io_update_tagePreds_1_r <= io_update_bits_meta[53];
+    1445           2 :       scTables_0_io_update_takens_1_r <= io_update_bits_br_taken_mask_1;
+    1446           2 :       scTables_0_io_update_oldCtrs_1_r <= io_update_bits_meta[29:24];
+    1447           2 :       scTables_0_io_update_pc_r_1 <= io_update_bits_pc;
+    1448             :     end
+    1449       63847 :     scTables_1_io_update_mask_0_REG <= scUpdateMask_0_3;
+    1450           4 :     if (scUpdateMask_0_3 | scUpdateMask_1_3) begin
+    1451           2 :       scTables_1_io_update_tagePreds_0_r <= io_update_bits_meta[52];
+    1452           2 :       scTables_1_io_update_takens_0_r <= io_update_bits_br_taken_mask_0;
+    1453           2 :       scTables_1_io_update_oldCtrs_0_r <= io_update_bits_meta[11:6];
+    1454           2 :       scTables_1_io_update_tagePreds_1_r <= io_update_bits_meta[53];
+    1455           2 :       scTables_1_io_update_takens_1_r <= io_update_bits_br_taken_mask_1;
+    1456           2 :       scTables_1_io_update_oldCtrs_1_r <= io_update_bits_meta[35:30];
+    1457           2 :       scTables_1_io_update_pc_r_1 <= io_update_bits_pc;
+    1458           2 :       scTables_1_io_update_folded_hist_r_1_hist_12_folded_hist <=
+    1459           2 :         io_update_bits_spec_info_folded_hist_hist_12_folded_hist;
+    1460             :     end
+    1461       63847 :     scTables_2_io_update_mask_0_REG <= scUpdateMask_0_3;
+    1462           4 :     if (scUpdateMask_0_3 | scUpdateMask_1_3) begin
+    1463           2 :       scTables_2_io_update_tagePreds_0_r <= io_update_bits_meta[52];
+    1464           2 :       scTables_2_io_update_takens_0_r <= io_update_bits_br_taken_mask_0;
+    1465           2 :       scTables_2_io_update_oldCtrs_0_r <= io_update_bits_meta[17:12];
+    1466           2 :       scTables_2_io_update_tagePreds_1_r <= io_update_bits_meta[53];
+    1467           2 :       scTables_2_io_update_takens_1_r <= io_update_bits_br_taken_mask_1;
+    1468           2 :       scTables_2_io_update_oldCtrs_1_r <= io_update_bits_meta[41:36];
+    1469           2 :       scTables_2_io_update_pc_r_1 <= io_update_bits_pc;
+    1470           2 :       scTables_2_io_update_folded_hist_r_1_hist_11_folded_hist <=
+    1471           2 :         io_update_bits_spec_info_folded_hist_hist_11_folded_hist;
+    1472             :     end
+    1473       63847 :     scTables_3_io_update_mask_0_REG <= scUpdateMask_0_3;
+    1474           4 :     if (scUpdateMask_0_3 | scUpdateMask_1_3) begin
+    1475           2 :       scTables_3_io_update_tagePreds_0_r <= io_update_bits_meta[52];
+    1476           2 :       scTables_3_io_update_takens_0_r <= io_update_bits_br_taken_mask_0;
+    1477           2 :       scTables_3_io_update_oldCtrs_0_r <= io_update_bits_meta[23:18];
+    1478           2 :       scTables_3_io_update_tagePreds_1_r <= io_update_bits_meta[53];
+    1479           2 :       scTables_3_io_update_takens_1_r <= io_update_bits_br_taken_mask_1;
+    1480           2 :       scTables_3_io_update_oldCtrs_1_r <= io_update_bits_meta[47:42];
+    1481           2 :       scTables_3_io_update_pc_r_1 <= io_update_bits_pc;
+    1482           2 :       scTables_3_io_update_folded_hist_r_1_hist_2_folded_hist <=
+    1483           2 :         io_update_bits_spec_info_folded_hist_hist_2_folded_hist;
+    1484             :     end
+    1485       63847 :     scTables_0_io_update_mask_1_REG <= scUpdateMask_1_3;
+    1486       63847 :     scTables_1_io_update_mask_1_REG <= scUpdateMask_1_3;
+    1487       63847 :     scTables_2_io_update_mask_1_REG <= scUpdateMask_1_3;
+    1488       63847 :     scTables_3_io_update_mask_1_REG <= scUpdateMask_1_3;
+    1489       63847 :     io_perf_0_value_REG <=
+    1490       63847 :       2'({1'h0, io_update_bits_meta[84]} + {1'h0, io_update_bits_meta[87]});
+    1491       63847 :     io_perf_0_value_REG_1 <= io_perf_0_value_REG;
+    1492       63847 :     io_perf_1_value_REG <=
+    1493       63847 :       2'({1'h0, _GEN_35 & _update_on_mispred_0_T}
+    1494       63847 :          + {1'h0, _GEN_44 & _update_on_mispred_1_T});
+    1495       63847 :     io_perf_1_value_REG_1 <= io_perf_1_value_REG;
+    1496       63847 :     io_perf_2_value_REG <=
+    1497       63847 :       2'({1'h0, _GEN_35 & io_update_bits_meta[48] == io_update_bits_br_taken_mask_0}
+    1498       63847 :          + {1'h0, _GEN_44 & io_update_bits_meta[49] == io_update_bits_br_taken_mask_1});
+    1499       63847 :     io_perf_2_value_REG_1 <= io_perf_2_value_REG;
+    1500             :   end // always @(posedge)
+    1501             :   wire [3:0]  _allocFailureMask_T = ~(io_update_bits_meta[59:56]);
+    1502             :   wire [1:0]  _GEN_47 = {1'h0, _allocFailureMask_T[0] & longerHistoryTableMask[0]};
+    1503             :   wire [1:0]  _GEN_48 = {1'h0, _allocFailureMask_T[1] & longerHistoryTableMask[1]};
+    1504             :   wire [1:0]  _GEN_49 = {1'h0, _allocFailureMask_T[2] & longerHistoryTableMask[2]};
+    1505             :   wire [1:0]  _GEN_50 = {1'h0, _allocFailureMask_T[3] & longerHistoryTableMask[3]};
+    1506             :   wire [1:0]  _GEN_51 = {1'h0, _firstEntry_T};
+    1507             :   wire [1:0]  _GEN_52 = {1'h0, _firstEntry_T_1};
+    1508             :   wire [1:0]  _GEN_53 = {1'h0, _firstEntry_T_2};
+    1509             :   wire [1:0]  _GEN_54 = {1'h0, io_update_bits_meta[59] & longerHistoryTableMask[3]};
+    1510          30 :   wire        tickInc =
+    1511             :     3'({1'h0, 2'(_GEN_47 + _GEN_48)}
+    1512             :        + {1'h0,
+    1513             :           2'(_GEN_49
+    1514             :              + _GEN_50)}) > 3'({1'h0, 2'(_GEN_51 + _GEN_52)}
+    1515             :                                + {1'h0, 2'(_GEN_53 + _GEN_54)});
+    1516             :   wire [6:0]  _GEN_55 =
+    1517             :     {4'h0,
+    1518             :      3'(3'({1'h0, 2'(_GEN_47 + _GEN_48)} + {1'h0, 2'(_GEN_49 + _GEN_50)})
+    1519             :         - (3'({1'h0, 2'(_GEN_51 + _GEN_52)} + {1'h0, 2'(_GEN_53 + _GEN_54)})))};
+    1520          28 :   wire        tickDec =
+    1521             :     3'({1'h0, 2'(_GEN_51 + _GEN_52)}
+    1522             :        + {1'h0,
+    1523             :           2'(_GEN_53
+    1524             :              + _GEN_54)}) > 3'({1'h0, 2'(_GEN_47 + _GEN_48)}
+    1525             :                                + {1'h0, 2'(_GEN_49 + _GEN_50)});
+    1526             :   wire [6:0]  _GEN_56 =
+    1527             :     {4'h0,
+    1528             :      3'(3'({1'h0, 2'(_GEN_51 + _GEN_52)} + {1'h0, 2'(_GEN_53 + _GEN_54)})
+    1529             :         - (3'({1'h0, 2'(_GEN_47 + _GEN_48)} + {1'h0, 2'(_GEN_49 + _GEN_50)})))};
+    1530             :   wire [3:0]  _allocFailureMask_T_1 = ~(io_update_bits_meta[63:60]);
+    1531             :   wire [1:0]  _GEN_57 = {1'h0, _allocFailureMask_T_1[0] & longerHistoryTableMask_1[0]};
+    1532             :   wire [1:0]  _GEN_58 = {1'h0, _allocFailureMask_T_1[1] & longerHistoryTableMask_1[1]};
+    1533             :   wire [1:0]  _GEN_59 = {1'h0, _allocFailureMask_T_1[2] & longerHistoryTableMask_1[2]};
+    1534             :   wire [1:0]  _GEN_60 = {1'h0, _allocFailureMask_T_1[3] & longerHistoryTableMask_1[3]};
+    1535             :   wire [1:0]  _GEN_61 = {1'h0, _firstEntry_T_6};
+    1536             :   wire [1:0]  _GEN_62 = {1'h0, _firstEntry_T_7};
+    1537             :   wire [1:0]  _GEN_63 = {1'h0, _firstEntry_T_8};
+    1538             :   wire [1:0]  _GEN_64 = {1'h0, io_update_bits_meta[63] & longerHistoryTableMask_1[3]};
+    1539          30 :   wire        tickInc_1 =
+    1540             :     3'({1'h0, 2'(_GEN_57 + _GEN_58)}
+    1541             :        + {1'h0,
+    1542             :           2'(_GEN_59
+    1543             :              + _GEN_60)}) > 3'({1'h0, 2'(_GEN_61 + _GEN_62)}
+    1544             :                                + {1'h0, 2'(_GEN_63 + _GEN_64)});
+    1545             :   wire [6:0]  _GEN_65 =
+    1546             :     {4'h0,
+    1547             :      3'(3'({1'h0, 2'(_GEN_57 + _GEN_58)} + {1'h0, 2'(_GEN_59 + _GEN_60)})
+    1548             :         - (3'({1'h0, 2'(_GEN_61 + _GEN_62)} + {1'h0, 2'(_GEN_63 + _GEN_64)})))};
+    1549          28 :   wire        tickDec_1 =
+    1550             :     3'({1'h0, 2'(_GEN_61 + _GEN_62)}
+    1551             :        + {1'h0,
+    1552             :           2'(_GEN_63
+    1553             :              + _GEN_64)}) > 3'({1'h0, 2'(_GEN_57 + _GEN_58)}
+    1554             :                                + {1'h0, 2'(_GEN_59 + _GEN_60)});
+    1555             :   wire [6:0]  _GEN_66 =
+    1556             :     {4'h0,
+    1557             :      3'(3'({1'h0, 2'(_GEN_61 + _GEN_62)} + {1'h0, 2'(_GEN_63 + _GEN_64)})
+    1558             :         - (3'({1'h0, 2'(_GEN_57 + _GEN_58)} + {1'h0, 2'(_GEN_59 + _GEN_60)})))};
+    1559             :   wire        _newThres_newCtr_T = (&scThresholds_0_ctr) & _update_on_mispred_0_T;
+    1560             :   wire        _newThres_newCtr_T_2 = scThresholds_0_ctr == 5'h0 & ~_update_on_mispred_0_T;
+    1561             :   wire [4:0]  _newThres_newCtr_T_3 = 5'(scThresholds_0_ctr + 5'h1);
+    1562             :   wire [4:0]  _newThres_newCtr_T_5 = 5'(scThresholds_0_ctr - 5'h1);
+    1563             :   wire        _GEN_67 = io_update_bits_meta[48] == io_update_bits_br_taken_mask_0;
+    1564         159 :   wire [4:0]  newThres_newCtr =
+    1565             :     _newThres_newCtr_T
+    1566             :       ? 5'h1F
+    1567             :       : _newThres_newCtr_T_2
+    1568             :           ? 5'h0
+    1569             :           : _GEN_67 ? _newThres_newCtr_T_5 : _newThres_newCtr_T_3;
+    1570             :   wire        _newThres_res_ctr_T_4 = newThres_newCtr == 5'h0;
+    1571             :   wire        _newThres_newCtr_T_9 = (&scThresholds_1_ctr) & _update_on_mispred_1_T;
+    1572             :   wire        _newThres_newCtr_T_11 =
+    1573             :     scThresholds_1_ctr == 5'h0 & ~_update_on_mispred_1_T;
+    1574             :   wire [4:0]  _newThres_newCtr_T_12 = 5'(scThresholds_1_ctr + 5'h1);
+    1575             :   wire [4:0]  _newThres_newCtr_T_14 = 5'(scThresholds_1_ctr - 5'h1);
+    1576             :   wire        _GEN_68 = io_update_bits_meta[49] == io_update_bits_br_taken_mask_1;
+    1577         208 :   wire [4:0]  newThres_newCtr_1 =
+    1578             :     _newThres_newCtr_T_9
+    1579             :       ? 5'h1F
+    1580             :       : _newThres_newCtr_T_11
+    1581             :           ? 5'h0
+    1582             :           : _GEN_68 ? _newThres_newCtr_T_14 : _newThres_newCtr_T_12;
+    1583             :   wire        _newThres_res_ctr_T_11 = newThres_newCtr_1 == 5'h0;
+    1584          99 :   wire [3:0]  updateUseAltCtr =
+    1585             :     (io_update_bits_pc[7:1] == 7'h0 ? useAltOnNaCtrs_0_0 : 4'h0)
+    1586             :     | (io_update_bits_pc[7:1] == 7'h1 ? useAltOnNaCtrs_0_1 : 4'h0)
+    1587             :     | (io_update_bits_pc[7:1] == 7'h2 ? useAltOnNaCtrs_0_2 : 4'h0)
+    1588             :     | (io_update_bits_pc[7:1] == 7'h3 ? useAltOnNaCtrs_0_3 : 4'h0)
+    1589             :     | (io_update_bits_pc[7:1] == 7'h4 ? useAltOnNaCtrs_0_4 : 4'h0)
+    1590             :     | (io_update_bits_pc[7:1] == 7'h5 ? useAltOnNaCtrs_0_5 : 4'h0)
+    1591             :     | (io_update_bits_pc[7:1] == 7'h6 ? useAltOnNaCtrs_0_6 : 4'h0)
+    1592             :     | (io_update_bits_pc[7:1] == 7'h7 ? useAltOnNaCtrs_0_7 : 4'h0)
+    1593             :     | (io_update_bits_pc[7:1] == 7'h8 ? useAltOnNaCtrs_0_8 : 4'h0)
+    1594             :     | (io_update_bits_pc[7:1] == 7'h9 ? useAltOnNaCtrs_0_9 : 4'h0)
+    1595             :     | (io_update_bits_pc[7:1] == 7'hA ? useAltOnNaCtrs_0_10 : 4'h0)
+    1596             :     | (io_update_bits_pc[7:1] == 7'hB ? useAltOnNaCtrs_0_11 : 4'h0)
+    1597             :     | (io_update_bits_pc[7:1] == 7'hC ? useAltOnNaCtrs_0_12 : 4'h0)
+    1598             :     | (io_update_bits_pc[7:1] == 7'hD ? useAltOnNaCtrs_0_13 : 4'h0)
+    1599             :     | (io_update_bits_pc[7:1] == 7'hE ? useAltOnNaCtrs_0_14 : 4'h0)
+    1600             :     | (io_update_bits_pc[7:1] == 7'hF ? useAltOnNaCtrs_0_15 : 4'h0)
+    1601             :     | (io_update_bits_pc[7:1] == 7'h10 ? useAltOnNaCtrs_0_16 : 4'h0)
+    1602             :     | (io_update_bits_pc[7:1] == 7'h11 ? useAltOnNaCtrs_0_17 : 4'h0)
+    1603             :     | (io_update_bits_pc[7:1] == 7'h12 ? useAltOnNaCtrs_0_18 : 4'h0)
+    1604             :     | (io_update_bits_pc[7:1] == 7'h13 ? useAltOnNaCtrs_0_19 : 4'h0)
+    1605             :     | (io_update_bits_pc[7:1] == 7'h14 ? useAltOnNaCtrs_0_20 : 4'h0)
+    1606             :     | (io_update_bits_pc[7:1] == 7'h15 ? useAltOnNaCtrs_0_21 : 4'h0)
+    1607             :     | (io_update_bits_pc[7:1] == 7'h16 ? useAltOnNaCtrs_0_22 : 4'h0)
+    1608             :     | (io_update_bits_pc[7:1] == 7'h17 ? useAltOnNaCtrs_0_23 : 4'h0)
+    1609             :     | (io_update_bits_pc[7:1] == 7'h18 ? useAltOnNaCtrs_0_24 : 4'h0)
+    1610             :     | (io_update_bits_pc[7:1] == 7'h19 ? useAltOnNaCtrs_0_25 : 4'h0)
+    1611             :     | (io_update_bits_pc[7:1] == 7'h1A ? useAltOnNaCtrs_0_26 : 4'h0)
+    1612             :     | (io_update_bits_pc[7:1] == 7'h1B ? useAltOnNaCtrs_0_27 : 4'h0)
+    1613             :     | (io_update_bits_pc[7:1] == 7'h1C ? useAltOnNaCtrs_0_28 : 4'h0)
+    1614             :     | (io_update_bits_pc[7:1] == 7'h1D ? useAltOnNaCtrs_0_29 : 4'h0)
+    1615             :     | (io_update_bits_pc[7:1] == 7'h1E ? useAltOnNaCtrs_0_30 : 4'h0)
+    1616             :     | (io_update_bits_pc[7:1] == 7'h1F ? useAltOnNaCtrs_0_31 : 4'h0)
+    1617             :     | (io_update_bits_pc[7:1] == 7'h20 ? useAltOnNaCtrs_0_32 : 4'h0)
+    1618             :     | (io_update_bits_pc[7:1] == 7'h21 ? useAltOnNaCtrs_0_33 : 4'h0)
+    1619             :     | (io_update_bits_pc[7:1] == 7'h22 ? useAltOnNaCtrs_0_34 : 4'h0)
+    1620             :     | (io_update_bits_pc[7:1] == 7'h23 ? useAltOnNaCtrs_0_35 : 4'h0)
+    1621             :     | (io_update_bits_pc[7:1] == 7'h24 ? useAltOnNaCtrs_0_36 : 4'h0)
+    1622             :     | (io_update_bits_pc[7:1] == 7'h25 ? useAltOnNaCtrs_0_37 : 4'h0)
+    1623             :     | (io_update_bits_pc[7:1] == 7'h26 ? useAltOnNaCtrs_0_38 : 4'h0)
+    1624             :     | (io_update_bits_pc[7:1] == 7'h27 ? useAltOnNaCtrs_0_39 : 4'h0)
+    1625             :     | (io_update_bits_pc[7:1] == 7'h28 ? useAltOnNaCtrs_0_40 : 4'h0)
+    1626             :     | (io_update_bits_pc[7:1] == 7'h29 ? useAltOnNaCtrs_0_41 : 4'h0)
+    1627             :     | (io_update_bits_pc[7:1] == 7'h2A ? useAltOnNaCtrs_0_42 : 4'h0)
+    1628             :     | (io_update_bits_pc[7:1] == 7'h2B ? useAltOnNaCtrs_0_43 : 4'h0)
+    1629             :     | (io_update_bits_pc[7:1] == 7'h2C ? useAltOnNaCtrs_0_44 : 4'h0)
+    1630             :     | (io_update_bits_pc[7:1] == 7'h2D ? useAltOnNaCtrs_0_45 : 4'h0)
+    1631             :     | (io_update_bits_pc[7:1] == 7'h2E ? useAltOnNaCtrs_0_46 : 4'h0)
+    1632             :     | (io_update_bits_pc[7:1] == 7'h2F ? useAltOnNaCtrs_0_47 : 4'h0)
+    1633             :     | (io_update_bits_pc[7:1] == 7'h30 ? useAltOnNaCtrs_0_48 : 4'h0)
+    1634             :     | (io_update_bits_pc[7:1] == 7'h31 ? useAltOnNaCtrs_0_49 : 4'h0)
+    1635             :     | (io_update_bits_pc[7:1] == 7'h32 ? useAltOnNaCtrs_0_50 : 4'h0)
+    1636             :     | (io_update_bits_pc[7:1] == 7'h33 ? useAltOnNaCtrs_0_51 : 4'h0)
+    1637             :     | (io_update_bits_pc[7:1] == 7'h34 ? useAltOnNaCtrs_0_52 : 4'h0)
+    1638             :     | (io_update_bits_pc[7:1] == 7'h35 ? useAltOnNaCtrs_0_53 : 4'h0)
+    1639             :     | (io_update_bits_pc[7:1] == 7'h36 ? useAltOnNaCtrs_0_54 : 4'h0)
+    1640             :     | (io_update_bits_pc[7:1] == 7'h37 ? useAltOnNaCtrs_0_55 : 4'h0)
+    1641             :     | (io_update_bits_pc[7:1] == 7'h38 ? useAltOnNaCtrs_0_56 : 4'h0)
+    1642             :     | (io_update_bits_pc[7:1] == 7'h39 ? useAltOnNaCtrs_0_57 : 4'h0)
+    1643             :     | (io_update_bits_pc[7:1] == 7'h3A ? useAltOnNaCtrs_0_58 : 4'h0)
+    1644             :     | (io_update_bits_pc[7:1] == 7'h3B ? useAltOnNaCtrs_0_59 : 4'h0)
+    1645             :     | (io_update_bits_pc[7:1] == 7'h3C ? useAltOnNaCtrs_0_60 : 4'h0)
+    1646             :     | (io_update_bits_pc[7:1] == 7'h3D ? useAltOnNaCtrs_0_61 : 4'h0)
+    1647             :     | (io_update_bits_pc[7:1] == 7'h3E ? useAltOnNaCtrs_0_62 : 4'h0)
+    1648             :     | (io_update_bits_pc[7:1] == 7'h3F ? useAltOnNaCtrs_0_63 : 4'h0)
+    1649             :     | (io_update_bits_pc[7:1] == 7'h40 ? useAltOnNaCtrs_0_64 : 4'h0)
+    1650             :     | (io_update_bits_pc[7:1] == 7'h41 ? useAltOnNaCtrs_0_65 : 4'h0)
+    1651             :     | (io_update_bits_pc[7:1] == 7'h42 ? useAltOnNaCtrs_0_66 : 4'h0)
+    1652             :     | (io_update_bits_pc[7:1] == 7'h43 ? useAltOnNaCtrs_0_67 : 4'h0)
+    1653             :     | (io_update_bits_pc[7:1] == 7'h44 ? useAltOnNaCtrs_0_68 : 4'h0)
+    1654             :     | (io_update_bits_pc[7:1] == 7'h45 ? useAltOnNaCtrs_0_69 : 4'h0)
+    1655             :     | (io_update_bits_pc[7:1] == 7'h46 ? useAltOnNaCtrs_0_70 : 4'h0)
+    1656             :     | (io_update_bits_pc[7:1] == 7'h47 ? useAltOnNaCtrs_0_71 : 4'h0)
+    1657             :     | (io_update_bits_pc[7:1] == 7'h48 ? useAltOnNaCtrs_0_72 : 4'h0)
+    1658             :     | (io_update_bits_pc[7:1] == 7'h49 ? useAltOnNaCtrs_0_73 : 4'h0)
+    1659             :     | (io_update_bits_pc[7:1] == 7'h4A ? useAltOnNaCtrs_0_74 : 4'h0)
+    1660             :     | (io_update_bits_pc[7:1] == 7'h4B ? useAltOnNaCtrs_0_75 : 4'h0)
+    1661             :     | (io_update_bits_pc[7:1] == 7'h4C ? useAltOnNaCtrs_0_76 : 4'h0)
+    1662             :     | (io_update_bits_pc[7:1] == 7'h4D ? useAltOnNaCtrs_0_77 : 4'h0)
+    1663             :     | (io_update_bits_pc[7:1] == 7'h4E ? useAltOnNaCtrs_0_78 : 4'h0)
+    1664             :     | (io_update_bits_pc[7:1] == 7'h4F ? useAltOnNaCtrs_0_79 : 4'h0)
+    1665             :     | (io_update_bits_pc[7:1] == 7'h50 ? useAltOnNaCtrs_0_80 : 4'h0)
+    1666             :     | (io_update_bits_pc[7:1] == 7'h51 ? useAltOnNaCtrs_0_81 : 4'h0)
+    1667             :     | (io_update_bits_pc[7:1] == 7'h52 ? useAltOnNaCtrs_0_82 : 4'h0)
+    1668             :     | (io_update_bits_pc[7:1] == 7'h53 ? useAltOnNaCtrs_0_83 : 4'h0)
+    1669             :     | (io_update_bits_pc[7:1] == 7'h54 ? useAltOnNaCtrs_0_84 : 4'h0)
+    1670             :     | (io_update_bits_pc[7:1] == 7'h55 ? useAltOnNaCtrs_0_85 : 4'h0)
+    1671             :     | (io_update_bits_pc[7:1] == 7'h56 ? useAltOnNaCtrs_0_86 : 4'h0)
+    1672             :     | (io_update_bits_pc[7:1] == 7'h57 ? useAltOnNaCtrs_0_87 : 4'h0)
+    1673             :     | (io_update_bits_pc[7:1] == 7'h58 ? useAltOnNaCtrs_0_88 : 4'h0)
+    1674             :     | (io_update_bits_pc[7:1] == 7'h59 ? useAltOnNaCtrs_0_89 : 4'h0)
+    1675             :     | (io_update_bits_pc[7:1] == 7'h5A ? useAltOnNaCtrs_0_90 : 4'h0)
+    1676             :     | (io_update_bits_pc[7:1] == 7'h5B ? useAltOnNaCtrs_0_91 : 4'h0)
+    1677             :     | (io_update_bits_pc[7:1] == 7'h5C ? useAltOnNaCtrs_0_92 : 4'h0)
+    1678             :     | (io_update_bits_pc[7:1] == 7'h5D ? useAltOnNaCtrs_0_93 : 4'h0)
+    1679             :     | (io_update_bits_pc[7:1] == 7'h5E ? useAltOnNaCtrs_0_94 : 4'h0)
+    1680             :     | (io_update_bits_pc[7:1] == 7'h5F ? useAltOnNaCtrs_0_95 : 4'h0)
+    1681             :     | (io_update_bits_pc[7:1] == 7'h60 ? useAltOnNaCtrs_0_96 : 4'h0)
+    1682             :     | (io_update_bits_pc[7:1] == 7'h61 ? useAltOnNaCtrs_0_97 : 4'h0)
+    1683             :     | (io_update_bits_pc[7:1] == 7'h62 ? useAltOnNaCtrs_0_98 : 4'h0)
+    1684             :     | (io_update_bits_pc[7:1] == 7'h63 ? useAltOnNaCtrs_0_99 : 4'h0)
+    1685             :     | (io_update_bits_pc[7:1] == 7'h64 ? useAltOnNaCtrs_0_100 : 4'h0)
+    1686             :     | (io_update_bits_pc[7:1] == 7'h65 ? useAltOnNaCtrs_0_101 : 4'h0)
+    1687             :     | (io_update_bits_pc[7:1] == 7'h66 ? useAltOnNaCtrs_0_102 : 4'h0)
+    1688             :     | (io_update_bits_pc[7:1] == 7'h67 ? useAltOnNaCtrs_0_103 : 4'h0)
+    1689             :     | (io_update_bits_pc[7:1] == 7'h68 ? useAltOnNaCtrs_0_104 : 4'h0)
+    1690             :     | (io_update_bits_pc[7:1] == 7'h69 ? useAltOnNaCtrs_0_105 : 4'h0)
+    1691             :     | (io_update_bits_pc[7:1] == 7'h6A ? useAltOnNaCtrs_0_106 : 4'h0)
+    1692             :     | (io_update_bits_pc[7:1] == 7'h6B ? useAltOnNaCtrs_0_107 : 4'h0)
+    1693             :     | (io_update_bits_pc[7:1] == 7'h6C ? useAltOnNaCtrs_0_108 : 4'h0)
+    1694             :     | (io_update_bits_pc[7:1] == 7'h6D ? useAltOnNaCtrs_0_109 : 4'h0)
+    1695             :     | (io_update_bits_pc[7:1] == 7'h6E ? useAltOnNaCtrs_0_110 : 4'h0)
+    1696             :     | (io_update_bits_pc[7:1] == 7'h6F ? useAltOnNaCtrs_0_111 : 4'h0)
+    1697             :     | (io_update_bits_pc[7:1] == 7'h70 ? useAltOnNaCtrs_0_112 : 4'h0)
+    1698             :     | (io_update_bits_pc[7:1] == 7'h71 ? useAltOnNaCtrs_0_113 : 4'h0)
+    1699             :     | (io_update_bits_pc[7:1] == 7'h72 ? useAltOnNaCtrs_0_114 : 4'h0)
+    1700             :     | (io_update_bits_pc[7:1] == 7'h73 ? useAltOnNaCtrs_0_115 : 4'h0)
+    1701             :     | (io_update_bits_pc[7:1] == 7'h74 ? useAltOnNaCtrs_0_116 : 4'h0)
+    1702             :     | (io_update_bits_pc[7:1] == 7'h75 ? useAltOnNaCtrs_0_117 : 4'h0)
+    1703             :     | (io_update_bits_pc[7:1] == 7'h76 ? useAltOnNaCtrs_0_118 : 4'h0)
+    1704             :     | (io_update_bits_pc[7:1] == 7'h77 ? useAltOnNaCtrs_0_119 : 4'h0)
+    1705             :     | (io_update_bits_pc[7:1] == 7'h78 ? useAltOnNaCtrs_0_120 : 4'h0)
+    1706             :     | (io_update_bits_pc[7:1] == 7'h79 ? useAltOnNaCtrs_0_121 : 4'h0)
+    1707             :     | (io_update_bits_pc[7:1] == 7'h7A ? useAltOnNaCtrs_0_122 : 4'h0)
+    1708             :     | (io_update_bits_pc[7:1] == 7'h7B ? useAltOnNaCtrs_0_123 : 4'h0)
+    1709             :     | (io_update_bits_pc[7:1] == 7'h7C ? useAltOnNaCtrs_0_124 : 4'h0)
+    1710             :     | (io_update_bits_pc[7:1] == 7'h7D ? useAltOnNaCtrs_0_125 : 4'h0)
+    1711             :     | (io_update_bits_pc[7:1] == 7'h7E ? useAltOnNaCtrs_0_126 : 4'h0)
+    1712             :     | ((&(io_update_bits_pc[7:1])) ? useAltOnNaCtrs_0_127 : 4'h0);
+    1713          35 :   wire        updateAltCorrect = io_update_bits_meta[65] == updateTaken;
+    1714             :   wire        _GEN_69 =
+    1715             :     io_update_bits_meta[84]
+    1716             :     & (io_update_bits_meta[76:74] == 3'h4 | io_update_bits_meta[76:74] == 3'h3)
+    1717             :     & io_update_bits_meta[68];
+    1718             :   wire        _newCtr_T = (&updateUseAltCtr) & updateAltCorrect;
+    1719             :   wire        _newCtr_T_2 = updateUseAltCtr == 4'h0 & ~updateAltCorrect;
+    1720             :   wire [3:0]  _newCtr_T_3 = 4'(updateUseAltCtr + 4'h1);
+    1721             :   wire [3:0]  _newCtr_T_5 = 4'(updateUseAltCtr - 4'h1);
+    1722         119 :   wire [3:0]  updateUseAltCtr_1 =
+    1723             :     (io_update_bits_pc[7:1] == 7'h0 ? useAltOnNaCtrs_1_0 : 4'h0)
+    1724             :     | (io_update_bits_pc[7:1] == 7'h1 ? useAltOnNaCtrs_1_1 : 4'h0)
+    1725             :     | (io_update_bits_pc[7:1] == 7'h2 ? useAltOnNaCtrs_1_2 : 4'h0)
+    1726             :     | (io_update_bits_pc[7:1] == 7'h3 ? useAltOnNaCtrs_1_3 : 4'h0)
+    1727             :     | (io_update_bits_pc[7:1] == 7'h4 ? useAltOnNaCtrs_1_4 : 4'h0)
+    1728             :     | (io_update_bits_pc[7:1] == 7'h5 ? useAltOnNaCtrs_1_5 : 4'h0)
+    1729             :     | (io_update_bits_pc[7:1] == 7'h6 ? useAltOnNaCtrs_1_6 : 4'h0)
+    1730             :     | (io_update_bits_pc[7:1] == 7'h7 ? useAltOnNaCtrs_1_7 : 4'h0)
+    1731             :     | (io_update_bits_pc[7:1] == 7'h8 ? useAltOnNaCtrs_1_8 : 4'h0)
+    1732             :     | (io_update_bits_pc[7:1] == 7'h9 ? useAltOnNaCtrs_1_9 : 4'h0)
+    1733             :     | (io_update_bits_pc[7:1] == 7'hA ? useAltOnNaCtrs_1_10 : 4'h0)
+    1734             :     | (io_update_bits_pc[7:1] == 7'hB ? useAltOnNaCtrs_1_11 : 4'h0)
+    1735             :     | (io_update_bits_pc[7:1] == 7'hC ? useAltOnNaCtrs_1_12 : 4'h0)
+    1736             :     | (io_update_bits_pc[7:1] == 7'hD ? useAltOnNaCtrs_1_13 : 4'h0)
+    1737             :     | (io_update_bits_pc[7:1] == 7'hE ? useAltOnNaCtrs_1_14 : 4'h0)
+    1738             :     | (io_update_bits_pc[7:1] == 7'hF ? useAltOnNaCtrs_1_15 : 4'h0)
+    1739             :     | (io_update_bits_pc[7:1] == 7'h10 ? useAltOnNaCtrs_1_16 : 4'h0)
+    1740             :     | (io_update_bits_pc[7:1] == 7'h11 ? useAltOnNaCtrs_1_17 : 4'h0)
+    1741             :     | (io_update_bits_pc[7:1] == 7'h12 ? useAltOnNaCtrs_1_18 : 4'h0)
+    1742             :     | (io_update_bits_pc[7:1] == 7'h13 ? useAltOnNaCtrs_1_19 : 4'h0)
+    1743             :     | (io_update_bits_pc[7:1] == 7'h14 ? useAltOnNaCtrs_1_20 : 4'h0)
+    1744             :     | (io_update_bits_pc[7:1] == 7'h15 ? useAltOnNaCtrs_1_21 : 4'h0)
+    1745             :     | (io_update_bits_pc[7:1] == 7'h16 ? useAltOnNaCtrs_1_22 : 4'h0)
+    1746             :     | (io_update_bits_pc[7:1] == 7'h17 ? useAltOnNaCtrs_1_23 : 4'h0)
+    1747             :     | (io_update_bits_pc[7:1] == 7'h18 ? useAltOnNaCtrs_1_24 : 4'h0)
+    1748             :     | (io_update_bits_pc[7:1] == 7'h19 ? useAltOnNaCtrs_1_25 : 4'h0)
+    1749             :     | (io_update_bits_pc[7:1] == 7'h1A ? useAltOnNaCtrs_1_26 : 4'h0)
+    1750             :     | (io_update_bits_pc[7:1] == 7'h1B ? useAltOnNaCtrs_1_27 : 4'h0)
+    1751             :     | (io_update_bits_pc[7:1] == 7'h1C ? useAltOnNaCtrs_1_28 : 4'h0)
+    1752             :     | (io_update_bits_pc[7:1] == 7'h1D ? useAltOnNaCtrs_1_29 : 4'h0)
+    1753             :     | (io_update_bits_pc[7:1] == 7'h1E ? useAltOnNaCtrs_1_30 : 4'h0)
+    1754             :     | (io_update_bits_pc[7:1] == 7'h1F ? useAltOnNaCtrs_1_31 : 4'h0)
+    1755             :     | (io_update_bits_pc[7:1] == 7'h20 ? useAltOnNaCtrs_1_32 : 4'h0)
+    1756             :     | (io_update_bits_pc[7:1] == 7'h21 ? useAltOnNaCtrs_1_33 : 4'h0)
+    1757             :     | (io_update_bits_pc[7:1] == 7'h22 ? useAltOnNaCtrs_1_34 : 4'h0)
+    1758             :     | (io_update_bits_pc[7:1] == 7'h23 ? useAltOnNaCtrs_1_35 : 4'h0)
+    1759             :     | (io_update_bits_pc[7:1] == 7'h24 ? useAltOnNaCtrs_1_36 : 4'h0)
+    1760             :     | (io_update_bits_pc[7:1] == 7'h25 ? useAltOnNaCtrs_1_37 : 4'h0)
+    1761             :     | (io_update_bits_pc[7:1] == 7'h26 ? useAltOnNaCtrs_1_38 : 4'h0)
+    1762             :     | (io_update_bits_pc[7:1] == 7'h27 ? useAltOnNaCtrs_1_39 : 4'h0)
+    1763             :     | (io_update_bits_pc[7:1] == 7'h28 ? useAltOnNaCtrs_1_40 : 4'h0)
+    1764             :     | (io_update_bits_pc[7:1] == 7'h29 ? useAltOnNaCtrs_1_41 : 4'h0)
+    1765             :     | (io_update_bits_pc[7:1] == 7'h2A ? useAltOnNaCtrs_1_42 : 4'h0)
+    1766             :     | (io_update_bits_pc[7:1] == 7'h2B ? useAltOnNaCtrs_1_43 : 4'h0)
+    1767             :     | (io_update_bits_pc[7:1] == 7'h2C ? useAltOnNaCtrs_1_44 : 4'h0)
+    1768             :     | (io_update_bits_pc[7:1] == 7'h2D ? useAltOnNaCtrs_1_45 : 4'h0)
+    1769             :     | (io_update_bits_pc[7:1] == 7'h2E ? useAltOnNaCtrs_1_46 : 4'h0)
+    1770             :     | (io_update_bits_pc[7:1] == 7'h2F ? useAltOnNaCtrs_1_47 : 4'h0)
+    1771             :     | (io_update_bits_pc[7:1] == 7'h30 ? useAltOnNaCtrs_1_48 : 4'h0)
+    1772             :     | (io_update_bits_pc[7:1] == 7'h31 ? useAltOnNaCtrs_1_49 : 4'h0)
+    1773             :     | (io_update_bits_pc[7:1] == 7'h32 ? useAltOnNaCtrs_1_50 : 4'h0)
+    1774             :     | (io_update_bits_pc[7:1] == 7'h33 ? useAltOnNaCtrs_1_51 : 4'h0)
+    1775             :     | (io_update_bits_pc[7:1] == 7'h34 ? useAltOnNaCtrs_1_52 : 4'h0)
+    1776             :     | (io_update_bits_pc[7:1] == 7'h35 ? useAltOnNaCtrs_1_53 : 4'h0)
+    1777             :     | (io_update_bits_pc[7:1] == 7'h36 ? useAltOnNaCtrs_1_54 : 4'h0)
+    1778             :     | (io_update_bits_pc[7:1] == 7'h37 ? useAltOnNaCtrs_1_55 : 4'h0)
+    1779             :     | (io_update_bits_pc[7:1] == 7'h38 ? useAltOnNaCtrs_1_56 : 4'h0)
+    1780             :     | (io_update_bits_pc[7:1] == 7'h39 ? useAltOnNaCtrs_1_57 : 4'h0)
+    1781             :     | (io_update_bits_pc[7:1] == 7'h3A ? useAltOnNaCtrs_1_58 : 4'h0)
+    1782             :     | (io_update_bits_pc[7:1] == 7'h3B ? useAltOnNaCtrs_1_59 : 4'h0)
+    1783             :     | (io_update_bits_pc[7:1] == 7'h3C ? useAltOnNaCtrs_1_60 : 4'h0)
+    1784             :     | (io_update_bits_pc[7:1] == 7'h3D ? useAltOnNaCtrs_1_61 : 4'h0)
+    1785             :     | (io_update_bits_pc[7:1] == 7'h3E ? useAltOnNaCtrs_1_62 : 4'h0)
+    1786             :     | (io_update_bits_pc[7:1] == 7'h3F ? useAltOnNaCtrs_1_63 : 4'h0)
+    1787             :     | (io_update_bits_pc[7:1] == 7'h40 ? useAltOnNaCtrs_1_64 : 4'h0)
+    1788             :     | (io_update_bits_pc[7:1] == 7'h41 ? useAltOnNaCtrs_1_65 : 4'h0)
+    1789             :     | (io_update_bits_pc[7:1] == 7'h42 ? useAltOnNaCtrs_1_66 : 4'h0)
+    1790             :     | (io_update_bits_pc[7:1] == 7'h43 ? useAltOnNaCtrs_1_67 : 4'h0)
+    1791             :     | (io_update_bits_pc[7:1] == 7'h44 ? useAltOnNaCtrs_1_68 : 4'h0)
+    1792             :     | (io_update_bits_pc[7:1] == 7'h45 ? useAltOnNaCtrs_1_69 : 4'h0)
+    1793             :     | (io_update_bits_pc[7:1] == 7'h46 ? useAltOnNaCtrs_1_70 : 4'h0)
+    1794             :     | (io_update_bits_pc[7:1] == 7'h47 ? useAltOnNaCtrs_1_71 : 4'h0)
+    1795             :     | (io_update_bits_pc[7:1] == 7'h48 ? useAltOnNaCtrs_1_72 : 4'h0)
+    1796             :     | (io_update_bits_pc[7:1] == 7'h49 ? useAltOnNaCtrs_1_73 : 4'h0)
+    1797             :     | (io_update_bits_pc[7:1] == 7'h4A ? useAltOnNaCtrs_1_74 : 4'h0)
+    1798             :     | (io_update_bits_pc[7:1] == 7'h4B ? useAltOnNaCtrs_1_75 : 4'h0)
+    1799             :     | (io_update_bits_pc[7:1] == 7'h4C ? useAltOnNaCtrs_1_76 : 4'h0)
+    1800             :     | (io_update_bits_pc[7:1] == 7'h4D ? useAltOnNaCtrs_1_77 : 4'h0)
+    1801             :     | (io_update_bits_pc[7:1] == 7'h4E ? useAltOnNaCtrs_1_78 : 4'h0)
+    1802             :     | (io_update_bits_pc[7:1] == 7'h4F ? useAltOnNaCtrs_1_79 : 4'h0)
+    1803             :     | (io_update_bits_pc[7:1] == 7'h50 ? useAltOnNaCtrs_1_80 : 4'h0)
+    1804             :     | (io_update_bits_pc[7:1] == 7'h51 ? useAltOnNaCtrs_1_81 : 4'h0)
+    1805             :     | (io_update_bits_pc[7:1] == 7'h52 ? useAltOnNaCtrs_1_82 : 4'h0)
+    1806             :     | (io_update_bits_pc[7:1] == 7'h53 ? useAltOnNaCtrs_1_83 : 4'h0)
+    1807             :     | (io_update_bits_pc[7:1] == 7'h54 ? useAltOnNaCtrs_1_84 : 4'h0)
+    1808             :     | (io_update_bits_pc[7:1] == 7'h55 ? useAltOnNaCtrs_1_85 : 4'h0)
+    1809             :     | (io_update_bits_pc[7:1] == 7'h56 ? useAltOnNaCtrs_1_86 : 4'h0)
+    1810             :     | (io_update_bits_pc[7:1] == 7'h57 ? useAltOnNaCtrs_1_87 : 4'h0)
+    1811             :     | (io_update_bits_pc[7:1] == 7'h58 ? useAltOnNaCtrs_1_88 : 4'h0)
+    1812             :     | (io_update_bits_pc[7:1] == 7'h59 ? useAltOnNaCtrs_1_89 : 4'h0)
+    1813             :     | (io_update_bits_pc[7:1] == 7'h5A ? useAltOnNaCtrs_1_90 : 4'h0)
+    1814             :     | (io_update_bits_pc[7:1] == 7'h5B ? useAltOnNaCtrs_1_91 : 4'h0)
+    1815             :     | (io_update_bits_pc[7:1] == 7'h5C ? useAltOnNaCtrs_1_92 : 4'h0)
+    1816             :     | (io_update_bits_pc[7:1] == 7'h5D ? useAltOnNaCtrs_1_93 : 4'h0)
+    1817             :     | (io_update_bits_pc[7:1] == 7'h5E ? useAltOnNaCtrs_1_94 : 4'h0)
+    1818             :     | (io_update_bits_pc[7:1] == 7'h5F ? useAltOnNaCtrs_1_95 : 4'h0)
+    1819             :     | (io_update_bits_pc[7:1] == 7'h60 ? useAltOnNaCtrs_1_96 : 4'h0)
+    1820             :     | (io_update_bits_pc[7:1] == 7'h61 ? useAltOnNaCtrs_1_97 : 4'h0)
+    1821             :     | (io_update_bits_pc[7:1] == 7'h62 ? useAltOnNaCtrs_1_98 : 4'h0)
+    1822             :     | (io_update_bits_pc[7:1] == 7'h63 ? useAltOnNaCtrs_1_99 : 4'h0)
+    1823             :     | (io_update_bits_pc[7:1] == 7'h64 ? useAltOnNaCtrs_1_100 : 4'h0)
+    1824             :     | (io_update_bits_pc[7:1] == 7'h65 ? useAltOnNaCtrs_1_101 : 4'h0)
+    1825             :     | (io_update_bits_pc[7:1] == 7'h66 ? useAltOnNaCtrs_1_102 : 4'h0)
+    1826             :     | (io_update_bits_pc[7:1] == 7'h67 ? useAltOnNaCtrs_1_103 : 4'h0)
+    1827             :     | (io_update_bits_pc[7:1] == 7'h68 ? useAltOnNaCtrs_1_104 : 4'h0)
+    1828             :     | (io_update_bits_pc[7:1] == 7'h69 ? useAltOnNaCtrs_1_105 : 4'h0)
+    1829             :     | (io_update_bits_pc[7:1] == 7'h6A ? useAltOnNaCtrs_1_106 : 4'h0)
+    1830             :     | (io_update_bits_pc[7:1] == 7'h6B ? useAltOnNaCtrs_1_107 : 4'h0)
+    1831             :     | (io_update_bits_pc[7:1] == 7'h6C ? useAltOnNaCtrs_1_108 : 4'h0)
+    1832             :     | (io_update_bits_pc[7:1] == 7'h6D ? useAltOnNaCtrs_1_109 : 4'h0)
+    1833             :     | (io_update_bits_pc[7:1] == 7'h6E ? useAltOnNaCtrs_1_110 : 4'h0)
+    1834             :     | (io_update_bits_pc[7:1] == 7'h6F ? useAltOnNaCtrs_1_111 : 4'h0)
+    1835             :     | (io_update_bits_pc[7:1] == 7'h70 ? useAltOnNaCtrs_1_112 : 4'h0)
+    1836             :     | (io_update_bits_pc[7:1] == 7'h71 ? useAltOnNaCtrs_1_113 : 4'h0)
+    1837             :     | (io_update_bits_pc[7:1] == 7'h72 ? useAltOnNaCtrs_1_114 : 4'h0)
+    1838             :     | (io_update_bits_pc[7:1] == 7'h73 ? useAltOnNaCtrs_1_115 : 4'h0)
+    1839             :     | (io_update_bits_pc[7:1] == 7'h74 ? useAltOnNaCtrs_1_116 : 4'h0)
+    1840             :     | (io_update_bits_pc[7:1] == 7'h75 ? useAltOnNaCtrs_1_117 : 4'h0)
+    1841             :     | (io_update_bits_pc[7:1] == 7'h76 ? useAltOnNaCtrs_1_118 : 4'h0)
+    1842             :     | (io_update_bits_pc[7:1] == 7'h77 ? useAltOnNaCtrs_1_119 : 4'h0)
+    1843             :     | (io_update_bits_pc[7:1] == 7'h78 ? useAltOnNaCtrs_1_120 : 4'h0)
+    1844             :     | (io_update_bits_pc[7:1] == 7'h79 ? useAltOnNaCtrs_1_121 : 4'h0)
+    1845             :     | (io_update_bits_pc[7:1] == 7'h7A ? useAltOnNaCtrs_1_122 : 4'h0)
+    1846             :     | (io_update_bits_pc[7:1] == 7'h7B ? useAltOnNaCtrs_1_123 : 4'h0)
+    1847             :     | (io_update_bits_pc[7:1] == 7'h7C ? useAltOnNaCtrs_1_124 : 4'h0)
+    1848             :     | (io_update_bits_pc[7:1] == 7'h7D ? useAltOnNaCtrs_1_125 : 4'h0)
+    1849             :     | (io_update_bits_pc[7:1] == 7'h7E ? useAltOnNaCtrs_1_126 : 4'h0)
+    1850             :     | ((&(io_update_bits_pc[7:1])) ? useAltOnNaCtrs_1_127 : 4'h0);
+    1851          25 :   wire        updateAltCorrect_1 = io_update_bits_meta[67] == updateTaken_1;
+    1852             :   wire        _GEN_70 =
+    1853             :     io_update_bits_meta[87]
+    1854             :     & (io_update_bits_meta[81:79] == 3'h4 | io_update_bits_meta[81:79] == 3'h3)
+    1855             :     & io_update_bits_meta[69];
+    1856             :   wire        _newCtr_T_9 = (&updateUseAltCtr_1) & updateAltCorrect_1;
+    1857             :   wire        _newCtr_T_11 = updateUseAltCtr_1 == 4'h0 & ~updateAltCorrect_1;
+    1858             :   wire [3:0]  _newCtr_T_12 = 4'(updateUseAltCtr_1 + 4'h1);
+    1859             :   wire [3:0]  _newCtr_T_14 = 4'(updateUseAltCtr_1 - 4'h1);
+    1860             :   wire [9:0]  _sumAbs_T_4 = $signed(sum) < 10'sh0 ? 10'(10'h0 - sum) : sum;
+    1861             :   wire [9:0]  _sumAbs_T_9 = $signed(sum_1) < 10'sh0 ? 10'(10'h0 - sum_1) : sum_1;
+    1862      127730 :   always @(posedge clock or posedge reset) begin
+    1863         272 :     if (reset) begin
+    1864         136 :       bankTickCtrDistanceToTops_0 <= 7'h7F;
+    1865         136 :       bankTickCtrDistanceToTops_1 <= 7'h7F;
+    1866         136 :       bankTickCtrs_0 <= 7'h0;
+    1867         136 :       bankTickCtrs_1 <= 7'h0;
+    1868         136 :       useAltOnNaCtrs_0_0 <= 4'h8;
+    1869         136 :       useAltOnNaCtrs_0_1 <= 4'h8;
+    1870         136 :       useAltOnNaCtrs_0_2 <= 4'h8;
+    1871         136 :       useAltOnNaCtrs_0_3 <= 4'h8;
+    1872         136 :       useAltOnNaCtrs_0_4 <= 4'h8;
+    1873         136 :       useAltOnNaCtrs_0_5 <= 4'h8;
+    1874         136 :       useAltOnNaCtrs_0_6 <= 4'h8;
+    1875         136 :       useAltOnNaCtrs_0_7 <= 4'h8;
+    1876         136 :       useAltOnNaCtrs_0_8 <= 4'h8;
+    1877         136 :       useAltOnNaCtrs_0_9 <= 4'h8;
+    1878         136 :       useAltOnNaCtrs_0_10 <= 4'h8;
+    1879         136 :       useAltOnNaCtrs_0_11 <= 4'h8;
+    1880         136 :       useAltOnNaCtrs_0_12 <= 4'h8;
+    1881         136 :       useAltOnNaCtrs_0_13 <= 4'h8;
+    1882         136 :       useAltOnNaCtrs_0_14 <= 4'h8;
+    1883         136 :       useAltOnNaCtrs_0_15 <= 4'h8;
+    1884         136 :       useAltOnNaCtrs_0_16 <= 4'h8;
+    1885         136 :       useAltOnNaCtrs_0_17 <= 4'h8;
+    1886         136 :       useAltOnNaCtrs_0_18 <= 4'h8;
+    1887         136 :       useAltOnNaCtrs_0_19 <= 4'h8;
+    1888         136 :       useAltOnNaCtrs_0_20 <= 4'h8;
+    1889         136 :       useAltOnNaCtrs_0_21 <= 4'h8;
+    1890         136 :       useAltOnNaCtrs_0_22 <= 4'h8;
+    1891         136 :       useAltOnNaCtrs_0_23 <= 4'h8;
+    1892         136 :       useAltOnNaCtrs_0_24 <= 4'h8;
+    1893         136 :       useAltOnNaCtrs_0_25 <= 4'h8;
+    1894         136 :       useAltOnNaCtrs_0_26 <= 4'h8;
+    1895         136 :       useAltOnNaCtrs_0_27 <= 4'h8;
+    1896         136 :       useAltOnNaCtrs_0_28 <= 4'h8;
+    1897         136 :       useAltOnNaCtrs_0_29 <= 4'h8;
+    1898         136 :       useAltOnNaCtrs_0_30 <= 4'h8;
+    1899         136 :       useAltOnNaCtrs_0_31 <= 4'h8;
+    1900         136 :       useAltOnNaCtrs_0_32 <= 4'h8;
+    1901         136 :       useAltOnNaCtrs_0_33 <= 4'h8;
+    1902         136 :       useAltOnNaCtrs_0_34 <= 4'h8;
+    1903         136 :       useAltOnNaCtrs_0_35 <= 4'h8;
+    1904         136 :       useAltOnNaCtrs_0_36 <= 4'h8;
+    1905         136 :       useAltOnNaCtrs_0_37 <= 4'h8;
+    1906         136 :       useAltOnNaCtrs_0_38 <= 4'h8;
+    1907         136 :       useAltOnNaCtrs_0_39 <= 4'h8;
+    1908         136 :       useAltOnNaCtrs_0_40 <= 4'h8;
+    1909         136 :       useAltOnNaCtrs_0_41 <= 4'h8;
+    1910         136 :       useAltOnNaCtrs_0_42 <= 4'h8;
+    1911         136 :       useAltOnNaCtrs_0_43 <= 4'h8;
+    1912         136 :       useAltOnNaCtrs_0_44 <= 4'h8;
+    1913         136 :       useAltOnNaCtrs_0_45 <= 4'h8;
+    1914         136 :       useAltOnNaCtrs_0_46 <= 4'h8;
+    1915         136 :       useAltOnNaCtrs_0_47 <= 4'h8;
+    1916         136 :       useAltOnNaCtrs_0_48 <= 4'h8;
+    1917         136 :       useAltOnNaCtrs_0_49 <= 4'h8;
+    1918         136 :       useAltOnNaCtrs_0_50 <= 4'h8;
+    1919         136 :       useAltOnNaCtrs_0_51 <= 4'h8;
+    1920         136 :       useAltOnNaCtrs_0_52 <= 4'h8;
+    1921         136 :       useAltOnNaCtrs_0_53 <= 4'h8;
+    1922         136 :       useAltOnNaCtrs_0_54 <= 4'h8;
+    1923         136 :       useAltOnNaCtrs_0_55 <= 4'h8;
+    1924         136 :       useAltOnNaCtrs_0_56 <= 4'h8;
+    1925         136 :       useAltOnNaCtrs_0_57 <= 4'h8;
+    1926         136 :       useAltOnNaCtrs_0_58 <= 4'h8;
+    1927         136 :       useAltOnNaCtrs_0_59 <= 4'h8;
+    1928         136 :       useAltOnNaCtrs_0_60 <= 4'h8;
+    1929         136 :       useAltOnNaCtrs_0_61 <= 4'h8;
+    1930         136 :       useAltOnNaCtrs_0_62 <= 4'h8;
+    1931         136 :       useAltOnNaCtrs_0_63 <= 4'h8;
+    1932         136 :       useAltOnNaCtrs_0_64 <= 4'h8;
+    1933         136 :       useAltOnNaCtrs_0_65 <= 4'h8;
+    1934         136 :       useAltOnNaCtrs_0_66 <= 4'h8;
+    1935         136 :       useAltOnNaCtrs_0_67 <= 4'h8;
+    1936         136 :       useAltOnNaCtrs_0_68 <= 4'h8;
+    1937         136 :       useAltOnNaCtrs_0_69 <= 4'h8;
+    1938         136 :       useAltOnNaCtrs_0_70 <= 4'h8;
+    1939         136 :       useAltOnNaCtrs_0_71 <= 4'h8;
+    1940         136 :       useAltOnNaCtrs_0_72 <= 4'h8;
+    1941         136 :       useAltOnNaCtrs_0_73 <= 4'h8;
+    1942         136 :       useAltOnNaCtrs_0_74 <= 4'h8;
+    1943         136 :       useAltOnNaCtrs_0_75 <= 4'h8;
+    1944         136 :       useAltOnNaCtrs_0_76 <= 4'h8;
+    1945         136 :       useAltOnNaCtrs_0_77 <= 4'h8;
+    1946         136 :       useAltOnNaCtrs_0_78 <= 4'h8;
+    1947         136 :       useAltOnNaCtrs_0_79 <= 4'h8;
+    1948         136 :       useAltOnNaCtrs_0_80 <= 4'h8;
+    1949         136 :       useAltOnNaCtrs_0_81 <= 4'h8;
+    1950         136 :       useAltOnNaCtrs_0_82 <= 4'h8;
+    1951         136 :       useAltOnNaCtrs_0_83 <= 4'h8;
+    1952         136 :       useAltOnNaCtrs_0_84 <= 4'h8;
+    1953         136 :       useAltOnNaCtrs_0_85 <= 4'h8;
+    1954         136 :       useAltOnNaCtrs_0_86 <= 4'h8;
+    1955         136 :       useAltOnNaCtrs_0_87 <= 4'h8;
+    1956         136 :       useAltOnNaCtrs_0_88 <= 4'h8;
+    1957         136 :       useAltOnNaCtrs_0_89 <= 4'h8;
+    1958         136 :       useAltOnNaCtrs_0_90 <= 4'h8;
+    1959         136 :       useAltOnNaCtrs_0_91 <= 4'h8;
+    1960         136 :       useAltOnNaCtrs_0_92 <= 4'h8;
+    1961         136 :       useAltOnNaCtrs_0_93 <= 4'h8;
+    1962         136 :       useAltOnNaCtrs_0_94 <= 4'h8;
+    1963         136 :       useAltOnNaCtrs_0_95 <= 4'h8;
+    1964         136 :       useAltOnNaCtrs_0_96 <= 4'h8;
+    1965         136 :       useAltOnNaCtrs_0_97 <= 4'h8;
+    1966         136 :       useAltOnNaCtrs_0_98 <= 4'h8;
+    1967         136 :       useAltOnNaCtrs_0_99 <= 4'h8;
+    1968         136 :       useAltOnNaCtrs_0_100 <= 4'h8;
+    1969         136 :       useAltOnNaCtrs_0_101 <= 4'h8;
+    1970         136 :       useAltOnNaCtrs_0_102 <= 4'h8;
+    1971         136 :       useAltOnNaCtrs_0_103 <= 4'h8;
+    1972         136 :       useAltOnNaCtrs_0_104 <= 4'h8;
+    1973         136 :       useAltOnNaCtrs_0_105 <= 4'h8;
+    1974         136 :       useAltOnNaCtrs_0_106 <= 4'h8;
+    1975         136 :       useAltOnNaCtrs_0_107 <= 4'h8;
+    1976         136 :       useAltOnNaCtrs_0_108 <= 4'h8;
+    1977         136 :       useAltOnNaCtrs_0_109 <= 4'h8;
+    1978         136 :       useAltOnNaCtrs_0_110 <= 4'h8;
+    1979         136 :       useAltOnNaCtrs_0_111 <= 4'h8;
+    1980         136 :       useAltOnNaCtrs_0_112 <= 4'h8;
+    1981         136 :       useAltOnNaCtrs_0_113 <= 4'h8;
+    1982         136 :       useAltOnNaCtrs_0_114 <= 4'h8;
+    1983         136 :       useAltOnNaCtrs_0_115 <= 4'h8;
+    1984         136 :       useAltOnNaCtrs_0_116 <= 4'h8;
+    1985         136 :       useAltOnNaCtrs_0_117 <= 4'h8;
+    1986         136 :       useAltOnNaCtrs_0_118 <= 4'h8;
+    1987         136 :       useAltOnNaCtrs_0_119 <= 4'h8;
+    1988         136 :       useAltOnNaCtrs_0_120 <= 4'h8;
+    1989         136 :       useAltOnNaCtrs_0_121 <= 4'h8;
+    1990         136 :       useAltOnNaCtrs_0_122 <= 4'h8;
+    1991         136 :       useAltOnNaCtrs_0_123 <= 4'h8;
+    1992         136 :       useAltOnNaCtrs_0_124 <= 4'h8;
+    1993         136 :       useAltOnNaCtrs_0_125 <= 4'h8;
+    1994         136 :       useAltOnNaCtrs_0_126 <= 4'h8;
+    1995         136 :       useAltOnNaCtrs_0_127 <= 4'h8;
+    1996         136 :       useAltOnNaCtrs_1_0 <= 4'h8;
+    1997         136 :       useAltOnNaCtrs_1_1 <= 4'h8;
+    1998         136 :       useAltOnNaCtrs_1_2 <= 4'h8;
+    1999         136 :       useAltOnNaCtrs_1_3 <= 4'h8;
+    2000         136 :       useAltOnNaCtrs_1_4 <= 4'h8;
+    2001         136 :       useAltOnNaCtrs_1_5 <= 4'h8;
+    2002         136 :       useAltOnNaCtrs_1_6 <= 4'h8;
+    2003         136 :       useAltOnNaCtrs_1_7 <= 4'h8;
+    2004         136 :       useAltOnNaCtrs_1_8 <= 4'h8;
+    2005         136 :       useAltOnNaCtrs_1_9 <= 4'h8;
+    2006         136 :       useAltOnNaCtrs_1_10 <= 4'h8;
+    2007         136 :       useAltOnNaCtrs_1_11 <= 4'h8;
+    2008         136 :       useAltOnNaCtrs_1_12 <= 4'h8;
+    2009         136 :       useAltOnNaCtrs_1_13 <= 4'h8;
+    2010         136 :       useAltOnNaCtrs_1_14 <= 4'h8;
+    2011         136 :       useAltOnNaCtrs_1_15 <= 4'h8;
+    2012         136 :       useAltOnNaCtrs_1_16 <= 4'h8;
+    2013         136 :       useAltOnNaCtrs_1_17 <= 4'h8;
+    2014         136 :       useAltOnNaCtrs_1_18 <= 4'h8;
+    2015         136 :       useAltOnNaCtrs_1_19 <= 4'h8;
+    2016         136 :       useAltOnNaCtrs_1_20 <= 4'h8;
+    2017         136 :       useAltOnNaCtrs_1_21 <= 4'h8;
+    2018         136 :       useAltOnNaCtrs_1_22 <= 4'h8;
+    2019         136 :       useAltOnNaCtrs_1_23 <= 4'h8;
+    2020         136 :       useAltOnNaCtrs_1_24 <= 4'h8;
+    2021         136 :       useAltOnNaCtrs_1_25 <= 4'h8;
+    2022         136 :       useAltOnNaCtrs_1_26 <= 4'h8;
+    2023         136 :       useAltOnNaCtrs_1_27 <= 4'h8;
+    2024         136 :       useAltOnNaCtrs_1_28 <= 4'h8;
+    2025         136 :       useAltOnNaCtrs_1_29 <= 4'h8;
+    2026         136 :       useAltOnNaCtrs_1_30 <= 4'h8;
+    2027         136 :       useAltOnNaCtrs_1_31 <= 4'h8;
+    2028         136 :       useAltOnNaCtrs_1_32 <= 4'h8;
+    2029         136 :       useAltOnNaCtrs_1_33 <= 4'h8;
+    2030         136 :       useAltOnNaCtrs_1_34 <= 4'h8;
+    2031         136 :       useAltOnNaCtrs_1_35 <= 4'h8;
+    2032         136 :       useAltOnNaCtrs_1_36 <= 4'h8;
+    2033         136 :       useAltOnNaCtrs_1_37 <= 4'h8;
+    2034         136 :       useAltOnNaCtrs_1_38 <= 4'h8;
+    2035         136 :       useAltOnNaCtrs_1_39 <= 4'h8;
+    2036         136 :       useAltOnNaCtrs_1_40 <= 4'h8;
+    2037         136 :       useAltOnNaCtrs_1_41 <= 4'h8;
+    2038         136 :       useAltOnNaCtrs_1_42 <= 4'h8;
+    2039         136 :       useAltOnNaCtrs_1_43 <= 4'h8;
+    2040         136 :       useAltOnNaCtrs_1_44 <= 4'h8;
+    2041         136 :       useAltOnNaCtrs_1_45 <= 4'h8;
+    2042         136 :       useAltOnNaCtrs_1_46 <= 4'h8;
+    2043         136 :       useAltOnNaCtrs_1_47 <= 4'h8;
+    2044         136 :       useAltOnNaCtrs_1_48 <= 4'h8;
+    2045         136 :       useAltOnNaCtrs_1_49 <= 4'h8;
+    2046         136 :       useAltOnNaCtrs_1_50 <= 4'h8;
+    2047         136 :       useAltOnNaCtrs_1_51 <= 4'h8;
+    2048         136 :       useAltOnNaCtrs_1_52 <= 4'h8;
+    2049         136 :       useAltOnNaCtrs_1_53 <= 4'h8;
+    2050         136 :       useAltOnNaCtrs_1_54 <= 4'h8;
+    2051         136 :       useAltOnNaCtrs_1_55 <= 4'h8;
+    2052         136 :       useAltOnNaCtrs_1_56 <= 4'h8;
+    2053         136 :       useAltOnNaCtrs_1_57 <= 4'h8;
+    2054         136 :       useAltOnNaCtrs_1_58 <= 4'h8;
+    2055         136 :       useAltOnNaCtrs_1_59 <= 4'h8;
+    2056         136 :       useAltOnNaCtrs_1_60 <= 4'h8;
+    2057         136 :       useAltOnNaCtrs_1_61 <= 4'h8;
+    2058         136 :       useAltOnNaCtrs_1_62 <= 4'h8;
+    2059         136 :       useAltOnNaCtrs_1_63 <= 4'h8;
+    2060         136 :       useAltOnNaCtrs_1_64 <= 4'h8;
+    2061         136 :       useAltOnNaCtrs_1_65 <= 4'h8;
+    2062         136 :       useAltOnNaCtrs_1_66 <= 4'h8;
+    2063         136 :       useAltOnNaCtrs_1_67 <= 4'h8;
+    2064         136 :       useAltOnNaCtrs_1_68 <= 4'h8;
+    2065         136 :       useAltOnNaCtrs_1_69 <= 4'h8;
+    2066         136 :       useAltOnNaCtrs_1_70 <= 4'h8;
+    2067         136 :       useAltOnNaCtrs_1_71 <= 4'h8;
+    2068         136 :       useAltOnNaCtrs_1_72 <= 4'h8;
+    2069         136 :       useAltOnNaCtrs_1_73 <= 4'h8;
+    2070         136 :       useAltOnNaCtrs_1_74 <= 4'h8;
+    2071         136 :       useAltOnNaCtrs_1_75 <= 4'h8;
+    2072         136 :       useAltOnNaCtrs_1_76 <= 4'h8;
+    2073         136 :       useAltOnNaCtrs_1_77 <= 4'h8;
+    2074         136 :       useAltOnNaCtrs_1_78 <= 4'h8;
+    2075         136 :       useAltOnNaCtrs_1_79 <= 4'h8;
+    2076         136 :       useAltOnNaCtrs_1_80 <= 4'h8;
+    2077         136 :       useAltOnNaCtrs_1_81 <= 4'h8;
+    2078         136 :       useAltOnNaCtrs_1_82 <= 4'h8;
+    2079         136 :       useAltOnNaCtrs_1_83 <= 4'h8;
+    2080         136 :       useAltOnNaCtrs_1_84 <= 4'h8;
+    2081         136 :       useAltOnNaCtrs_1_85 <= 4'h8;
+    2082         136 :       useAltOnNaCtrs_1_86 <= 4'h8;
+    2083         136 :       useAltOnNaCtrs_1_87 <= 4'h8;
+    2084         136 :       useAltOnNaCtrs_1_88 <= 4'h8;
+    2085         136 :       useAltOnNaCtrs_1_89 <= 4'h8;
+    2086         136 :       useAltOnNaCtrs_1_90 <= 4'h8;
+    2087         136 :       useAltOnNaCtrs_1_91 <= 4'h8;
+    2088         136 :       useAltOnNaCtrs_1_92 <= 4'h8;
+    2089         136 :       useAltOnNaCtrs_1_93 <= 4'h8;
+    2090         136 :       useAltOnNaCtrs_1_94 <= 4'h8;
+    2091         136 :       useAltOnNaCtrs_1_95 <= 4'h8;
+    2092         136 :       useAltOnNaCtrs_1_96 <= 4'h8;
+    2093         136 :       useAltOnNaCtrs_1_97 <= 4'h8;
+    2094         136 :       useAltOnNaCtrs_1_98 <= 4'h8;
+    2095         136 :       useAltOnNaCtrs_1_99 <= 4'h8;
+    2096         136 :       useAltOnNaCtrs_1_100 <= 4'h8;
+    2097         136 :       useAltOnNaCtrs_1_101 <= 4'h8;
+    2098         136 :       useAltOnNaCtrs_1_102 <= 4'h8;
+    2099         136 :       useAltOnNaCtrs_1_103 <= 4'h8;
+    2100         136 :       useAltOnNaCtrs_1_104 <= 4'h8;
+    2101         136 :       useAltOnNaCtrs_1_105 <= 4'h8;
+    2102         136 :       useAltOnNaCtrs_1_106 <= 4'h8;
+    2103         136 :       useAltOnNaCtrs_1_107 <= 4'h8;
+    2104         136 :       useAltOnNaCtrs_1_108 <= 4'h8;
+    2105         136 :       useAltOnNaCtrs_1_109 <= 4'h8;
+    2106         136 :       useAltOnNaCtrs_1_110 <= 4'h8;
+    2107         136 :       useAltOnNaCtrs_1_111 <= 4'h8;
+    2108         136 :       useAltOnNaCtrs_1_112 <= 4'h8;
+    2109         136 :       useAltOnNaCtrs_1_113 <= 4'h8;
+    2110         136 :       useAltOnNaCtrs_1_114 <= 4'h8;
+    2111         136 :       useAltOnNaCtrs_1_115 <= 4'h8;
+    2112         136 :       useAltOnNaCtrs_1_116 <= 4'h8;
+    2113         136 :       useAltOnNaCtrs_1_117 <= 4'h8;
+    2114         136 :       useAltOnNaCtrs_1_118 <= 4'h8;
+    2115         136 :       useAltOnNaCtrs_1_119 <= 4'h8;
+    2116         136 :       useAltOnNaCtrs_1_120 <= 4'h8;
+    2117         136 :       useAltOnNaCtrs_1_121 <= 4'h8;
+    2118         136 :       useAltOnNaCtrs_1_122 <= 4'h8;
+    2119         136 :       useAltOnNaCtrs_1_123 <= 4'h8;
+    2120         136 :       useAltOnNaCtrs_1_124 <= 4'h8;
+    2121         136 :       useAltOnNaCtrs_1_125 <= 4'h8;
+    2122         136 :       useAltOnNaCtrs_1_126 <= 4'h8;
+    2123         136 :       useAltOnNaCtrs_1_127 <= 4'h8;
+    2124         136 :       allocLFSR_lfsr <= 64'h1234567887654321;
+    2125         136 :       allocLFSR_lfsr_1 <= 64'h1234567887654321;
+    2126         136 :       scThresholds_0_ctr <= 5'h10;
+    2127         136 :       scThresholds_0_thres <= 8'h6;
+    2128         136 :       scThresholds_1_ctr <= 5'h10;
+    2129         136 :       scThresholds_1_thres <= 8'h6;
+    2130             :     end
+    2131       63729 :     else begin
+    2132           4 :       if (needToAllocate) begin
+    2133           0 :         if (&bankTickCtrs_0) begin
+    2134           0 :           bankTickCtrDistanceToTops_0 <= 7'h7F;
+    2135           0 :           bankTickCtrs_0 <= 7'h0;
+    2136             :         end
+    2137           0 :         else if (tickInc) begin
+    2138           0 :           if (_GEN_55 >= bankTickCtrDistanceToTops_0 & tickInc) begin
+    2139           0 :             bankTickCtrDistanceToTops_0 <= 7'h0;
+    2140           0 :             bankTickCtrs_0 <= 7'h7F;
+    2141             :           end
+    2142           0 :           else begin
+    2143           0 :             bankTickCtrDistanceToTops_0 <= 7'(bankTickCtrDistanceToTops_0 - _GEN_55);
+    2144           0 :             bankTickCtrs_0 <= 7'(bankTickCtrs_0 + _GEN_55);
+    2145             :           end
+    2146             :         end
+    2147           1 :         else if (tickDec) begin
+    2148           0 :           if (_GEN_56 >= bankTickCtrs_0 & tickDec) begin
+    2149           0 :             bankTickCtrDistanceToTops_0 <= 7'h7F;
+    2150           0 :             bankTickCtrs_0 <= 7'h0;
+    2151             :           end
+    2152           1 :           else begin
+    2153           1 :             bankTickCtrDistanceToTops_0 <= 7'(bankTickCtrDistanceToTops_0 + _GEN_56);
+    2154           1 :             bankTickCtrs_0 <= 7'(bankTickCtrs_0 - _GEN_56);
+    2155             :           end
+    2156             :         end
+    2157             :       end
+    2158           2 :       if (needToAllocate_1) begin
+    2159           0 :         if (&bankTickCtrs_1) begin
+    2160           0 :           bankTickCtrDistanceToTops_1 <= 7'h7F;
+    2161           0 :           bankTickCtrs_1 <= 7'h0;
+    2162             :         end
+    2163           2 :         else if (tickInc_1) begin
+    2164           0 :           if (_GEN_65 >= bankTickCtrDistanceToTops_1 & tickInc_1) begin
+    2165           0 :             bankTickCtrDistanceToTops_1 <= 7'h0;
+    2166           0 :             bankTickCtrs_1 <= 7'h7F;
+    2167             :           end
+    2168           1 :           else begin
+    2169           1 :             bankTickCtrDistanceToTops_1 <= 7'(bankTickCtrDistanceToTops_1 - _GEN_65);
+    2170           1 :             bankTickCtrs_1 <= 7'(bankTickCtrs_1 + _GEN_65);
+    2171             :           end
+    2172             :         end
+    2173           0 :         else if (tickDec_1) begin
+    2174           0 :           if (_GEN_66 >= bankTickCtrs_1 & tickDec_1) begin
+    2175           0 :             bankTickCtrDistanceToTops_1 <= 7'h7F;
+    2176           0 :             bankTickCtrs_1 <= 7'h0;
+    2177             :           end
+    2178           0 :           else begin
+    2179           0 :             bankTickCtrDistanceToTops_1 <= 7'(bankTickCtrDistanceToTops_1 + _GEN_66);
+    2180           0 :             bankTickCtrs_1 <= 7'(bankTickCtrs_1 - _GEN_66);
+    2181             :           end
+    2182             :         end
+    2183             :       end
+    2184           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h0) begin
+    2185           0 :         if (_newCtr_T)
+    2186           0 :           useAltOnNaCtrs_0_0 <= 4'hF;
+    2187           0 :         else if (_newCtr_T_2)
+    2188           0 :           useAltOnNaCtrs_0_0 <= 4'h0;
+    2189           0 :         else if (updateAltCorrect)
+    2190           0 :           useAltOnNaCtrs_0_0 <= _newCtr_T_3;
+    2191             :         else
+    2192           0 :           useAltOnNaCtrs_0_0 <= _newCtr_T_5;
+    2193             :       end
+    2194           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h1) begin
+    2195           0 :         if (_newCtr_T)
+    2196           0 :           useAltOnNaCtrs_0_1 <= 4'hF;
+    2197           0 :         else if (_newCtr_T_2)
+    2198           0 :           useAltOnNaCtrs_0_1 <= 4'h0;
+    2199           0 :         else if (updateAltCorrect)
+    2200           0 :           useAltOnNaCtrs_0_1 <= _newCtr_T_3;
+    2201             :         else
+    2202           0 :           useAltOnNaCtrs_0_1 <= _newCtr_T_5;
+    2203             :       end
+    2204           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h2) begin
+    2205           0 :         if (_newCtr_T)
+    2206           0 :           useAltOnNaCtrs_0_2 <= 4'hF;
+    2207           0 :         else if (_newCtr_T_2)
+    2208           0 :           useAltOnNaCtrs_0_2 <= 4'h0;
+    2209           0 :         else if (updateAltCorrect)
+    2210           0 :           useAltOnNaCtrs_0_2 <= _newCtr_T_3;
+    2211             :         else
+    2212           0 :           useAltOnNaCtrs_0_2 <= _newCtr_T_5;
+    2213             :       end
+    2214           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h3) begin
+    2215           0 :         if (_newCtr_T)
+    2216           0 :           useAltOnNaCtrs_0_3 <= 4'hF;
+    2217           0 :         else if (_newCtr_T_2)
+    2218           0 :           useAltOnNaCtrs_0_3 <= 4'h0;
+    2219           0 :         else if (updateAltCorrect)
+    2220           0 :           useAltOnNaCtrs_0_3 <= _newCtr_T_3;
+    2221             :         else
+    2222           0 :           useAltOnNaCtrs_0_3 <= _newCtr_T_5;
+    2223             :       end
+    2224           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h4) begin
+    2225           0 :         if (_newCtr_T)
+    2226           0 :           useAltOnNaCtrs_0_4 <= 4'hF;
+    2227           0 :         else if (_newCtr_T_2)
+    2228           0 :           useAltOnNaCtrs_0_4 <= 4'h0;
+    2229           0 :         else if (updateAltCorrect)
+    2230           0 :           useAltOnNaCtrs_0_4 <= _newCtr_T_3;
+    2231             :         else
+    2232           0 :           useAltOnNaCtrs_0_4 <= _newCtr_T_5;
+    2233             :       end
+    2234           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h5) begin
+    2235           0 :         if (_newCtr_T)
+    2236           0 :           useAltOnNaCtrs_0_5 <= 4'hF;
+    2237           0 :         else if (_newCtr_T_2)
+    2238           0 :           useAltOnNaCtrs_0_5 <= 4'h0;
+    2239           0 :         else if (updateAltCorrect)
+    2240           0 :           useAltOnNaCtrs_0_5 <= _newCtr_T_3;
+    2241             :         else
+    2242           0 :           useAltOnNaCtrs_0_5 <= _newCtr_T_5;
+    2243             :       end
+    2244           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h6) begin
+    2245           0 :         if (_newCtr_T)
+    2246           0 :           useAltOnNaCtrs_0_6 <= 4'hF;
+    2247           0 :         else if (_newCtr_T_2)
+    2248           0 :           useAltOnNaCtrs_0_6 <= 4'h0;
+    2249           0 :         else if (updateAltCorrect)
+    2250           0 :           useAltOnNaCtrs_0_6 <= _newCtr_T_3;
+    2251             :         else
+    2252           0 :           useAltOnNaCtrs_0_6 <= _newCtr_T_5;
+    2253             :       end
+    2254           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h7) begin
+    2255           0 :         if (_newCtr_T)
+    2256           0 :           useAltOnNaCtrs_0_7 <= 4'hF;
+    2257           0 :         else if (_newCtr_T_2)
+    2258           0 :           useAltOnNaCtrs_0_7 <= 4'h0;
+    2259           0 :         else if (updateAltCorrect)
+    2260           0 :           useAltOnNaCtrs_0_7 <= _newCtr_T_3;
+    2261             :         else
+    2262           0 :           useAltOnNaCtrs_0_7 <= _newCtr_T_5;
+    2263             :       end
+    2264           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h8) begin
+    2265           0 :         if (_newCtr_T)
+    2266           0 :           useAltOnNaCtrs_0_8 <= 4'hF;
+    2267           0 :         else if (_newCtr_T_2)
+    2268           0 :           useAltOnNaCtrs_0_8 <= 4'h0;
+    2269           0 :         else if (updateAltCorrect)
+    2270           0 :           useAltOnNaCtrs_0_8 <= _newCtr_T_3;
+    2271             :         else
+    2272           0 :           useAltOnNaCtrs_0_8 <= _newCtr_T_5;
+    2273             :       end
+    2274           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h9) begin
+    2275           0 :         if (_newCtr_T)
+    2276           0 :           useAltOnNaCtrs_0_9 <= 4'hF;
+    2277           0 :         else if (_newCtr_T_2)
+    2278           0 :           useAltOnNaCtrs_0_9 <= 4'h0;
+    2279           0 :         else if (updateAltCorrect)
+    2280           0 :           useAltOnNaCtrs_0_9 <= _newCtr_T_3;
+    2281             :         else
+    2282           0 :           useAltOnNaCtrs_0_9 <= _newCtr_T_5;
+    2283             :       end
+    2284           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'hA) begin
+    2285           0 :         if (_newCtr_T)
+    2286           0 :           useAltOnNaCtrs_0_10 <= 4'hF;
+    2287           0 :         else if (_newCtr_T_2)
+    2288           0 :           useAltOnNaCtrs_0_10 <= 4'h0;
+    2289           0 :         else if (updateAltCorrect)
+    2290           0 :           useAltOnNaCtrs_0_10 <= _newCtr_T_3;
+    2291             :         else
+    2292           0 :           useAltOnNaCtrs_0_10 <= _newCtr_T_5;
+    2293             :       end
+    2294           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'hB) begin
+    2295           0 :         if (_newCtr_T)
+    2296           0 :           useAltOnNaCtrs_0_11 <= 4'hF;
+    2297           0 :         else if (_newCtr_T_2)
+    2298           0 :           useAltOnNaCtrs_0_11 <= 4'h0;
+    2299           0 :         else if (updateAltCorrect)
+    2300           0 :           useAltOnNaCtrs_0_11 <= _newCtr_T_3;
+    2301             :         else
+    2302           0 :           useAltOnNaCtrs_0_11 <= _newCtr_T_5;
+    2303             :       end
+    2304           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'hC) begin
+    2305           0 :         if (_newCtr_T)
+    2306           0 :           useAltOnNaCtrs_0_12 <= 4'hF;
+    2307           0 :         else if (_newCtr_T_2)
+    2308           0 :           useAltOnNaCtrs_0_12 <= 4'h0;
+    2309           0 :         else if (updateAltCorrect)
+    2310           0 :           useAltOnNaCtrs_0_12 <= _newCtr_T_3;
+    2311             :         else
+    2312           0 :           useAltOnNaCtrs_0_12 <= _newCtr_T_5;
+    2313             :       end
+    2314           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'hD) begin
+    2315           0 :         if (_newCtr_T)
+    2316           0 :           useAltOnNaCtrs_0_13 <= 4'hF;
+    2317           0 :         else if (_newCtr_T_2)
+    2318           0 :           useAltOnNaCtrs_0_13 <= 4'h0;
+    2319           0 :         else if (updateAltCorrect)
+    2320           0 :           useAltOnNaCtrs_0_13 <= _newCtr_T_3;
+    2321             :         else
+    2322           0 :           useAltOnNaCtrs_0_13 <= _newCtr_T_5;
+    2323             :       end
+    2324           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'hE) begin
+    2325           0 :         if (_newCtr_T)
+    2326           0 :           useAltOnNaCtrs_0_14 <= 4'hF;
+    2327           0 :         else if (_newCtr_T_2)
+    2328           0 :           useAltOnNaCtrs_0_14 <= 4'h0;
+    2329           0 :         else if (updateAltCorrect)
+    2330           0 :           useAltOnNaCtrs_0_14 <= _newCtr_T_3;
+    2331             :         else
+    2332           0 :           useAltOnNaCtrs_0_14 <= _newCtr_T_5;
+    2333             :       end
+    2334           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'hF) begin
+    2335           0 :         if (_newCtr_T)
+    2336           0 :           useAltOnNaCtrs_0_15 <= 4'hF;
+    2337           0 :         else if (_newCtr_T_2)
+    2338           0 :           useAltOnNaCtrs_0_15 <= 4'h0;
+    2339           0 :         else if (updateAltCorrect)
+    2340           0 :           useAltOnNaCtrs_0_15 <= _newCtr_T_3;
+    2341             :         else
+    2342           0 :           useAltOnNaCtrs_0_15 <= _newCtr_T_5;
+    2343             :       end
+    2344           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h10) begin
+    2345           0 :         if (_newCtr_T)
+    2346           0 :           useAltOnNaCtrs_0_16 <= 4'hF;
+    2347           0 :         else if (_newCtr_T_2)
+    2348           0 :           useAltOnNaCtrs_0_16 <= 4'h0;
+    2349           0 :         else if (updateAltCorrect)
+    2350           0 :           useAltOnNaCtrs_0_16 <= _newCtr_T_3;
+    2351             :         else
+    2352           0 :           useAltOnNaCtrs_0_16 <= _newCtr_T_5;
+    2353             :       end
+    2354           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h11) begin
+    2355           0 :         if (_newCtr_T)
+    2356           0 :           useAltOnNaCtrs_0_17 <= 4'hF;
+    2357           0 :         else if (_newCtr_T_2)
+    2358           0 :           useAltOnNaCtrs_0_17 <= 4'h0;
+    2359           0 :         else if (updateAltCorrect)
+    2360           0 :           useAltOnNaCtrs_0_17 <= _newCtr_T_3;
+    2361             :         else
+    2362           0 :           useAltOnNaCtrs_0_17 <= _newCtr_T_5;
+    2363             :       end
+    2364           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h12) begin
+    2365           0 :         if (_newCtr_T)
+    2366           0 :           useAltOnNaCtrs_0_18 <= 4'hF;
+    2367           0 :         else if (_newCtr_T_2)
+    2368           0 :           useAltOnNaCtrs_0_18 <= 4'h0;
+    2369           0 :         else if (updateAltCorrect)
+    2370           0 :           useAltOnNaCtrs_0_18 <= _newCtr_T_3;
+    2371             :         else
+    2372           0 :           useAltOnNaCtrs_0_18 <= _newCtr_T_5;
+    2373             :       end
+    2374           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h13) begin
+    2375           0 :         if (_newCtr_T)
+    2376           0 :           useAltOnNaCtrs_0_19 <= 4'hF;
+    2377           0 :         else if (_newCtr_T_2)
+    2378           0 :           useAltOnNaCtrs_0_19 <= 4'h0;
+    2379           0 :         else if (updateAltCorrect)
+    2380           0 :           useAltOnNaCtrs_0_19 <= _newCtr_T_3;
+    2381             :         else
+    2382           0 :           useAltOnNaCtrs_0_19 <= _newCtr_T_5;
+    2383             :       end
+    2384           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h14) begin
+    2385           0 :         if (_newCtr_T)
+    2386           0 :           useAltOnNaCtrs_0_20 <= 4'hF;
+    2387           0 :         else if (_newCtr_T_2)
+    2388           0 :           useAltOnNaCtrs_0_20 <= 4'h0;
+    2389           0 :         else if (updateAltCorrect)
+    2390           0 :           useAltOnNaCtrs_0_20 <= _newCtr_T_3;
+    2391             :         else
+    2392           0 :           useAltOnNaCtrs_0_20 <= _newCtr_T_5;
+    2393             :       end
+    2394           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h15) begin
+    2395           0 :         if (_newCtr_T)
+    2396           0 :           useAltOnNaCtrs_0_21 <= 4'hF;
+    2397           0 :         else if (_newCtr_T_2)
+    2398           0 :           useAltOnNaCtrs_0_21 <= 4'h0;
+    2399           0 :         else if (updateAltCorrect)
+    2400           0 :           useAltOnNaCtrs_0_21 <= _newCtr_T_3;
+    2401             :         else
+    2402           0 :           useAltOnNaCtrs_0_21 <= _newCtr_T_5;
+    2403             :       end
+    2404           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h16) begin
+    2405           0 :         if (_newCtr_T)
+    2406           0 :           useAltOnNaCtrs_0_22 <= 4'hF;
+    2407           0 :         else if (_newCtr_T_2)
+    2408           0 :           useAltOnNaCtrs_0_22 <= 4'h0;
+    2409           0 :         else if (updateAltCorrect)
+    2410           0 :           useAltOnNaCtrs_0_22 <= _newCtr_T_3;
+    2411             :         else
+    2412           0 :           useAltOnNaCtrs_0_22 <= _newCtr_T_5;
+    2413             :       end
+    2414           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h17) begin
+    2415           0 :         if (_newCtr_T)
+    2416           0 :           useAltOnNaCtrs_0_23 <= 4'hF;
+    2417           0 :         else if (_newCtr_T_2)
+    2418           0 :           useAltOnNaCtrs_0_23 <= 4'h0;
+    2419           0 :         else if (updateAltCorrect)
+    2420           0 :           useAltOnNaCtrs_0_23 <= _newCtr_T_3;
+    2421             :         else
+    2422           0 :           useAltOnNaCtrs_0_23 <= _newCtr_T_5;
+    2423             :       end
+    2424           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h18) begin
+    2425           0 :         if (_newCtr_T)
+    2426           0 :           useAltOnNaCtrs_0_24 <= 4'hF;
+    2427           0 :         else if (_newCtr_T_2)
+    2428           0 :           useAltOnNaCtrs_0_24 <= 4'h0;
+    2429           0 :         else if (updateAltCorrect)
+    2430           0 :           useAltOnNaCtrs_0_24 <= _newCtr_T_3;
+    2431             :         else
+    2432           0 :           useAltOnNaCtrs_0_24 <= _newCtr_T_5;
+    2433             :       end
+    2434           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h19) begin
+    2435           0 :         if (_newCtr_T)
+    2436           0 :           useAltOnNaCtrs_0_25 <= 4'hF;
+    2437           0 :         else if (_newCtr_T_2)
+    2438           0 :           useAltOnNaCtrs_0_25 <= 4'h0;
+    2439           0 :         else if (updateAltCorrect)
+    2440           0 :           useAltOnNaCtrs_0_25 <= _newCtr_T_3;
+    2441             :         else
+    2442           0 :           useAltOnNaCtrs_0_25 <= _newCtr_T_5;
+    2443             :       end
+    2444           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h1A) begin
+    2445           0 :         if (_newCtr_T)
+    2446           0 :           useAltOnNaCtrs_0_26 <= 4'hF;
+    2447           0 :         else if (_newCtr_T_2)
+    2448           0 :           useAltOnNaCtrs_0_26 <= 4'h0;
+    2449           0 :         else if (updateAltCorrect)
+    2450           0 :           useAltOnNaCtrs_0_26 <= _newCtr_T_3;
+    2451             :         else
+    2452           0 :           useAltOnNaCtrs_0_26 <= _newCtr_T_5;
+    2453             :       end
+    2454           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h1B) begin
+    2455           0 :         if (_newCtr_T)
+    2456           0 :           useAltOnNaCtrs_0_27 <= 4'hF;
+    2457           0 :         else if (_newCtr_T_2)
+    2458           0 :           useAltOnNaCtrs_0_27 <= 4'h0;
+    2459           0 :         else if (updateAltCorrect)
+    2460           0 :           useAltOnNaCtrs_0_27 <= _newCtr_T_3;
+    2461             :         else
+    2462           0 :           useAltOnNaCtrs_0_27 <= _newCtr_T_5;
+    2463             :       end
+    2464           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h1C) begin
+    2465           0 :         if (_newCtr_T)
+    2466           0 :           useAltOnNaCtrs_0_28 <= 4'hF;
+    2467           0 :         else if (_newCtr_T_2)
+    2468           0 :           useAltOnNaCtrs_0_28 <= 4'h0;
+    2469           0 :         else if (updateAltCorrect)
+    2470           0 :           useAltOnNaCtrs_0_28 <= _newCtr_T_3;
+    2471             :         else
+    2472           0 :           useAltOnNaCtrs_0_28 <= _newCtr_T_5;
+    2473             :       end
+    2474           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h1D) begin
+    2475           0 :         if (_newCtr_T)
+    2476           0 :           useAltOnNaCtrs_0_29 <= 4'hF;
+    2477           0 :         else if (_newCtr_T_2)
+    2478           0 :           useAltOnNaCtrs_0_29 <= 4'h0;
+    2479           0 :         else if (updateAltCorrect)
+    2480           0 :           useAltOnNaCtrs_0_29 <= _newCtr_T_3;
+    2481             :         else
+    2482           0 :           useAltOnNaCtrs_0_29 <= _newCtr_T_5;
+    2483             :       end
+    2484           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h1E) begin
+    2485           0 :         if (_newCtr_T)
+    2486           0 :           useAltOnNaCtrs_0_30 <= 4'hF;
+    2487           0 :         else if (_newCtr_T_2)
+    2488           0 :           useAltOnNaCtrs_0_30 <= 4'h0;
+    2489           0 :         else if (updateAltCorrect)
+    2490           0 :           useAltOnNaCtrs_0_30 <= _newCtr_T_3;
+    2491             :         else
+    2492           0 :           useAltOnNaCtrs_0_30 <= _newCtr_T_5;
+    2493             :       end
+    2494           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h1F) begin
+    2495           0 :         if (_newCtr_T)
+    2496           0 :           useAltOnNaCtrs_0_31 <= 4'hF;
+    2497           0 :         else if (_newCtr_T_2)
+    2498           0 :           useAltOnNaCtrs_0_31 <= 4'h0;
+    2499           0 :         else if (updateAltCorrect)
+    2500           0 :           useAltOnNaCtrs_0_31 <= _newCtr_T_3;
+    2501             :         else
+    2502           0 :           useAltOnNaCtrs_0_31 <= _newCtr_T_5;
+    2503             :       end
+    2504           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h20) begin
+    2505           0 :         if (_newCtr_T)
+    2506           0 :           useAltOnNaCtrs_0_32 <= 4'hF;
+    2507           0 :         else if (_newCtr_T_2)
+    2508           0 :           useAltOnNaCtrs_0_32 <= 4'h0;
+    2509           0 :         else if (updateAltCorrect)
+    2510           0 :           useAltOnNaCtrs_0_32 <= _newCtr_T_3;
+    2511             :         else
+    2512           0 :           useAltOnNaCtrs_0_32 <= _newCtr_T_5;
+    2513             :       end
+    2514           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h21) begin
+    2515           0 :         if (_newCtr_T)
+    2516           0 :           useAltOnNaCtrs_0_33 <= 4'hF;
+    2517           0 :         else if (_newCtr_T_2)
+    2518           0 :           useAltOnNaCtrs_0_33 <= 4'h0;
+    2519           0 :         else if (updateAltCorrect)
+    2520           0 :           useAltOnNaCtrs_0_33 <= _newCtr_T_3;
+    2521             :         else
+    2522           0 :           useAltOnNaCtrs_0_33 <= _newCtr_T_5;
+    2523             :       end
+    2524           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h22) begin
+    2525           0 :         if (_newCtr_T)
+    2526           0 :           useAltOnNaCtrs_0_34 <= 4'hF;
+    2527           0 :         else if (_newCtr_T_2)
+    2528           0 :           useAltOnNaCtrs_0_34 <= 4'h0;
+    2529           0 :         else if (updateAltCorrect)
+    2530           0 :           useAltOnNaCtrs_0_34 <= _newCtr_T_3;
+    2531             :         else
+    2532           0 :           useAltOnNaCtrs_0_34 <= _newCtr_T_5;
+    2533             :       end
+    2534           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h23) begin
+    2535           0 :         if (_newCtr_T)
+    2536           0 :           useAltOnNaCtrs_0_35 <= 4'hF;
+    2537           0 :         else if (_newCtr_T_2)
+    2538           0 :           useAltOnNaCtrs_0_35 <= 4'h0;
+    2539           0 :         else if (updateAltCorrect)
+    2540           0 :           useAltOnNaCtrs_0_35 <= _newCtr_T_3;
+    2541             :         else
+    2542           0 :           useAltOnNaCtrs_0_35 <= _newCtr_T_5;
+    2543             :       end
+    2544           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h24) begin
+    2545           0 :         if (_newCtr_T)
+    2546           0 :           useAltOnNaCtrs_0_36 <= 4'hF;
+    2547           0 :         else if (_newCtr_T_2)
+    2548           0 :           useAltOnNaCtrs_0_36 <= 4'h0;
+    2549           0 :         else if (updateAltCorrect)
+    2550           0 :           useAltOnNaCtrs_0_36 <= _newCtr_T_3;
+    2551             :         else
+    2552           0 :           useAltOnNaCtrs_0_36 <= _newCtr_T_5;
+    2553             :       end
+    2554           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h25) begin
+    2555           0 :         if (_newCtr_T)
+    2556           0 :           useAltOnNaCtrs_0_37 <= 4'hF;
+    2557           0 :         else if (_newCtr_T_2)
+    2558           0 :           useAltOnNaCtrs_0_37 <= 4'h0;
+    2559           0 :         else if (updateAltCorrect)
+    2560           0 :           useAltOnNaCtrs_0_37 <= _newCtr_T_3;
+    2561             :         else
+    2562           0 :           useAltOnNaCtrs_0_37 <= _newCtr_T_5;
+    2563             :       end
+    2564           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h26) begin
+    2565           0 :         if (_newCtr_T)
+    2566           0 :           useAltOnNaCtrs_0_38 <= 4'hF;
+    2567           0 :         else if (_newCtr_T_2)
+    2568           0 :           useAltOnNaCtrs_0_38 <= 4'h0;
+    2569           0 :         else if (updateAltCorrect)
+    2570           0 :           useAltOnNaCtrs_0_38 <= _newCtr_T_3;
+    2571             :         else
+    2572           0 :           useAltOnNaCtrs_0_38 <= _newCtr_T_5;
+    2573             :       end
+    2574           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h27) begin
+    2575           0 :         if (_newCtr_T)
+    2576           0 :           useAltOnNaCtrs_0_39 <= 4'hF;
+    2577           0 :         else if (_newCtr_T_2)
+    2578           0 :           useAltOnNaCtrs_0_39 <= 4'h0;
+    2579           0 :         else if (updateAltCorrect)
+    2580           0 :           useAltOnNaCtrs_0_39 <= _newCtr_T_3;
+    2581             :         else
+    2582           0 :           useAltOnNaCtrs_0_39 <= _newCtr_T_5;
+    2583             :       end
+    2584           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h28) begin
+    2585           0 :         if (_newCtr_T)
+    2586           0 :           useAltOnNaCtrs_0_40 <= 4'hF;
+    2587           0 :         else if (_newCtr_T_2)
+    2588           0 :           useAltOnNaCtrs_0_40 <= 4'h0;
+    2589           0 :         else if (updateAltCorrect)
+    2590           0 :           useAltOnNaCtrs_0_40 <= _newCtr_T_3;
+    2591             :         else
+    2592           0 :           useAltOnNaCtrs_0_40 <= _newCtr_T_5;
+    2593             :       end
+    2594           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h29) begin
+    2595           0 :         if (_newCtr_T)
+    2596           0 :           useAltOnNaCtrs_0_41 <= 4'hF;
+    2597           0 :         else if (_newCtr_T_2)
+    2598           0 :           useAltOnNaCtrs_0_41 <= 4'h0;
+    2599           0 :         else if (updateAltCorrect)
+    2600           0 :           useAltOnNaCtrs_0_41 <= _newCtr_T_3;
+    2601             :         else
+    2602           0 :           useAltOnNaCtrs_0_41 <= _newCtr_T_5;
+    2603             :       end
+    2604           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h2A) begin
+    2605           0 :         if (_newCtr_T)
+    2606           0 :           useAltOnNaCtrs_0_42 <= 4'hF;
+    2607           0 :         else if (_newCtr_T_2)
+    2608           0 :           useAltOnNaCtrs_0_42 <= 4'h0;
+    2609           0 :         else if (updateAltCorrect)
+    2610           0 :           useAltOnNaCtrs_0_42 <= _newCtr_T_3;
+    2611             :         else
+    2612           0 :           useAltOnNaCtrs_0_42 <= _newCtr_T_5;
+    2613             :       end
+    2614           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h2B) begin
+    2615           0 :         if (_newCtr_T)
+    2616           0 :           useAltOnNaCtrs_0_43 <= 4'hF;
+    2617           0 :         else if (_newCtr_T_2)
+    2618           0 :           useAltOnNaCtrs_0_43 <= 4'h0;
+    2619           0 :         else if (updateAltCorrect)
+    2620           0 :           useAltOnNaCtrs_0_43 <= _newCtr_T_3;
+    2621             :         else
+    2622           0 :           useAltOnNaCtrs_0_43 <= _newCtr_T_5;
+    2623             :       end
+    2624           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h2C) begin
+    2625           0 :         if (_newCtr_T)
+    2626           0 :           useAltOnNaCtrs_0_44 <= 4'hF;
+    2627           0 :         else if (_newCtr_T_2)
+    2628           0 :           useAltOnNaCtrs_0_44 <= 4'h0;
+    2629           0 :         else if (updateAltCorrect)
+    2630           0 :           useAltOnNaCtrs_0_44 <= _newCtr_T_3;
+    2631             :         else
+    2632           0 :           useAltOnNaCtrs_0_44 <= _newCtr_T_5;
+    2633             :       end
+    2634           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h2D) begin
+    2635           0 :         if (_newCtr_T)
+    2636           0 :           useAltOnNaCtrs_0_45 <= 4'hF;
+    2637           0 :         else if (_newCtr_T_2)
+    2638           0 :           useAltOnNaCtrs_0_45 <= 4'h0;
+    2639           0 :         else if (updateAltCorrect)
+    2640           0 :           useAltOnNaCtrs_0_45 <= _newCtr_T_3;
+    2641             :         else
+    2642           0 :           useAltOnNaCtrs_0_45 <= _newCtr_T_5;
+    2643             :       end
+    2644           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h2E) begin
+    2645           0 :         if (_newCtr_T)
+    2646           0 :           useAltOnNaCtrs_0_46 <= 4'hF;
+    2647           0 :         else if (_newCtr_T_2)
+    2648           0 :           useAltOnNaCtrs_0_46 <= 4'h0;
+    2649           0 :         else if (updateAltCorrect)
+    2650           0 :           useAltOnNaCtrs_0_46 <= _newCtr_T_3;
+    2651             :         else
+    2652           0 :           useAltOnNaCtrs_0_46 <= _newCtr_T_5;
+    2653             :       end
+    2654           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h2F) begin
+    2655           0 :         if (_newCtr_T)
+    2656           0 :           useAltOnNaCtrs_0_47 <= 4'hF;
+    2657           0 :         else if (_newCtr_T_2)
+    2658           0 :           useAltOnNaCtrs_0_47 <= 4'h0;
+    2659           0 :         else if (updateAltCorrect)
+    2660           0 :           useAltOnNaCtrs_0_47 <= _newCtr_T_3;
+    2661             :         else
+    2662           0 :           useAltOnNaCtrs_0_47 <= _newCtr_T_5;
+    2663             :       end
+    2664           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h30) begin
+    2665           0 :         if (_newCtr_T)
+    2666           0 :           useAltOnNaCtrs_0_48 <= 4'hF;
+    2667           0 :         else if (_newCtr_T_2)
+    2668           0 :           useAltOnNaCtrs_0_48 <= 4'h0;
+    2669           0 :         else if (updateAltCorrect)
+    2670           0 :           useAltOnNaCtrs_0_48 <= _newCtr_T_3;
+    2671             :         else
+    2672           0 :           useAltOnNaCtrs_0_48 <= _newCtr_T_5;
+    2673             :       end
+    2674           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h31) begin
+    2675           0 :         if (_newCtr_T)
+    2676           0 :           useAltOnNaCtrs_0_49 <= 4'hF;
+    2677           0 :         else if (_newCtr_T_2)
+    2678           0 :           useAltOnNaCtrs_0_49 <= 4'h0;
+    2679           0 :         else if (updateAltCorrect)
+    2680           0 :           useAltOnNaCtrs_0_49 <= _newCtr_T_3;
+    2681             :         else
+    2682           0 :           useAltOnNaCtrs_0_49 <= _newCtr_T_5;
+    2683             :       end
+    2684           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h32) begin
+    2685           0 :         if (_newCtr_T)
+    2686           0 :           useAltOnNaCtrs_0_50 <= 4'hF;
+    2687           0 :         else if (_newCtr_T_2)
+    2688           0 :           useAltOnNaCtrs_0_50 <= 4'h0;
+    2689           0 :         else if (updateAltCorrect)
+    2690           0 :           useAltOnNaCtrs_0_50 <= _newCtr_T_3;
+    2691             :         else
+    2692           0 :           useAltOnNaCtrs_0_50 <= _newCtr_T_5;
+    2693             :       end
+    2694           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h33) begin
+    2695           0 :         if (_newCtr_T)
+    2696           0 :           useAltOnNaCtrs_0_51 <= 4'hF;
+    2697           0 :         else if (_newCtr_T_2)
+    2698           0 :           useAltOnNaCtrs_0_51 <= 4'h0;
+    2699           0 :         else if (updateAltCorrect)
+    2700           0 :           useAltOnNaCtrs_0_51 <= _newCtr_T_3;
+    2701             :         else
+    2702           0 :           useAltOnNaCtrs_0_51 <= _newCtr_T_5;
+    2703             :       end
+    2704           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h34) begin
+    2705           0 :         if (_newCtr_T)
+    2706           0 :           useAltOnNaCtrs_0_52 <= 4'hF;
+    2707           0 :         else if (_newCtr_T_2)
+    2708           0 :           useAltOnNaCtrs_0_52 <= 4'h0;
+    2709           0 :         else if (updateAltCorrect)
+    2710           0 :           useAltOnNaCtrs_0_52 <= _newCtr_T_3;
+    2711             :         else
+    2712           0 :           useAltOnNaCtrs_0_52 <= _newCtr_T_5;
+    2713             :       end
+    2714           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h35) begin
+    2715           0 :         if (_newCtr_T)
+    2716           0 :           useAltOnNaCtrs_0_53 <= 4'hF;
+    2717           0 :         else if (_newCtr_T_2)
+    2718           0 :           useAltOnNaCtrs_0_53 <= 4'h0;
+    2719           0 :         else if (updateAltCorrect)
+    2720           0 :           useAltOnNaCtrs_0_53 <= _newCtr_T_3;
+    2721             :         else
+    2722           0 :           useAltOnNaCtrs_0_53 <= _newCtr_T_5;
+    2723             :       end
+    2724           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h36) begin
+    2725           0 :         if (_newCtr_T)
+    2726           0 :           useAltOnNaCtrs_0_54 <= 4'hF;
+    2727           0 :         else if (_newCtr_T_2)
+    2728           0 :           useAltOnNaCtrs_0_54 <= 4'h0;
+    2729           0 :         else if (updateAltCorrect)
+    2730           0 :           useAltOnNaCtrs_0_54 <= _newCtr_T_3;
+    2731             :         else
+    2732           0 :           useAltOnNaCtrs_0_54 <= _newCtr_T_5;
+    2733             :       end
+    2734           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h37) begin
+    2735           0 :         if (_newCtr_T)
+    2736           0 :           useAltOnNaCtrs_0_55 <= 4'hF;
+    2737           0 :         else if (_newCtr_T_2)
+    2738           0 :           useAltOnNaCtrs_0_55 <= 4'h0;
+    2739           0 :         else if (updateAltCorrect)
+    2740           0 :           useAltOnNaCtrs_0_55 <= _newCtr_T_3;
+    2741             :         else
+    2742           0 :           useAltOnNaCtrs_0_55 <= _newCtr_T_5;
+    2743             :       end
+    2744           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h38) begin
+    2745           0 :         if (_newCtr_T)
+    2746           0 :           useAltOnNaCtrs_0_56 <= 4'hF;
+    2747           0 :         else if (_newCtr_T_2)
+    2748           0 :           useAltOnNaCtrs_0_56 <= 4'h0;
+    2749           0 :         else if (updateAltCorrect)
+    2750           0 :           useAltOnNaCtrs_0_56 <= _newCtr_T_3;
+    2751             :         else
+    2752           0 :           useAltOnNaCtrs_0_56 <= _newCtr_T_5;
+    2753             :       end
+    2754           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h39) begin
+    2755           0 :         if (_newCtr_T)
+    2756           0 :           useAltOnNaCtrs_0_57 <= 4'hF;
+    2757           0 :         else if (_newCtr_T_2)
+    2758           0 :           useAltOnNaCtrs_0_57 <= 4'h0;
+    2759           0 :         else if (updateAltCorrect)
+    2760           0 :           useAltOnNaCtrs_0_57 <= _newCtr_T_3;
+    2761             :         else
+    2762           0 :           useAltOnNaCtrs_0_57 <= _newCtr_T_5;
+    2763             :       end
+    2764           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h3A) begin
+    2765           0 :         if (_newCtr_T)
+    2766           0 :           useAltOnNaCtrs_0_58 <= 4'hF;
+    2767           0 :         else if (_newCtr_T_2)
+    2768           0 :           useAltOnNaCtrs_0_58 <= 4'h0;
+    2769           0 :         else if (updateAltCorrect)
+    2770           0 :           useAltOnNaCtrs_0_58 <= _newCtr_T_3;
+    2771             :         else
+    2772           0 :           useAltOnNaCtrs_0_58 <= _newCtr_T_5;
+    2773             :       end
+    2774           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h3B) begin
+    2775           0 :         if (_newCtr_T)
+    2776           0 :           useAltOnNaCtrs_0_59 <= 4'hF;
+    2777           0 :         else if (_newCtr_T_2)
+    2778           0 :           useAltOnNaCtrs_0_59 <= 4'h0;
+    2779           0 :         else if (updateAltCorrect)
+    2780           0 :           useAltOnNaCtrs_0_59 <= _newCtr_T_3;
+    2781             :         else
+    2782           0 :           useAltOnNaCtrs_0_59 <= _newCtr_T_5;
+    2783             :       end
+    2784           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h3C) begin
+    2785           0 :         if (_newCtr_T)
+    2786           0 :           useAltOnNaCtrs_0_60 <= 4'hF;
+    2787           0 :         else if (_newCtr_T_2)
+    2788           0 :           useAltOnNaCtrs_0_60 <= 4'h0;
+    2789           0 :         else if (updateAltCorrect)
+    2790           0 :           useAltOnNaCtrs_0_60 <= _newCtr_T_3;
+    2791             :         else
+    2792           0 :           useAltOnNaCtrs_0_60 <= _newCtr_T_5;
+    2793             :       end
+    2794           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h3D) begin
+    2795           0 :         if (_newCtr_T)
+    2796           0 :           useAltOnNaCtrs_0_61 <= 4'hF;
+    2797           0 :         else if (_newCtr_T_2)
+    2798           0 :           useAltOnNaCtrs_0_61 <= 4'h0;
+    2799           0 :         else if (updateAltCorrect)
+    2800           0 :           useAltOnNaCtrs_0_61 <= _newCtr_T_3;
+    2801             :         else
+    2802           0 :           useAltOnNaCtrs_0_61 <= _newCtr_T_5;
+    2803             :       end
+    2804           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h3E) begin
+    2805           0 :         if (_newCtr_T)
+    2806           0 :           useAltOnNaCtrs_0_62 <= 4'hF;
+    2807           0 :         else if (_newCtr_T_2)
+    2808           0 :           useAltOnNaCtrs_0_62 <= 4'h0;
+    2809           0 :         else if (updateAltCorrect)
+    2810           0 :           useAltOnNaCtrs_0_62 <= _newCtr_T_3;
+    2811             :         else
+    2812           0 :           useAltOnNaCtrs_0_62 <= _newCtr_T_5;
+    2813             :       end
+    2814           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h3F) begin
+    2815           0 :         if (_newCtr_T)
+    2816           0 :           useAltOnNaCtrs_0_63 <= 4'hF;
+    2817           0 :         else if (_newCtr_T_2)
+    2818           0 :           useAltOnNaCtrs_0_63 <= 4'h0;
+    2819           0 :         else if (updateAltCorrect)
+    2820           0 :           useAltOnNaCtrs_0_63 <= _newCtr_T_3;
+    2821             :         else
+    2822           0 :           useAltOnNaCtrs_0_63 <= _newCtr_T_5;
+    2823             :       end
+    2824           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h40) begin
+    2825           0 :         if (_newCtr_T)
+    2826           0 :           useAltOnNaCtrs_0_64 <= 4'hF;
+    2827           0 :         else if (_newCtr_T_2)
+    2828           0 :           useAltOnNaCtrs_0_64 <= 4'h0;
+    2829           0 :         else if (updateAltCorrect)
+    2830           0 :           useAltOnNaCtrs_0_64 <= _newCtr_T_3;
+    2831             :         else
+    2832           0 :           useAltOnNaCtrs_0_64 <= _newCtr_T_5;
+    2833             :       end
+    2834           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h41) begin
+    2835           0 :         if (_newCtr_T)
+    2836           0 :           useAltOnNaCtrs_0_65 <= 4'hF;
+    2837           0 :         else if (_newCtr_T_2)
+    2838           0 :           useAltOnNaCtrs_0_65 <= 4'h0;
+    2839           0 :         else if (updateAltCorrect)
+    2840           0 :           useAltOnNaCtrs_0_65 <= _newCtr_T_3;
+    2841             :         else
+    2842           0 :           useAltOnNaCtrs_0_65 <= _newCtr_T_5;
+    2843             :       end
+    2844           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h42) begin
+    2845           0 :         if (_newCtr_T)
+    2846           0 :           useAltOnNaCtrs_0_66 <= 4'hF;
+    2847           0 :         else if (_newCtr_T_2)
+    2848           0 :           useAltOnNaCtrs_0_66 <= 4'h0;
+    2849           0 :         else if (updateAltCorrect)
+    2850           0 :           useAltOnNaCtrs_0_66 <= _newCtr_T_3;
+    2851             :         else
+    2852           0 :           useAltOnNaCtrs_0_66 <= _newCtr_T_5;
+    2853             :       end
+    2854           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h43) begin
+    2855           0 :         if (_newCtr_T)
+    2856           0 :           useAltOnNaCtrs_0_67 <= 4'hF;
+    2857           0 :         else if (_newCtr_T_2)
+    2858           0 :           useAltOnNaCtrs_0_67 <= 4'h0;
+    2859           0 :         else if (updateAltCorrect)
+    2860           0 :           useAltOnNaCtrs_0_67 <= _newCtr_T_3;
+    2861             :         else
+    2862           0 :           useAltOnNaCtrs_0_67 <= _newCtr_T_5;
+    2863             :       end
+    2864           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h44) begin
+    2865           0 :         if (_newCtr_T)
+    2866           0 :           useAltOnNaCtrs_0_68 <= 4'hF;
+    2867           0 :         else if (_newCtr_T_2)
+    2868           0 :           useAltOnNaCtrs_0_68 <= 4'h0;
+    2869           0 :         else if (updateAltCorrect)
+    2870           0 :           useAltOnNaCtrs_0_68 <= _newCtr_T_3;
+    2871             :         else
+    2872           0 :           useAltOnNaCtrs_0_68 <= _newCtr_T_5;
+    2873             :       end
+    2874           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h45) begin
+    2875           0 :         if (_newCtr_T)
+    2876           0 :           useAltOnNaCtrs_0_69 <= 4'hF;
+    2877           0 :         else if (_newCtr_T_2)
+    2878           0 :           useAltOnNaCtrs_0_69 <= 4'h0;
+    2879           0 :         else if (updateAltCorrect)
+    2880           0 :           useAltOnNaCtrs_0_69 <= _newCtr_T_3;
+    2881             :         else
+    2882           0 :           useAltOnNaCtrs_0_69 <= _newCtr_T_5;
+    2883             :       end
+    2884           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h46) begin
+    2885           0 :         if (_newCtr_T)
+    2886           0 :           useAltOnNaCtrs_0_70 <= 4'hF;
+    2887           0 :         else if (_newCtr_T_2)
+    2888           0 :           useAltOnNaCtrs_0_70 <= 4'h0;
+    2889           0 :         else if (updateAltCorrect)
+    2890           0 :           useAltOnNaCtrs_0_70 <= _newCtr_T_3;
+    2891             :         else
+    2892           0 :           useAltOnNaCtrs_0_70 <= _newCtr_T_5;
+    2893             :       end
+    2894           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h47) begin
+    2895           0 :         if (_newCtr_T)
+    2896           0 :           useAltOnNaCtrs_0_71 <= 4'hF;
+    2897           0 :         else if (_newCtr_T_2)
+    2898           0 :           useAltOnNaCtrs_0_71 <= 4'h0;
+    2899           0 :         else if (updateAltCorrect)
+    2900           0 :           useAltOnNaCtrs_0_71 <= _newCtr_T_3;
+    2901             :         else
+    2902           0 :           useAltOnNaCtrs_0_71 <= _newCtr_T_5;
+    2903             :       end
+    2904           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h48) begin
+    2905           0 :         if (_newCtr_T)
+    2906           0 :           useAltOnNaCtrs_0_72 <= 4'hF;
+    2907           0 :         else if (_newCtr_T_2)
+    2908           0 :           useAltOnNaCtrs_0_72 <= 4'h0;
+    2909           0 :         else if (updateAltCorrect)
+    2910           0 :           useAltOnNaCtrs_0_72 <= _newCtr_T_3;
+    2911             :         else
+    2912           0 :           useAltOnNaCtrs_0_72 <= _newCtr_T_5;
+    2913             :       end
+    2914           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h49) begin
+    2915           0 :         if (_newCtr_T)
+    2916           0 :           useAltOnNaCtrs_0_73 <= 4'hF;
+    2917           0 :         else if (_newCtr_T_2)
+    2918           0 :           useAltOnNaCtrs_0_73 <= 4'h0;
+    2919           0 :         else if (updateAltCorrect)
+    2920           0 :           useAltOnNaCtrs_0_73 <= _newCtr_T_3;
+    2921             :         else
+    2922           0 :           useAltOnNaCtrs_0_73 <= _newCtr_T_5;
+    2923             :       end
+    2924           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h4A) begin
+    2925           0 :         if (_newCtr_T)
+    2926           0 :           useAltOnNaCtrs_0_74 <= 4'hF;
+    2927           0 :         else if (_newCtr_T_2)
+    2928           0 :           useAltOnNaCtrs_0_74 <= 4'h0;
+    2929           0 :         else if (updateAltCorrect)
+    2930           0 :           useAltOnNaCtrs_0_74 <= _newCtr_T_3;
+    2931             :         else
+    2932           0 :           useAltOnNaCtrs_0_74 <= _newCtr_T_5;
+    2933             :       end
+    2934           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h4B) begin
+    2935           0 :         if (_newCtr_T)
+    2936           0 :           useAltOnNaCtrs_0_75 <= 4'hF;
+    2937           0 :         else if (_newCtr_T_2)
+    2938           0 :           useAltOnNaCtrs_0_75 <= 4'h0;
+    2939           0 :         else if (updateAltCorrect)
+    2940           0 :           useAltOnNaCtrs_0_75 <= _newCtr_T_3;
+    2941             :         else
+    2942           0 :           useAltOnNaCtrs_0_75 <= _newCtr_T_5;
+    2943             :       end
+    2944           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h4C) begin
+    2945           0 :         if (_newCtr_T)
+    2946           0 :           useAltOnNaCtrs_0_76 <= 4'hF;
+    2947           0 :         else if (_newCtr_T_2)
+    2948           0 :           useAltOnNaCtrs_0_76 <= 4'h0;
+    2949           0 :         else if (updateAltCorrect)
+    2950           0 :           useAltOnNaCtrs_0_76 <= _newCtr_T_3;
+    2951             :         else
+    2952           0 :           useAltOnNaCtrs_0_76 <= _newCtr_T_5;
+    2953             :       end
+    2954           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h4D) begin
+    2955           0 :         if (_newCtr_T)
+    2956           0 :           useAltOnNaCtrs_0_77 <= 4'hF;
+    2957           0 :         else if (_newCtr_T_2)
+    2958           0 :           useAltOnNaCtrs_0_77 <= 4'h0;
+    2959           0 :         else if (updateAltCorrect)
+    2960           0 :           useAltOnNaCtrs_0_77 <= _newCtr_T_3;
+    2961             :         else
+    2962           0 :           useAltOnNaCtrs_0_77 <= _newCtr_T_5;
+    2963             :       end
+    2964           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h4E) begin
+    2965           0 :         if (_newCtr_T)
+    2966           0 :           useAltOnNaCtrs_0_78 <= 4'hF;
+    2967           0 :         else if (_newCtr_T_2)
+    2968           0 :           useAltOnNaCtrs_0_78 <= 4'h0;
+    2969           0 :         else if (updateAltCorrect)
+    2970           0 :           useAltOnNaCtrs_0_78 <= _newCtr_T_3;
+    2971             :         else
+    2972           0 :           useAltOnNaCtrs_0_78 <= _newCtr_T_5;
+    2973             :       end
+    2974           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h4F) begin
+    2975           0 :         if (_newCtr_T)
+    2976           0 :           useAltOnNaCtrs_0_79 <= 4'hF;
+    2977           0 :         else if (_newCtr_T_2)
+    2978           0 :           useAltOnNaCtrs_0_79 <= 4'h0;
+    2979           0 :         else if (updateAltCorrect)
+    2980           0 :           useAltOnNaCtrs_0_79 <= _newCtr_T_3;
+    2981             :         else
+    2982           0 :           useAltOnNaCtrs_0_79 <= _newCtr_T_5;
+    2983             :       end
+    2984           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h50) begin
+    2985           0 :         if (_newCtr_T)
+    2986           0 :           useAltOnNaCtrs_0_80 <= 4'hF;
+    2987           0 :         else if (_newCtr_T_2)
+    2988           0 :           useAltOnNaCtrs_0_80 <= 4'h0;
+    2989           0 :         else if (updateAltCorrect)
+    2990           0 :           useAltOnNaCtrs_0_80 <= _newCtr_T_3;
+    2991             :         else
+    2992           0 :           useAltOnNaCtrs_0_80 <= _newCtr_T_5;
+    2993             :       end
+    2994           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h51) begin
+    2995           0 :         if (_newCtr_T)
+    2996           0 :           useAltOnNaCtrs_0_81 <= 4'hF;
+    2997           0 :         else if (_newCtr_T_2)
+    2998           0 :           useAltOnNaCtrs_0_81 <= 4'h0;
+    2999           0 :         else if (updateAltCorrect)
+    3000           0 :           useAltOnNaCtrs_0_81 <= _newCtr_T_3;
+    3001             :         else
+    3002           0 :           useAltOnNaCtrs_0_81 <= _newCtr_T_5;
+    3003             :       end
+    3004           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h52) begin
+    3005           0 :         if (_newCtr_T)
+    3006           0 :           useAltOnNaCtrs_0_82 <= 4'hF;
+    3007           0 :         else if (_newCtr_T_2)
+    3008           0 :           useAltOnNaCtrs_0_82 <= 4'h0;
+    3009           0 :         else if (updateAltCorrect)
+    3010           0 :           useAltOnNaCtrs_0_82 <= _newCtr_T_3;
+    3011             :         else
+    3012           0 :           useAltOnNaCtrs_0_82 <= _newCtr_T_5;
+    3013             :       end
+    3014           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h53) begin
+    3015           0 :         if (_newCtr_T)
+    3016           0 :           useAltOnNaCtrs_0_83 <= 4'hF;
+    3017           0 :         else if (_newCtr_T_2)
+    3018           0 :           useAltOnNaCtrs_0_83 <= 4'h0;
+    3019           0 :         else if (updateAltCorrect)
+    3020           0 :           useAltOnNaCtrs_0_83 <= _newCtr_T_3;
+    3021             :         else
+    3022           0 :           useAltOnNaCtrs_0_83 <= _newCtr_T_5;
+    3023             :       end
+    3024           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h54) begin
+    3025           0 :         if (_newCtr_T)
+    3026           0 :           useAltOnNaCtrs_0_84 <= 4'hF;
+    3027           0 :         else if (_newCtr_T_2)
+    3028           0 :           useAltOnNaCtrs_0_84 <= 4'h0;
+    3029           0 :         else if (updateAltCorrect)
+    3030           0 :           useAltOnNaCtrs_0_84 <= _newCtr_T_3;
+    3031             :         else
+    3032           0 :           useAltOnNaCtrs_0_84 <= _newCtr_T_5;
+    3033             :       end
+    3034           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h55) begin
+    3035           0 :         if (_newCtr_T)
+    3036           0 :           useAltOnNaCtrs_0_85 <= 4'hF;
+    3037           0 :         else if (_newCtr_T_2)
+    3038           0 :           useAltOnNaCtrs_0_85 <= 4'h0;
+    3039           0 :         else if (updateAltCorrect)
+    3040           0 :           useAltOnNaCtrs_0_85 <= _newCtr_T_3;
+    3041             :         else
+    3042           0 :           useAltOnNaCtrs_0_85 <= _newCtr_T_5;
+    3043             :       end
+    3044           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h56) begin
+    3045           0 :         if (_newCtr_T)
+    3046           0 :           useAltOnNaCtrs_0_86 <= 4'hF;
+    3047           0 :         else if (_newCtr_T_2)
+    3048           0 :           useAltOnNaCtrs_0_86 <= 4'h0;
+    3049           0 :         else if (updateAltCorrect)
+    3050           0 :           useAltOnNaCtrs_0_86 <= _newCtr_T_3;
+    3051             :         else
+    3052           0 :           useAltOnNaCtrs_0_86 <= _newCtr_T_5;
+    3053             :       end
+    3054           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h57) begin
+    3055           0 :         if (_newCtr_T)
+    3056           0 :           useAltOnNaCtrs_0_87 <= 4'hF;
+    3057           0 :         else if (_newCtr_T_2)
+    3058           0 :           useAltOnNaCtrs_0_87 <= 4'h0;
+    3059           0 :         else if (updateAltCorrect)
+    3060           0 :           useAltOnNaCtrs_0_87 <= _newCtr_T_3;
+    3061             :         else
+    3062           0 :           useAltOnNaCtrs_0_87 <= _newCtr_T_5;
+    3063             :       end
+    3064           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h58) begin
+    3065           0 :         if (_newCtr_T)
+    3066           0 :           useAltOnNaCtrs_0_88 <= 4'hF;
+    3067           0 :         else if (_newCtr_T_2)
+    3068           0 :           useAltOnNaCtrs_0_88 <= 4'h0;
+    3069           0 :         else if (updateAltCorrect)
+    3070           0 :           useAltOnNaCtrs_0_88 <= _newCtr_T_3;
+    3071             :         else
+    3072           0 :           useAltOnNaCtrs_0_88 <= _newCtr_T_5;
+    3073             :       end
+    3074           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h59) begin
+    3075           0 :         if (_newCtr_T)
+    3076           0 :           useAltOnNaCtrs_0_89 <= 4'hF;
+    3077           0 :         else if (_newCtr_T_2)
+    3078           0 :           useAltOnNaCtrs_0_89 <= 4'h0;
+    3079           0 :         else if (updateAltCorrect)
+    3080           0 :           useAltOnNaCtrs_0_89 <= _newCtr_T_3;
+    3081             :         else
+    3082           0 :           useAltOnNaCtrs_0_89 <= _newCtr_T_5;
+    3083             :       end
+    3084           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h5A) begin
+    3085           0 :         if (_newCtr_T)
+    3086           0 :           useAltOnNaCtrs_0_90 <= 4'hF;
+    3087           0 :         else if (_newCtr_T_2)
+    3088           0 :           useAltOnNaCtrs_0_90 <= 4'h0;
+    3089           0 :         else if (updateAltCorrect)
+    3090           0 :           useAltOnNaCtrs_0_90 <= _newCtr_T_3;
+    3091             :         else
+    3092           0 :           useAltOnNaCtrs_0_90 <= _newCtr_T_5;
+    3093             :       end
+    3094           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h5B) begin
+    3095           0 :         if (_newCtr_T)
+    3096           0 :           useAltOnNaCtrs_0_91 <= 4'hF;
+    3097           0 :         else if (_newCtr_T_2)
+    3098           0 :           useAltOnNaCtrs_0_91 <= 4'h0;
+    3099           0 :         else if (updateAltCorrect)
+    3100           0 :           useAltOnNaCtrs_0_91 <= _newCtr_T_3;
+    3101             :         else
+    3102           0 :           useAltOnNaCtrs_0_91 <= _newCtr_T_5;
+    3103             :       end
+    3104           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h5C) begin
+    3105           0 :         if (_newCtr_T)
+    3106           0 :           useAltOnNaCtrs_0_92 <= 4'hF;
+    3107           0 :         else if (_newCtr_T_2)
+    3108           0 :           useAltOnNaCtrs_0_92 <= 4'h0;
+    3109           0 :         else if (updateAltCorrect)
+    3110           0 :           useAltOnNaCtrs_0_92 <= _newCtr_T_3;
+    3111             :         else
+    3112           0 :           useAltOnNaCtrs_0_92 <= _newCtr_T_5;
+    3113             :       end
+    3114           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h5D) begin
+    3115           0 :         if (_newCtr_T)
+    3116           0 :           useAltOnNaCtrs_0_93 <= 4'hF;
+    3117           0 :         else if (_newCtr_T_2)
+    3118           0 :           useAltOnNaCtrs_0_93 <= 4'h0;
+    3119           0 :         else if (updateAltCorrect)
+    3120           0 :           useAltOnNaCtrs_0_93 <= _newCtr_T_3;
+    3121             :         else
+    3122           0 :           useAltOnNaCtrs_0_93 <= _newCtr_T_5;
+    3123             :       end
+    3124           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h5E) begin
+    3125           0 :         if (_newCtr_T)
+    3126           0 :           useAltOnNaCtrs_0_94 <= 4'hF;
+    3127           0 :         else if (_newCtr_T_2)
+    3128           0 :           useAltOnNaCtrs_0_94 <= 4'h0;
+    3129           0 :         else if (updateAltCorrect)
+    3130           0 :           useAltOnNaCtrs_0_94 <= _newCtr_T_3;
+    3131             :         else
+    3132           0 :           useAltOnNaCtrs_0_94 <= _newCtr_T_5;
+    3133             :       end
+    3134           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h5F) begin
+    3135           0 :         if (_newCtr_T)
+    3136           0 :           useAltOnNaCtrs_0_95 <= 4'hF;
+    3137           0 :         else if (_newCtr_T_2)
+    3138           0 :           useAltOnNaCtrs_0_95 <= 4'h0;
+    3139           0 :         else if (updateAltCorrect)
+    3140           0 :           useAltOnNaCtrs_0_95 <= _newCtr_T_3;
+    3141             :         else
+    3142           0 :           useAltOnNaCtrs_0_95 <= _newCtr_T_5;
+    3143             :       end
+    3144           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h60) begin
+    3145           0 :         if (_newCtr_T)
+    3146           0 :           useAltOnNaCtrs_0_96 <= 4'hF;
+    3147           0 :         else if (_newCtr_T_2)
+    3148           0 :           useAltOnNaCtrs_0_96 <= 4'h0;
+    3149           0 :         else if (updateAltCorrect)
+    3150           0 :           useAltOnNaCtrs_0_96 <= _newCtr_T_3;
+    3151             :         else
+    3152           0 :           useAltOnNaCtrs_0_96 <= _newCtr_T_5;
+    3153             :       end
+    3154           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h61) begin
+    3155           0 :         if (_newCtr_T)
+    3156           0 :           useAltOnNaCtrs_0_97 <= 4'hF;
+    3157           0 :         else if (_newCtr_T_2)
+    3158           0 :           useAltOnNaCtrs_0_97 <= 4'h0;
+    3159           0 :         else if (updateAltCorrect)
+    3160           0 :           useAltOnNaCtrs_0_97 <= _newCtr_T_3;
+    3161             :         else
+    3162           0 :           useAltOnNaCtrs_0_97 <= _newCtr_T_5;
+    3163             :       end
+    3164           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h62) begin
+    3165           0 :         if (_newCtr_T)
+    3166           0 :           useAltOnNaCtrs_0_98 <= 4'hF;
+    3167           0 :         else if (_newCtr_T_2)
+    3168           0 :           useAltOnNaCtrs_0_98 <= 4'h0;
+    3169           0 :         else if (updateAltCorrect)
+    3170           0 :           useAltOnNaCtrs_0_98 <= _newCtr_T_3;
+    3171             :         else
+    3172           0 :           useAltOnNaCtrs_0_98 <= _newCtr_T_5;
+    3173             :       end
+    3174           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h63) begin
+    3175           0 :         if (_newCtr_T)
+    3176           0 :           useAltOnNaCtrs_0_99 <= 4'hF;
+    3177           0 :         else if (_newCtr_T_2)
+    3178           0 :           useAltOnNaCtrs_0_99 <= 4'h0;
+    3179           0 :         else if (updateAltCorrect)
+    3180           0 :           useAltOnNaCtrs_0_99 <= _newCtr_T_3;
+    3181             :         else
+    3182           0 :           useAltOnNaCtrs_0_99 <= _newCtr_T_5;
+    3183             :       end
+    3184           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h64) begin
+    3185           0 :         if (_newCtr_T)
+    3186           0 :           useAltOnNaCtrs_0_100 <= 4'hF;
+    3187           0 :         else if (_newCtr_T_2)
+    3188           0 :           useAltOnNaCtrs_0_100 <= 4'h0;
+    3189           0 :         else if (updateAltCorrect)
+    3190           0 :           useAltOnNaCtrs_0_100 <= _newCtr_T_3;
+    3191             :         else
+    3192           0 :           useAltOnNaCtrs_0_100 <= _newCtr_T_5;
+    3193             :       end
+    3194           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h65) begin
+    3195           0 :         if (_newCtr_T)
+    3196           0 :           useAltOnNaCtrs_0_101 <= 4'hF;
+    3197           0 :         else if (_newCtr_T_2)
+    3198           0 :           useAltOnNaCtrs_0_101 <= 4'h0;
+    3199           0 :         else if (updateAltCorrect)
+    3200           0 :           useAltOnNaCtrs_0_101 <= _newCtr_T_3;
+    3201             :         else
+    3202           0 :           useAltOnNaCtrs_0_101 <= _newCtr_T_5;
+    3203             :       end
+    3204           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h66) begin
+    3205           0 :         if (_newCtr_T)
+    3206           0 :           useAltOnNaCtrs_0_102 <= 4'hF;
+    3207           0 :         else if (_newCtr_T_2)
+    3208           0 :           useAltOnNaCtrs_0_102 <= 4'h0;
+    3209           0 :         else if (updateAltCorrect)
+    3210           0 :           useAltOnNaCtrs_0_102 <= _newCtr_T_3;
+    3211             :         else
+    3212           0 :           useAltOnNaCtrs_0_102 <= _newCtr_T_5;
+    3213             :       end
+    3214           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h67) begin
+    3215           0 :         if (_newCtr_T)
+    3216           0 :           useAltOnNaCtrs_0_103 <= 4'hF;
+    3217           0 :         else if (_newCtr_T_2)
+    3218           0 :           useAltOnNaCtrs_0_103 <= 4'h0;
+    3219           0 :         else if (updateAltCorrect)
+    3220           0 :           useAltOnNaCtrs_0_103 <= _newCtr_T_3;
+    3221             :         else
+    3222           0 :           useAltOnNaCtrs_0_103 <= _newCtr_T_5;
+    3223             :       end
+    3224           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h68) begin
+    3225           0 :         if (_newCtr_T)
+    3226           0 :           useAltOnNaCtrs_0_104 <= 4'hF;
+    3227           0 :         else if (_newCtr_T_2)
+    3228           0 :           useAltOnNaCtrs_0_104 <= 4'h0;
+    3229           0 :         else if (updateAltCorrect)
+    3230           0 :           useAltOnNaCtrs_0_104 <= _newCtr_T_3;
+    3231             :         else
+    3232           0 :           useAltOnNaCtrs_0_104 <= _newCtr_T_5;
+    3233             :       end
+    3234           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h69) begin
+    3235           0 :         if (_newCtr_T)
+    3236           0 :           useAltOnNaCtrs_0_105 <= 4'hF;
+    3237           0 :         else if (_newCtr_T_2)
+    3238           0 :           useAltOnNaCtrs_0_105 <= 4'h0;
+    3239           0 :         else if (updateAltCorrect)
+    3240           0 :           useAltOnNaCtrs_0_105 <= _newCtr_T_3;
+    3241             :         else
+    3242           0 :           useAltOnNaCtrs_0_105 <= _newCtr_T_5;
+    3243             :       end
+    3244           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h6A) begin
+    3245           0 :         if (_newCtr_T)
+    3246           0 :           useAltOnNaCtrs_0_106 <= 4'hF;
+    3247           0 :         else if (_newCtr_T_2)
+    3248           0 :           useAltOnNaCtrs_0_106 <= 4'h0;
+    3249           0 :         else if (updateAltCorrect)
+    3250           0 :           useAltOnNaCtrs_0_106 <= _newCtr_T_3;
+    3251             :         else
+    3252           0 :           useAltOnNaCtrs_0_106 <= _newCtr_T_5;
+    3253             :       end
+    3254           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h6B) begin
+    3255           0 :         if (_newCtr_T)
+    3256           0 :           useAltOnNaCtrs_0_107 <= 4'hF;
+    3257           0 :         else if (_newCtr_T_2)
+    3258           0 :           useAltOnNaCtrs_0_107 <= 4'h0;
+    3259           0 :         else if (updateAltCorrect)
+    3260           0 :           useAltOnNaCtrs_0_107 <= _newCtr_T_3;
+    3261             :         else
+    3262           0 :           useAltOnNaCtrs_0_107 <= _newCtr_T_5;
+    3263             :       end
+    3264           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h6C) begin
+    3265           0 :         if (_newCtr_T)
+    3266           0 :           useAltOnNaCtrs_0_108 <= 4'hF;
+    3267           0 :         else if (_newCtr_T_2)
+    3268           0 :           useAltOnNaCtrs_0_108 <= 4'h0;
+    3269           0 :         else if (updateAltCorrect)
+    3270           0 :           useAltOnNaCtrs_0_108 <= _newCtr_T_3;
+    3271             :         else
+    3272           0 :           useAltOnNaCtrs_0_108 <= _newCtr_T_5;
+    3273             :       end
+    3274           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h6D) begin
+    3275           0 :         if (_newCtr_T)
+    3276           0 :           useAltOnNaCtrs_0_109 <= 4'hF;
+    3277           0 :         else if (_newCtr_T_2)
+    3278           0 :           useAltOnNaCtrs_0_109 <= 4'h0;
+    3279           0 :         else if (updateAltCorrect)
+    3280           0 :           useAltOnNaCtrs_0_109 <= _newCtr_T_3;
+    3281             :         else
+    3282           0 :           useAltOnNaCtrs_0_109 <= _newCtr_T_5;
+    3283             :       end
+    3284           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h6E) begin
+    3285           0 :         if (_newCtr_T)
+    3286           0 :           useAltOnNaCtrs_0_110 <= 4'hF;
+    3287           0 :         else if (_newCtr_T_2)
+    3288           0 :           useAltOnNaCtrs_0_110 <= 4'h0;
+    3289           0 :         else if (updateAltCorrect)
+    3290           0 :           useAltOnNaCtrs_0_110 <= _newCtr_T_3;
+    3291             :         else
+    3292           0 :           useAltOnNaCtrs_0_110 <= _newCtr_T_5;
+    3293             :       end
+    3294           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h6F) begin
+    3295           0 :         if (_newCtr_T)
+    3296           0 :           useAltOnNaCtrs_0_111 <= 4'hF;
+    3297           0 :         else if (_newCtr_T_2)
+    3298           0 :           useAltOnNaCtrs_0_111 <= 4'h0;
+    3299           0 :         else if (updateAltCorrect)
+    3300           0 :           useAltOnNaCtrs_0_111 <= _newCtr_T_3;
+    3301             :         else
+    3302           0 :           useAltOnNaCtrs_0_111 <= _newCtr_T_5;
+    3303             :       end
+    3304           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h70) begin
+    3305           0 :         if (_newCtr_T)
+    3306           0 :           useAltOnNaCtrs_0_112 <= 4'hF;
+    3307           0 :         else if (_newCtr_T_2)
+    3308           0 :           useAltOnNaCtrs_0_112 <= 4'h0;
+    3309           0 :         else if (updateAltCorrect)
+    3310           0 :           useAltOnNaCtrs_0_112 <= _newCtr_T_3;
+    3311             :         else
+    3312           0 :           useAltOnNaCtrs_0_112 <= _newCtr_T_5;
+    3313             :       end
+    3314           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h71) begin
+    3315           0 :         if (_newCtr_T)
+    3316           0 :           useAltOnNaCtrs_0_113 <= 4'hF;
+    3317           0 :         else if (_newCtr_T_2)
+    3318           0 :           useAltOnNaCtrs_0_113 <= 4'h0;
+    3319           0 :         else if (updateAltCorrect)
+    3320           0 :           useAltOnNaCtrs_0_113 <= _newCtr_T_3;
+    3321             :         else
+    3322           0 :           useAltOnNaCtrs_0_113 <= _newCtr_T_5;
+    3323             :       end
+    3324           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h72) begin
+    3325           0 :         if (_newCtr_T)
+    3326           0 :           useAltOnNaCtrs_0_114 <= 4'hF;
+    3327           0 :         else if (_newCtr_T_2)
+    3328           0 :           useAltOnNaCtrs_0_114 <= 4'h0;
+    3329           0 :         else if (updateAltCorrect)
+    3330           0 :           useAltOnNaCtrs_0_114 <= _newCtr_T_3;
+    3331             :         else
+    3332           0 :           useAltOnNaCtrs_0_114 <= _newCtr_T_5;
+    3333             :       end
+    3334           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h73) begin
+    3335           0 :         if (_newCtr_T)
+    3336           0 :           useAltOnNaCtrs_0_115 <= 4'hF;
+    3337           0 :         else if (_newCtr_T_2)
+    3338           0 :           useAltOnNaCtrs_0_115 <= 4'h0;
+    3339           0 :         else if (updateAltCorrect)
+    3340           0 :           useAltOnNaCtrs_0_115 <= _newCtr_T_3;
+    3341             :         else
+    3342           0 :           useAltOnNaCtrs_0_115 <= _newCtr_T_5;
+    3343             :       end
+    3344           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h74) begin
+    3345           0 :         if (_newCtr_T)
+    3346           0 :           useAltOnNaCtrs_0_116 <= 4'hF;
+    3347           0 :         else if (_newCtr_T_2)
+    3348           0 :           useAltOnNaCtrs_0_116 <= 4'h0;
+    3349           0 :         else if (updateAltCorrect)
+    3350           0 :           useAltOnNaCtrs_0_116 <= _newCtr_T_3;
+    3351             :         else
+    3352           0 :           useAltOnNaCtrs_0_116 <= _newCtr_T_5;
+    3353             :       end
+    3354           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h75) begin
+    3355           0 :         if (_newCtr_T)
+    3356           0 :           useAltOnNaCtrs_0_117 <= 4'hF;
+    3357           0 :         else if (_newCtr_T_2)
+    3358           0 :           useAltOnNaCtrs_0_117 <= 4'h0;
+    3359           0 :         else if (updateAltCorrect)
+    3360           0 :           useAltOnNaCtrs_0_117 <= _newCtr_T_3;
+    3361             :         else
+    3362           0 :           useAltOnNaCtrs_0_117 <= _newCtr_T_5;
+    3363             :       end
+    3364           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h76) begin
+    3365           0 :         if (_newCtr_T)
+    3366           0 :           useAltOnNaCtrs_0_118 <= 4'hF;
+    3367           0 :         else if (_newCtr_T_2)
+    3368           0 :           useAltOnNaCtrs_0_118 <= 4'h0;
+    3369           0 :         else if (updateAltCorrect)
+    3370           0 :           useAltOnNaCtrs_0_118 <= _newCtr_T_3;
+    3371             :         else
+    3372           0 :           useAltOnNaCtrs_0_118 <= _newCtr_T_5;
+    3373             :       end
+    3374           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h77) begin
+    3375           0 :         if (_newCtr_T)
+    3376           0 :           useAltOnNaCtrs_0_119 <= 4'hF;
+    3377           0 :         else if (_newCtr_T_2)
+    3378           0 :           useAltOnNaCtrs_0_119 <= 4'h0;
+    3379           0 :         else if (updateAltCorrect)
+    3380           0 :           useAltOnNaCtrs_0_119 <= _newCtr_T_3;
+    3381             :         else
+    3382           0 :           useAltOnNaCtrs_0_119 <= _newCtr_T_5;
+    3383             :       end
+    3384           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h78) begin
+    3385           0 :         if (_newCtr_T)
+    3386           0 :           useAltOnNaCtrs_0_120 <= 4'hF;
+    3387           0 :         else if (_newCtr_T_2)
+    3388           0 :           useAltOnNaCtrs_0_120 <= 4'h0;
+    3389           0 :         else if (updateAltCorrect)
+    3390           0 :           useAltOnNaCtrs_0_120 <= _newCtr_T_3;
+    3391             :         else
+    3392           0 :           useAltOnNaCtrs_0_120 <= _newCtr_T_5;
+    3393             :       end
+    3394           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h79) begin
+    3395           0 :         if (_newCtr_T)
+    3396           0 :           useAltOnNaCtrs_0_121 <= 4'hF;
+    3397           0 :         else if (_newCtr_T_2)
+    3398           0 :           useAltOnNaCtrs_0_121 <= 4'h0;
+    3399           0 :         else if (updateAltCorrect)
+    3400           0 :           useAltOnNaCtrs_0_121 <= _newCtr_T_3;
+    3401             :         else
+    3402           0 :           useAltOnNaCtrs_0_121 <= _newCtr_T_5;
+    3403             :       end
+    3404           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h7A) begin
+    3405           0 :         if (_newCtr_T)
+    3406           0 :           useAltOnNaCtrs_0_122 <= 4'hF;
+    3407           0 :         else if (_newCtr_T_2)
+    3408           0 :           useAltOnNaCtrs_0_122 <= 4'h0;
+    3409           0 :         else if (updateAltCorrect)
+    3410           0 :           useAltOnNaCtrs_0_122 <= _newCtr_T_3;
+    3411             :         else
+    3412           0 :           useAltOnNaCtrs_0_122 <= _newCtr_T_5;
+    3413             :       end
+    3414           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h7B) begin
+    3415           0 :         if (_newCtr_T)
+    3416           0 :           useAltOnNaCtrs_0_123 <= 4'hF;
+    3417           0 :         else if (_newCtr_T_2)
+    3418           0 :           useAltOnNaCtrs_0_123 <= 4'h0;
+    3419           0 :         else if (updateAltCorrect)
+    3420           0 :           useAltOnNaCtrs_0_123 <= _newCtr_T_3;
+    3421             :         else
+    3422           0 :           useAltOnNaCtrs_0_123 <= _newCtr_T_5;
+    3423             :       end
+    3424           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h7C) begin
+    3425           0 :         if (_newCtr_T)
+    3426           0 :           useAltOnNaCtrs_0_124 <= 4'hF;
+    3427           0 :         else if (_newCtr_T_2)
+    3428           0 :           useAltOnNaCtrs_0_124 <= 4'h0;
+    3429           0 :         else if (updateAltCorrect)
+    3430           0 :           useAltOnNaCtrs_0_124 <= _newCtr_T_3;
+    3431             :         else
+    3432           0 :           useAltOnNaCtrs_0_124 <= _newCtr_T_5;
+    3433             :       end
+    3434           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h7D) begin
+    3435           0 :         if (_newCtr_T)
+    3436           0 :           useAltOnNaCtrs_0_125 <= 4'hF;
+    3437           0 :         else if (_newCtr_T_2)
+    3438           0 :           useAltOnNaCtrs_0_125 <= 4'h0;
+    3439           0 :         else if (updateAltCorrect)
+    3440           0 :           useAltOnNaCtrs_0_125 <= _newCtr_T_3;
+    3441             :         else
+    3442           0 :           useAltOnNaCtrs_0_125 <= _newCtr_T_5;
+    3443             :       end
+    3444           0 :       if (updateValids_0 & _GEN_69 & io_update_bits_pc[7:1] == 7'h7E) begin
+    3445           0 :         if (_newCtr_T)
+    3446           0 :           useAltOnNaCtrs_0_126 <= 4'hF;
+    3447           0 :         else if (_newCtr_T_2)
+    3448           0 :           useAltOnNaCtrs_0_126 <= 4'h0;
+    3449           0 :         else if (updateAltCorrect)
+    3450           0 :           useAltOnNaCtrs_0_126 <= _newCtr_T_3;
+    3451             :         else
+    3452           0 :           useAltOnNaCtrs_0_126 <= _newCtr_T_5;
+    3453             :       end
+    3454           0 :       if (updateValids_0 & _GEN_69 & (&(io_update_bits_pc[7:1]))) begin
+    3455           0 :         if (_newCtr_T)
+    3456           0 :           useAltOnNaCtrs_0_127 <= 4'hF;
+    3457           0 :         else if (_newCtr_T_2)
+    3458           0 :           useAltOnNaCtrs_0_127 <= 4'h0;
+    3459           0 :         else if (updateAltCorrect)
+    3460           0 :           useAltOnNaCtrs_0_127 <= _newCtr_T_3;
+    3461             :         else
+    3462           0 :           useAltOnNaCtrs_0_127 <= _newCtr_T_5;
+    3463             :       end
+    3464           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h0) begin
+    3465           0 :         if (_newCtr_T_9)
+    3466           0 :           useAltOnNaCtrs_1_0 <= 4'hF;
+    3467           0 :         else if (_newCtr_T_11)
+    3468           0 :           useAltOnNaCtrs_1_0 <= 4'h0;
+    3469           0 :         else if (updateAltCorrect_1)
+    3470           0 :           useAltOnNaCtrs_1_0 <= _newCtr_T_12;
+    3471             :         else
+    3472           0 :           useAltOnNaCtrs_1_0 <= _newCtr_T_14;
+    3473             :       end
+    3474           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h1) begin
+    3475           0 :         if (_newCtr_T_9)
+    3476           0 :           useAltOnNaCtrs_1_1 <= 4'hF;
+    3477           0 :         else if (_newCtr_T_11)
+    3478           0 :           useAltOnNaCtrs_1_1 <= 4'h0;
+    3479           0 :         else if (updateAltCorrect_1)
+    3480           0 :           useAltOnNaCtrs_1_1 <= _newCtr_T_12;
+    3481             :         else
+    3482           0 :           useAltOnNaCtrs_1_1 <= _newCtr_T_14;
+    3483             :       end
+    3484           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h2) begin
+    3485           0 :         if (_newCtr_T_9)
+    3486           0 :           useAltOnNaCtrs_1_2 <= 4'hF;
+    3487           0 :         else if (_newCtr_T_11)
+    3488           0 :           useAltOnNaCtrs_1_2 <= 4'h0;
+    3489           0 :         else if (updateAltCorrect_1)
+    3490           0 :           useAltOnNaCtrs_1_2 <= _newCtr_T_12;
+    3491             :         else
+    3492           0 :           useAltOnNaCtrs_1_2 <= _newCtr_T_14;
+    3493             :       end
+    3494           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h3) begin
+    3495           0 :         if (_newCtr_T_9)
+    3496           0 :           useAltOnNaCtrs_1_3 <= 4'hF;
+    3497           0 :         else if (_newCtr_T_11)
+    3498           0 :           useAltOnNaCtrs_1_3 <= 4'h0;
+    3499           0 :         else if (updateAltCorrect_1)
+    3500           0 :           useAltOnNaCtrs_1_3 <= _newCtr_T_12;
+    3501             :         else
+    3502           0 :           useAltOnNaCtrs_1_3 <= _newCtr_T_14;
+    3503             :       end
+    3504           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h4) begin
+    3505           0 :         if (_newCtr_T_9)
+    3506           0 :           useAltOnNaCtrs_1_4 <= 4'hF;
+    3507           0 :         else if (_newCtr_T_11)
+    3508           0 :           useAltOnNaCtrs_1_4 <= 4'h0;
+    3509           0 :         else if (updateAltCorrect_1)
+    3510           0 :           useAltOnNaCtrs_1_4 <= _newCtr_T_12;
+    3511             :         else
+    3512           0 :           useAltOnNaCtrs_1_4 <= _newCtr_T_14;
+    3513             :       end
+    3514           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h5) begin
+    3515           0 :         if (_newCtr_T_9)
+    3516           0 :           useAltOnNaCtrs_1_5 <= 4'hF;
+    3517           0 :         else if (_newCtr_T_11)
+    3518           0 :           useAltOnNaCtrs_1_5 <= 4'h0;
+    3519           0 :         else if (updateAltCorrect_1)
+    3520           0 :           useAltOnNaCtrs_1_5 <= _newCtr_T_12;
+    3521             :         else
+    3522           0 :           useAltOnNaCtrs_1_5 <= _newCtr_T_14;
+    3523             :       end
+    3524           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h6) begin
+    3525           0 :         if (_newCtr_T_9)
+    3526           0 :           useAltOnNaCtrs_1_6 <= 4'hF;
+    3527           0 :         else if (_newCtr_T_11)
+    3528           0 :           useAltOnNaCtrs_1_6 <= 4'h0;
+    3529           0 :         else if (updateAltCorrect_1)
+    3530           0 :           useAltOnNaCtrs_1_6 <= _newCtr_T_12;
+    3531             :         else
+    3532           0 :           useAltOnNaCtrs_1_6 <= _newCtr_T_14;
+    3533             :       end
+    3534           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h7) begin
+    3535           0 :         if (_newCtr_T_9)
+    3536           0 :           useAltOnNaCtrs_1_7 <= 4'hF;
+    3537           0 :         else if (_newCtr_T_11)
+    3538           0 :           useAltOnNaCtrs_1_7 <= 4'h0;
+    3539           0 :         else if (updateAltCorrect_1)
+    3540           0 :           useAltOnNaCtrs_1_7 <= _newCtr_T_12;
+    3541             :         else
+    3542           0 :           useAltOnNaCtrs_1_7 <= _newCtr_T_14;
+    3543             :       end
+    3544           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h8) begin
+    3545           0 :         if (_newCtr_T_9)
+    3546           0 :           useAltOnNaCtrs_1_8 <= 4'hF;
+    3547           0 :         else if (_newCtr_T_11)
+    3548           0 :           useAltOnNaCtrs_1_8 <= 4'h0;
+    3549           0 :         else if (updateAltCorrect_1)
+    3550           0 :           useAltOnNaCtrs_1_8 <= _newCtr_T_12;
+    3551             :         else
+    3552           0 :           useAltOnNaCtrs_1_8 <= _newCtr_T_14;
+    3553             :       end
+    3554           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h9) begin
+    3555           0 :         if (_newCtr_T_9)
+    3556           0 :           useAltOnNaCtrs_1_9 <= 4'hF;
+    3557           0 :         else if (_newCtr_T_11)
+    3558           0 :           useAltOnNaCtrs_1_9 <= 4'h0;
+    3559           0 :         else if (updateAltCorrect_1)
+    3560           0 :           useAltOnNaCtrs_1_9 <= _newCtr_T_12;
+    3561             :         else
+    3562           0 :           useAltOnNaCtrs_1_9 <= _newCtr_T_14;
+    3563             :       end
+    3564           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'hA) begin
+    3565           0 :         if (_newCtr_T_9)
+    3566           0 :           useAltOnNaCtrs_1_10 <= 4'hF;
+    3567           0 :         else if (_newCtr_T_11)
+    3568           0 :           useAltOnNaCtrs_1_10 <= 4'h0;
+    3569           0 :         else if (updateAltCorrect_1)
+    3570           0 :           useAltOnNaCtrs_1_10 <= _newCtr_T_12;
+    3571             :         else
+    3572           0 :           useAltOnNaCtrs_1_10 <= _newCtr_T_14;
+    3573             :       end
+    3574           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'hB) begin
+    3575           0 :         if (_newCtr_T_9)
+    3576           0 :           useAltOnNaCtrs_1_11 <= 4'hF;
+    3577           0 :         else if (_newCtr_T_11)
+    3578           0 :           useAltOnNaCtrs_1_11 <= 4'h0;
+    3579           0 :         else if (updateAltCorrect_1)
+    3580           0 :           useAltOnNaCtrs_1_11 <= _newCtr_T_12;
+    3581             :         else
+    3582           0 :           useAltOnNaCtrs_1_11 <= _newCtr_T_14;
+    3583             :       end
+    3584           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'hC) begin
+    3585           0 :         if (_newCtr_T_9)
+    3586           0 :           useAltOnNaCtrs_1_12 <= 4'hF;
+    3587           0 :         else if (_newCtr_T_11)
+    3588           0 :           useAltOnNaCtrs_1_12 <= 4'h0;
+    3589           0 :         else if (updateAltCorrect_1)
+    3590           0 :           useAltOnNaCtrs_1_12 <= _newCtr_T_12;
+    3591             :         else
+    3592           0 :           useAltOnNaCtrs_1_12 <= _newCtr_T_14;
+    3593             :       end
+    3594           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'hD) begin
+    3595           0 :         if (_newCtr_T_9)
+    3596           0 :           useAltOnNaCtrs_1_13 <= 4'hF;
+    3597           0 :         else if (_newCtr_T_11)
+    3598           0 :           useAltOnNaCtrs_1_13 <= 4'h0;
+    3599           0 :         else if (updateAltCorrect_1)
+    3600           0 :           useAltOnNaCtrs_1_13 <= _newCtr_T_12;
+    3601             :         else
+    3602           0 :           useAltOnNaCtrs_1_13 <= _newCtr_T_14;
+    3603             :       end
+    3604           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'hE) begin
+    3605           0 :         if (_newCtr_T_9)
+    3606           0 :           useAltOnNaCtrs_1_14 <= 4'hF;
+    3607           0 :         else if (_newCtr_T_11)
+    3608           0 :           useAltOnNaCtrs_1_14 <= 4'h0;
+    3609           0 :         else if (updateAltCorrect_1)
+    3610           0 :           useAltOnNaCtrs_1_14 <= _newCtr_T_12;
+    3611             :         else
+    3612           0 :           useAltOnNaCtrs_1_14 <= _newCtr_T_14;
+    3613             :       end
+    3614           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'hF) begin
+    3615           0 :         if (_newCtr_T_9)
+    3616           0 :           useAltOnNaCtrs_1_15 <= 4'hF;
+    3617           0 :         else if (_newCtr_T_11)
+    3618           0 :           useAltOnNaCtrs_1_15 <= 4'h0;
+    3619           0 :         else if (updateAltCorrect_1)
+    3620           0 :           useAltOnNaCtrs_1_15 <= _newCtr_T_12;
+    3621             :         else
+    3622           0 :           useAltOnNaCtrs_1_15 <= _newCtr_T_14;
+    3623             :       end
+    3624           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h10) begin
+    3625           0 :         if (_newCtr_T_9)
+    3626           0 :           useAltOnNaCtrs_1_16 <= 4'hF;
+    3627           0 :         else if (_newCtr_T_11)
+    3628           0 :           useAltOnNaCtrs_1_16 <= 4'h0;
+    3629           0 :         else if (updateAltCorrect_1)
+    3630           0 :           useAltOnNaCtrs_1_16 <= _newCtr_T_12;
+    3631             :         else
+    3632           0 :           useAltOnNaCtrs_1_16 <= _newCtr_T_14;
+    3633             :       end
+    3634           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h11) begin
+    3635           0 :         if (_newCtr_T_9)
+    3636           0 :           useAltOnNaCtrs_1_17 <= 4'hF;
+    3637           0 :         else if (_newCtr_T_11)
+    3638           0 :           useAltOnNaCtrs_1_17 <= 4'h0;
+    3639           0 :         else if (updateAltCorrect_1)
+    3640           0 :           useAltOnNaCtrs_1_17 <= _newCtr_T_12;
+    3641             :         else
+    3642           0 :           useAltOnNaCtrs_1_17 <= _newCtr_T_14;
+    3643             :       end
+    3644           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h12) begin
+    3645           0 :         if (_newCtr_T_9)
+    3646           0 :           useAltOnNaCtrs_1_18 <= 4'hF;
+    3647           0 :         else if (_newCtr_T_11)
+    3648           0 :           useAltOnNaCtrs_1_18 <= 4'h0;
+    3649           0 :         else if (updateAltCorrect_1)
+    3650           0 :           useAltOnNaCtrs_1_18 <= _newCtr_T_12;
+    3651             :         else
+    3652           0 :           useAltOnNaCtrs_1_18 <= _newCtr_T_14;
+    3653             :       end
+    3654           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h13) begin
+    3655           0 :         if (_newCtr_T_9)
+    3656           0 :           useAltOnNaCtrs_1_19 <= 4'hF;
+    3657           0 :         else if (_newCtr_T_11)
+    3658           0 :           useAltOnNaCtrs_1_19 <= 4'h0;
+    3659           0 :         else if (updateAltCorrect_1)
+    3660           0 :           useAltOnNaCtrs_1_19 <= _newCtr_T_12;
+    3661             :         else
+    3662           0 :           useAltOnNaCtrs_1_19 <= _newCtr_T_14;
+    3663             :       end
+    3664           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h14) begin
+    3665           0 :         if (_newCtr_T_9)
+    3666           0 :           useAltOnNaCtrs_1_20 <= 4'hF;
+    3667           0 :         else if (_newCtr_T_11)
+    3668           0 :           useAltOnNaCtrs_1_20 <= 4'h0;
+    3669           0 :         else if (updateAltCorrect_1)
+    3670           0 :           useAltOnNaCtrs_1_20 <= _newCtr_T_12;
+    3671             :         else
+    3672           0 :           useAltOnNaCtrs_1_20 <= _newCtr_T_14;
+    3673             :       end
+    3674           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h15) begin
+    3675           0 :         if (_newCtr_T_9)
+    3676           0 :           useAltOnNaCtrs_1_21 <= 4'hF;
+    3677           0 :         else if (_newCtr_T_11)
+    3678           0 :           useAltOnNaCtrs_1_21 <= 4'h0;
+    3679           0 :         else if (updateAltCorrect_1)
+    3680           0 :           useAltOnNaCtrs_1_21 <= _newCtr_T_12;
+    3681             :         else
+    3682           0 :           useAltOnNaCtrs_1_21 <= _newCtr_T_14;
+    3683             :       end
+    3684           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h16) begin
+    3685           0 :         if (_newCtr_T_9)
+    3686           0 :           useAltOnNaCtrs_1_22 <= 4'hF;
+    3687           0 :         else if (_newCtr_T_11)
+    3688           0 :           useAltOnNaCtrs_1_22 <= 4'h0;
+    3689           0 :         else if (updateAltCorrect_1)
+    3690           0 :           useAltOnNaCtrs_1_22 <= _newCtr_T_12;
+    3691             :         else
+    3692           0 :           useAltOnNaCtrs_1_22 <= _newCtr_T_14;
+    3693             :       end
+    3694           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h17) begin
+    3695           0 :         if (_newCtr_T_9)
+    3696           0 :           useAltOnNaCtrs_1_23 <= 4'hF;
+    3697           0 :         else if (_newCtr_T_11)
+    3698           0 :           useAltOnNaCtrs_1_23 <= 4'h0;
+    3699           0 :         else if (updateAltCorrect_1)
+    3700           0 :           useAltOnNaCtrs_1_23 <= _newCtr_T_12;
+    3701             :         else
+    3702           0 :           useAltOnNaCtrs_1_23 <= _newCtr_T_14;
+    3703             :       end
+    3704           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h18) begin
+    3705           0 :         if (_newCtr_T_9)
+    3706           0 :           useAltOnNaCtrs_1_24 <= 4'hF;
+    3707           0 :         else if (_newCtr_T_11)
+    3708           0 :           useAltOnNaCtrs_1_24 <= 4'h0;
+    3709           0 :         else if (updateAltCorrect_1)
+    3710           0 :           useAltOnNaCtrs_1_24 <= _newCtr_T_12;
+    3711             :         else
+    3712           0 :           useAltOnNaCtrs_1_24 <= _newCtr_T_14;
+    3713             :       end
+    3714           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h19) begin
+    3715           0 :         if (_newCtr_T_9)
+    3716           0 :           useAltOnNaCtrs_1_25 <= 4'hF;
+    3717           0 :         else if (_newCtr_T_11)
+    3718           0 :           useAltOnNaCtrs_1_25 <= 4'h0;
+    3719           0 :         else if (updateAltCorrect_1)
+    3720           0 :           useAltOnNaCtrs_1_25 <= _newCtr_T_12;
+    3721             :         else
+    3722           0 :           useAltOnNaCtrs_1_25 <= _newCtr_T_14;
+    3723             :       end
+    3724           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h1A) begin
+    3725           0 :         if (_newCtr_T_9)
+    3726           0 :           useAltOnNaCtrs_1_26 <= 4'hF;
+    3727           0 :         else if (_newCtr_T_11)
+    3728           0 :           useAltOnNaCtrs_1_26 <= 4'h0;
+    3729           0 :         else if (updateAltCorrect_1)
+    3730           0 :           useAltOnNaCtrs_1_26 <= _newCtr_T_12;
+    3731             :         else
+    3732           0 :           useAltOnNaCtrs_1_26 <= _newCtr_T_14;
+    3733             :       end
+    3734           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h1B) begin
+    3735           0 :         if (_newCtr_T_9)
+    3736           0 :           useAltOnNaCtrs_1_27 <= 4'hF;
+    3737           0 :         else if (_newCtr_T_11)
+    3738           0 :           useAltOnNaCtrs_1_27 <= 4'h0;
+    3739           0 :         else if (updateAltCorrect_1)
+    3740           0 :           useAltOnNaCtrs_1_27 <= _newCtr_T_12;
+    3741             :         else
+    3742           0 :           useAltOnNaCtrs_1_27 <= _newCtr_T_14;
+    3743             :       end
+    3744           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h1C) begin
+    3745           0 :         if (_newCtr_T_9)
+    3746           0 :           useAltOnNaCtrs_1_28 <= 4'hF;
+    3747           0 :         else if (_newCtr_T_11)
+    3748           0 :           useAltOnNaCtrs_1_28 <= 4'h0;
+    3749           0 :         else if (updateAltCorrect_1)
+    3750           0 :           useAltOnNaCtrs_1_28 <= _newCtr_T_12;
+    3751             :         else
+    3752           0 :           useAltOnNaCtrs_1_28 <= _newCtr_T_14;
+    3753             :       end
+    3754           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h1D) begin
+    3755           0 :         if (_newCtr_T_9)
+    3756           0 :           useAltOnNaCtrs_1_29 <= 4'hF;
+    3757           0 :         else if (_newCtr_T_11)
+    3758           0 :           useAltOnNaCtrs_1_29 <= 4'h0;
+    3759           0 :         else if (updateAltCorrect_1)
+    3760           0 :           useAltOnNaCtrs_1_29 <= _newCtr_T_12;
+    3761             :         else
+    3762           0 :           useAltOnNaCtrs_1_29 <= _newCtr_T_14;
+    3763             :       end
+    3764           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h1E) begin
+    3765           0 :         if (_newCtr_T_9)
+    3766           0 :           useAltOnNaCtrs_1_30 <= 4'hF;
+    3767           0 :         else if (_newCtr_T_11)
+    3768           0 :           useAltOnNaCtrs_1_30 <= 4'h0;
+    3769           0 :         else if (updateAltCorrect_1)
+    3770           0 :           useAltOnNaCtrs_1_30 <= _newCtr_T_12;
+    3771             :         else
+    3772           0 :           useAltOnNaCtrs_1_30 <= _newCtr_T_14;
+    3773             :       end
+    3774           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h1F) begin
+    3775           0 :         if (_newCtr_T_9)
+    3776           0 :           useAltOnNaCtrs_1_31 <= 4'hF;
+    3777           0 :         else if (_newCtr_T_11)
+    3778           0 :           useAltOnNaCtrs_1_31 <= 4'h0;
+    3779           0 :         else if (updateAltCorrect_1)
+    3780           0 :           useAltOnNaCtrs_1_31 <= _newCtr_T_12;
+    3781             :         else
+    3782           0 :           useAltOnNaCtrs_1_31 <= _newCtr_T_14;
+    3783             :       end
+    3784           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h20) begin
+    3785           0 :         if (_newCtr_T_9)
+    3786           0 :           useAltOnNaCtrs_1_32 <= 4'hF;
+    3787           0 :         else if (_newCtr_T_11)
+    3788           0 :           useAltOnNaCtrs_1_32 <= 4'h0;
+    3789           0 :         else if (updateAltCorrect_1)
+    3790           0 :           useAltOnNaCtrs_1_32 <= _newCtr_T_12;
+    3791             :         else
+    3792           0 :           useAltOnNaCtrs_1_32 <= _newCtr_T_14;
+    3793             :       end
+    3794           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h21) begin
+    3795           0 :         if (_newCtr_T_9)
+    3796           0 :           useAltOnNaCtrs_1_33 <= 4'hF;
+    3797           0 :         else if (_newCtr_T_11)
+    3798           0 :           useAltOnNaCtrs_1_33 <= 4'h0;
+    3799           0 :         else if (updateAltCorrect_1)
+    3800           0 :           useAltOnNaCtrs_1_33 <= _newCtr_T_12;
+    3801             :         else
+    3802           0 :           useAltOnNaCtrs_1_33 <= _newCtr_T_14;
+    3803             :       end
+    3804           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h22) begin
+    3805           0 :         if (_newCtr_T_9)
+    3806           0 :           useAltOnNaCtrs_1_34 <= 4'hF;
+    3807           0 :         else if (_newCtr_T_11)
+    3808           0 :           useAltOnNaCtrs_1_34 <= 4'h0;
+    3809           0 :         else if (updateAltCorrect_1)
+    3810           0 :           useAltOnNaCtrs_1_34 <= _newCtr_T_12;
+    3811             :         else
+    3812           0 :           useAltOnNaCtrs_1_34 <= _newCtr_T_14;
+    3813             :       end
+    3814           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h23) begin
+    3815           0 :         if (_newCtr_T_9)
+    3816           0 :           useAltOnNaCtrs_1_35 <= 4'hF;
+    3817           0 :         else if (_newCtr_T_11)
+    3818           0 :           useAltOnNaCtrs_1_35 <= 4'h0;
+    3819           0 :         else if (updateAltCorrect_1)
+    3820           0 :           useAltOnNaCtrs_1_35 <= _newCtr_T_12;
+    3821             :         else
+    3822           0 :           useAltOnNaCtrs_1_35 <= _newCtr_T_14;
+    3823             :       end
+    3824           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h24) begin
+    3825           0 :         if (_newCtr_T_9)
+    3826           0 :           useAltOnNaCtrs_1_36 <= 4'hF;
+    3827           0 :         else if (_newCtr_T_11)
+    3828           0 :           useAltOnNaCtrs_1_36 <= 4'h0;
+    3829           0 :         else if (updateAltCorrect_1)
+    3830           0 :           useAltOnNaCtrs_1_36 <= _newCtr_T_12;
+    3831             :         else
+    3832           0 :           useAltOnNaCtrs_1_36 <= _newCtr_T_14;
+    3833             :       end
+    3834           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h25) begin
+    3835           0 :         if (_newCtr_T_9)
+    3836           0 :           useAltOnNaCtrs_1_37 <= 4'hF;
+    3837           0 :         else if (_newCtr_T_11)
+    3838           0 :           useAltOnNaCtrs_1_37 <= 4'h0;
+    3839           0 :         else if (updateAltCorrect_1)
+    3840           0 :           useAltOnNaCtrs_1_37 <= _newCtr_T_12;
+    3841             :         else
+    3842           0 :           useAltOnNaCtrs_1_37 <= _newCtr_T_14;
+    3843             :       end
+    3844           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h26) begin
+    3845           0 :         if (_newCtr_T_9)
+    3846           0 :           useAltOnNaCtrs_1_38 <= 4'hF;
+    3847           0 :         else if (_newCtr_T_11)
+    3848           0 :           useAltOnNaCtrs_1_38 <= 4'h0;
+    3849           0 :         else if (updateAltCorrect_1)
+    3850           0 :           useAltOnNaCtrs_1_38 <= _newCtr_T_12;
+    3851             :         else
+    3852           0 :           useAltOnNaCtrs_1_38 <= _newCtr_T_14;
+    3853             :       end
+    3854           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h27) begin
+    3855           0 :         if (_newCtr_T_9)
+    3856           0 :           useAltOnNaCtrs_1_39 <= 4'hF;
+    3857           0 :         else if (_newCtr_T_11)
+    3858           0 :           useAltOnNaCtrs_1_39 <= 4'h0;
+    3859           0 :         else if (updateAltCorrect_1)
+    3860           0 :           useAltOnNaCtrs_1_39 <= _newCtr_T_12;
+    3861             :         else
+    3862           0 :           useAltOnNaCtrs_1_39 <= _newCtr_T_14;
+    3863             :       end
+    3864           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h28) begin
+    3865           0 :         if (_newCtr_T_9)
+    3866           0 :           useAltOnNaCtrs_1_40 <= 4'hF;
+    3867           0 :         else if (_newCtr_T_11)
+    3868           0 :           useAltOnNaCtrs_1_40 <= 4'h0;
+    3869           0 :         else if (updateAltCorrect_1)
+    3870           0 :           useAltOnNaCtrs_1_40 <= _newCtr_T_12;
+    3871             :         else
+    3872           0 :           useAltOnNaCtrs_1_40 <= _newCtr_T_14;
+    3873             :       end
+    3874           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h29) begin
+    3875           0 :         if (_newCtr_T_9)
+    3876           0 :           useAltOnNaCtrs_1_41 <= 4'hF;
+    3877           0 :         else if (_newCtr_T_11)
+    3878           0 :           useAltOnNaCtrs_1_41 <= 4'h0;
+    3879           0 :         else if (updateAltCorrect_1)
+    3880           0 :           useAltOnNaCtrs_1_41 <= _newCtr_T_12;
+    3881             :         else
+    3882           0 :           useAltOnNaCtrs_1_41 <= _newCtr_T_14;
+    3883             :       end
+    3884           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h2A) begin
+    3885           0 :         if (_newCtr_T_9)
+    3886           0 :           useAltOnNaCtrs_1_42 <= 4'hF;
+    3887           0 :         else if (_newCtr_T_11)
+    3888           0 :           useAltOnNaCtrs_1_42 <= 4'h0;
+    3889           0 :         else if (updateAltCorrect_1)
+    3890           0 :           useAltOnNaCtrs_1_42 <= _newCtr_T_12;
+    3891             :         else
+    3892           0 :           useAltOnNaCtrs_1_42 <= _newCtr_T_14;
+    3893             :       end
+    3894           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h2B) begin
+    3895           0 :         if (_newCtr_T_9)
+    3896           0 :           useAltOnNaCtrs_1_43 <= 4'hF;
+    3897           0 :         else if (_newCtr_T_11)
+    3898           0 :           useAltOnNaCtrs_1_43 <= 4'h0;
+    3899           0 :         else if (updateAltCorrect_1)
+    3900           0 :           useAltOnNaCtrs_1_43 <= _newCtr_T_12;
+    3901             :         else
+    3902           0 :           useAltOnNaCtrs_1_43 <= _newCtr_T_14;
+    3903             :       end
+    3904           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h2C) begin
+    3905           0 :         if (_newCtr_T_9)
+    3906           0 :           useAltOnNaCtrs_1_44 <= 4'hF;
+    3907           0 :         else if (_newCtr_T_11)
+    3908           0 :           useAltOnNaCtrs_1_44 <= 4'h0;
+    3909           0 :         else if (updateAltCorrect_1)
+    3910           0 :           useAltOnNaCtrs_1_44 <= _newCtr_T_12;
+    3911             :         else
+    3912           0 :           useAltOnNaCtrs_1_44 <= _newCtr_T_14;
+    3913             :       end
+    3914           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h2D) begin
+    3915           0 :         if (_newCtr_T_9)
+    3916           0 :           useAltOnNaCtrs_1_45 <= 4'hF;
+    3917           0 :         else if (_newCtr_T_11)
+    3918           0 :           useAltOnNaCtrs_1_45 <= 4'h0;
+    3919           0 :         else if (updateAltCorrect_1)
+    3920           0 :           useAltOnNaCtrs_1_45 <= _newCtr_T_12;
+    3921             :         else
+    3922           0 :           useAltOnNaCtrs_1_45 <= _newCtr_T_14;
+    3923             :       end
+    3924           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h2E) begin
+    3925           0 :         if (_newCtr_T_9)
+    3926           0 :           useAltOnNaCtrs_1_46 <= 4'hF;
+    3927           0 :         else if (_newCtr_T_11)
+    3928           0 :           useAltOnNaCtrs_1_46 <= 4'h0;
+    3929           0 :         else if (updateAltCorrect_1)
+    3930           0 :           useAltOnNaCtrs_1_46 <= _newCtr_T_12;
+    3931             :         else
+    3932           0 :           useAltOnNaCtrs_1_46 <= _newCtr_T_14;
+    3933             :       end
+    3934           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h2F) begin
+    3935           0 :         if (_newCtr_T_9)
+    3936           0 :           useAltOnNaCtrs_1_47 <= 4'hF;
+    3937           0 :         else if (_newCtr_T_11)
+    3938           0 :           useAltOnNaCtrs_1_47 <= 4'h0;
+    3939           0 :         else if (updateAltCorrect_1)
+    3940           0 :           useAltOnNaCtrs_1_47 <= _newCtr_T_12;
+    3941             :         else
+    3942           0 :           useAltOnNaCtrs_1_47 <= _newCtr_T_14;
+    3943             :       end
+    3944           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h30) begin
+    3945           0 :         if (_newCtr_T_9)
+    3946           0 :           useAltOnNaCtrs_1_48 <= 4'hF;
+    3947           0 :         else if (_newCtr_T_11)
+    3948           0 :           useAltOnNaCtrs_1_48 <= 4'h0;
+    3949           0 :         else if (updateAltCorrect_1)
+    3950           0 :           useAltOnNaCtrs_1_48 <= _newCtr_T_12;
+    3951             :         else
+    3952           0 :           useAltOnNaCtrs_1_48 <= _newCtr_T_14;
+    3953             :       end
+    3954           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h31) begin
+    3955           0 :         if (_newCtr_T_9)
+    3956           0 :           useAltOnNaCtrs_1_49 <= 4'hF;
+    3957           0 :         else if (_newCtr_T_11)
+    3958           0 :           useAltOnNaCtrs_1_49 <= 4'h0;
+    3959           0 :         else if (updateAltCorrect_1)
+    3960           0 :           useAltOnNaCtrs_1_49 <= _newCtr_T_12;
+    3961             :         else
+    3962           0 :           useAltOnNaCtrs_1_49 <= _newCtr_T_14;
+    3963             :       end
+    3964           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h32) begin
+    3965           0 :         if (_newCtr_T_9)
+    3966           0 :           useAltOnNaCtrs_1_50 <= 4'hF;
+    3967           0 :         else if (_newCtr_T_11)
+    3968           0 :           useAltOnNaCtrs_1_50 <= 4'h0;
+    3969           0 :         else if (updateAltCorrect_1)
+    3970           0 :           useAltOnNaCtrs_1_50 <= _newCtr_T_12;
+    3971             :         else
+    3972           0 :           useAltOnNaCtrs_1_50 <= _newCtr_T_14;
+    3973             :       end
+    3974           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h33) begin
+    3975           0 :         if (_newCtr_T_9)
+    3976           0 :           useAltOnNaCtrs_1_51 <= 4'hF;
+    3977           0 :         else if (_newCtr_T_11)
+    3978           0 :           useAltOnNaCtrs_1_51 <= 4'h0;
+    3979           0 :         else if (updateAltCorrect_1)
+    3980           0 :           useAltOnNaCtrs_1_51 <= _newCtr_T_12;
+    3981             :         else
+    3982           0 :           useAltOnNaCtrs_1_51 <= _newCtr_T_14;
+    3983             :       end
+    3984           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h34) begin
+    3985           0 :         if (_newCtr_T_9)
+    3986           0 :           useAltOnNaCtrs_1_52 <= 4'hF;
+    3987           0 :         else if (_newCtr_T_11)
+    3988           0 :           useAltOnNaCtrs_1_52 <= 4'h0;
+    3989           0 :         else if (updateAltCorrect_1)
+    3990           0 :           useAltOnNaCtrs_1_52 <= _newCtr_T_12;
+    3991             :         else
+    3992           0 :           useAltOnNaCtrs_1_52 <= _newCtr_T_14;
+    3993             :       end
+    3994           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h35) begin
+    3995           0 :         if (_newCtr_T_9)
+    3996           0 :           useAltOnNaCtrs_1_53 <= 4'hF;
+    3997           0 :         else if (_newCtr_T_11)
+    3998           0 :           useAltOnNaCtrs_1_53 <= 4'h0;
+    3999           0 :         else if (updateAltCorrect_1)
+    4000           0 :           useAltOnNaCtrs_1_53 <= _newCtr_T_12;
+    4001             :         else
+    4002           0 :           useAltOnNaCtrs_1_53 <= _newCtr_T_14;
+    4003             :       end
+    4004           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h36) begin
+    4005           0 :         if (_newCtr_T_9)
+    4006           0 :           useAltOnNaCtrs_1_54 <= 4'hF;
+    4007           0 :         else if (_newCtr_T_11)
+    4008           0 :           useAltOnNaCtrs_1_54 <= 4'h0;
+    4009           0 :         else if (updateAltCorrect_1)
+    4010           0 :           useAltOnNaCtrs_1_54 <= _newCtr_T_12;
+    4011             :         else
+    4012           0 :           useAltOnNaCtrs_1_54 <= _newCtr_T_14;
+    4013             :       end
+    4014           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h37) begin
+    4015           0 :         if (_newCtr_T_9)
+    4016           0 :           useAltOnNaCtrs_1_55 <= 4'hF;
+    4017           0 :         else if (_newCtr_T_11)
+    4018           0 :           useAltOnNaCtrs_1_55 <= 4'h0;
+    4019           0 :         else if (updateAltCorrect_1)
+    4020           0 :           useAltOnNaCtrs_1_55 <= _newCtr_T_12;
+    4021             :         else
+    4022           0 :           useAltOnNaCtrs_1_55 <= _newCtr_T_14;
+    4023             :       end
+    4024           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h38) begin
+    4025           0 :         if (_newCtr_T_9)
+    4026           0 :           useAltOnNaCtrs_1_56 <= 4'hF;
+    4027           0 :         else if (_newCtr_T_11)
+    4028           0 :           useAltOnNaCtrs_1_56 <= 4'h0;
+    4029           0 :         else if (updateAltCorrect_1)
+    4030           0 :           useAltOnNaCtrs_1_56 <= _newCtr_T_12;
+    4031             :         else
+    4032           0 :           useAltOnNaCtrs_1_56 <= _newCtr_T_14;
+    4033             :       end
+    4034           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h39) begin
+    4035           0 :         if (_newCtr_T_9)
+    4036           0 :           useAltOnNaCtrs_1_57 <= 4'hF;
+    4037           0 :         else if (_newCtr_T_11)
+    4038           0 :           useAltOnNaCtrs_1_57 <= 4'h0;
+    4039           0 :         else if (updateAltCorrect_1)
+    4040           0 :           useAltOnNaCtrs_1_57 <= _newCtr_T_12;
+    4041             :         else
+    4042           0 :           useAltOnNaCtrs_1_57 <= _newCtr_T_14;
+    4043             :       end
+    4044           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h3A) begin
+    4045           0 :         if (_newCtr_T_9)
+    4046           0 :           useAltOnNaCtrs_1_58 <= 4'hF;
+    4047           0 :         else if (_newCtr_T_11)
+    4048           0 :           useAltOnNaCtrs_1_58 <= 4'h0;
+    4049           0 :         else if (updateAltCorrect_1)
+    4050           0 :           useAltOnNaCtrs_1_58 <= _newCtr_T_12;
+    4051             :         else
+    4052           0 :           useAltOnNaCtrs_1_58 <= _newCtr_T_14;
+    4053             :       end
+    4054           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h3B) begin
+    4055           0 :         if (_newCtr_T_9)
+    4056           0 :           useAltOnNaCtrs_1_59 <= 4'hF;
+    4057           0 :         else if (_newCtr_T_11)
+    4058           0 :           useAltOnNaCtrs_1_59 <= 4'h0;
+    4059           0 :         else if (updateAltCorrect_1)
+    4060           0 :           useAltOnNaCtrs_1_59 <= _newCtr_T_12;
+    4061             :         else
+    4062           0 :           useAltOnNaCtrs_1_59 <= _newCtr_T_14;
+    4063             :       end
+    4064           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h3C) begin
+    4065           0 :         if (_newCtr_T_9)
+    4066           0 :           useAltOnNaCtrs_1_60 <= 4'hF;
+    4067           0 :         else if (_newCtr_T_11)
+    4068           0 :           useAltOnNaCtrs_1_60 <= 4'h0;
+    4069           0 :         else if (updateAltCorrect_1)
+    4070           0 :           useAltOnNaCtrs_1_60 <= _newCtr_T_12;
+    4071             :         else
+    4072           0 :           useAltOnNaCtrs_1_60 <= _newCtr_T_14;
+    4073             :       end
+    4074           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h3D) begin
+    4075           0 :         if (_newCtr_T_9)
+    4076           0 :           useAltOnNaCtrs_1_61 <= 4'hF;
+    4077           0 :         else if (_newCtr_T_11)
+    4078           0 :           useAltOnNaCtrs_1_61 <= 4'h0;
+    4079           0 :         else if (updateAltCorrect_1)
+    4080           0 :           useAltOnNaCtrs_1_61 <= _newCtr_T_12;
+    4081             :         else
+    4082           0 :           useAltOnNaCtrs_1_61 <= _newCtr_T_14;
+    4083             :       end
+    4084           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h3E) begin
+    4085           0 :         if (_newCtr_T_9)
+    4086           0 :           useAltOnNaCtrs_1_62 <= 4'hF;
+    4087           0 :         else if (_newCtr_T_11)
+    4088           0 :           useAltOnNaCtrs_1_62 <= 4'h0;
+    4089           0 :         else if (updateAltCorrect_1)
+    4090           0 :           useAltOnNaCtrs_1_62 <= _newCtr_T_12;
+    4091             :         else
+    4092           0 :           useAltOnNaCtrs_1_62 <= _newCtr_T_14;
+    4093             :       end
+    4094           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h3F) begin
+    4095           0 :         if (_newCtr_T_9)
+    4096           0 :           useAltOnNaCtrs_1_63 <= 4'hF;
+    4097           0 :         else if (_newCtr_T_11)
+    4098           0 :           useAltOnNaCtrs_1_63 <= 4'h0;
+    4099           0 :         else if (updateAltCorrect_1)
+    4100           0 :           useAltOnNaCtrs_1_63 <= _newCtr_T_12;
+    4101             :         else
+    4102           0 :           useAltOnNaCtrs_1_63 <= _newCtr_T_14;
+    4103             :       end
+    4104           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h40) begin
+    4105           0 :         if (_newCtr_T_9)
+    4106           0 :           useAltOnNaCtrs_1_64 <= 4'hF;
+    4107           0 :         else if (_newCtr_T_11)
+    4108           0 :           useAltOnNaCtrs_1_64 <= 4'h0;
+    4109           0 :         else if (updateAltCorrect_1)
+    4110           0 :           useAltOnNaCtrs_1_64 <= _newCtr_T_12;
+    4111             :         else
+    4112           0 :           useAltOnNaCtrs_1_64 <= _newCtr_T_14;
+    4113             :       end
+    4114           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h41) begin
+    4115           0 :         if (_newCtr_T_9)
+    4116           0 :           useAltOnNaCtrs_1_65 <= 4'hF;
+    4117           0 :         else if (_newCtr_T_11)
+    4118           0 :           useAltOnNaCtrs_1_65 <= 4'h0;
+    4119           0 :         else if (updateAltCorrect_1)
+    4120           0 :           useAltOnNaCtrs_1_65 <= _newCtr_T_12;
+    4121             :         else
+    4122           0 :           useAltOnNaCtrs_1_65 <= _newCtr_T_14;
+    4123             :       end
+    4124           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h42) begin
+    4125           0 :         if (_newCtr_T_9)
+    4126           0 :           useAltOnNaCtrs_1_66 <= 4'hF;
+    4127           0 :         else if (_newCtr_T_11)
+    4128           0 :           useAltOnNaCtrs_1_66 <= 4'h0;
+    4129           0 :         else if (updateAltCorrect_1)
+    4130           0 :           useAltOnNaCtrs_1_66 <= _newCtr_T_12;
+    4131             :         else
+    4132           0 :           useAltOnNaCtrs_1_66 <= _newCtr_T_14;
+    4133             :       end
+    4134           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h43) begin
+    4135           0 :         if (_newCtr_T_9)
+    4136           0 :           useAltOnNaCtrs_1_67 <= 4'hF;
+    4137           0 :         else if (_newCtr_T_11)
+    4138           0 :           useAltOnNaCtrs_1_67 <= 4'h0;
+    4139           0 :         else if (updateAltCorrect_1)
+    4140           0 :           useAltOnNaCtrs_1_67 <= _newCtr_T_12;
+    4141             :         else
+    4142           0 :           useAltOnNaCtrs_1_67 <= _newCtr_T_14;
+    4143             :       end
+    4144           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h44) begin
+    4145           0 :         if (_newCtr_T_9)
+    4146           0 :           useAltOnNaCtrs_1_68 <= 4'hF;
+    4147           0 :         else if (_newCtr_T_11)
+    4148           0 :           useAltOnNaCtrs_1_68 <= 4'h0;
+    4149           0 :         else if (updateAltCorrect_1)
+    4150           0 :           useAltOnNaCtrs_1_68 <= _newCtr_T_12;
+    4151             :         else
+    4152           0 :           useAltOnNaCtrs_1_68 <= _newCtr_T_14;
+    4153             :       end
+    4154           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h45) begin
+    4155           0 :         if (_newCtr_T_9)
+    4156           0 :           useAltOnNaCtrs_1_69 <= 4'hF;
+    4157           0 :         else if (_newCtr_T_11)
+    4158           0 :           useAltOnNaCtrs_1_69 <= 4'h0;
+    4159           0 :         else if (updateAltCorrect_1)
+    4160           0 :           useAltOnNaCtrs_1_69 <= _newCtr_T_12;
+    4161             :         else
+    4162           0 :           useAltOnNaCtrs_1_69 <= _newCtr_T_14;
+    4163             :       end
+    4164           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h46) begin
+    4165           0 :         if (_newCtr_T_9)
+    4166           0 :           useAltOnNaCtrs_1_70 <= 4'hF;
+    4167           0 :         else if (_newCtr_T_11)
+    4168           0 :           useAltOnNaCtrs_1_70 <= 4'h0;
+    4169           0 :         else if (updateAltCorrect_1)
+    4170           0 :           useAltOnNaCtrs_1_70 <= _newCtr_T_12;
+    4171             :         else
+    4172           0 :           useAltOnNaCtrs_1_70 <= _newCtr_T_14;
+    4173             :       end
+    4174           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h47) begin
+    4175           0 :         if (_newCtr_T_9)
+    4176           0 :           useAltOnNaCtrs_1_71 <= 4'hF;
+    4177           0 :         else if (_newCtr_T_11)
+    4178           0 :           useAltOnNaCtrs_1_71 <= 4'h0;
+    4179           0 :         else if (updateAltCorrect_1)
+    4180           0 :           useAltOnNaCtrs_1_71 <= _newCtr_T_12;
+    4181             :         else
+    4182           0 :           useAltOnNaCtrs_1_71 <= _newCtr_T_14;
+    4183             :       end
+    4184           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h48) begin
+    4185           0 :         if (_newCtr_T_9)
+    4186           0 :           useAltOnNaCtrs_1_72 <= 4'hF;
+    4187           0 :         else if (_newCtr_T_11)
+    4188           0 :           useAltOnNaCtrs_1_72 <= 4'h0;
+    4189           0 :         else if (updateAltCorrect_1)
+    4190           0 :           useAltOnNaCtrs_1_72 <= _newCtr_T_12;
+    4191             :         else
+    4192           0 :           useAltOnNaCtrs_1_72 <= _newCtr_T_14;
+    4193             :       end
+    4194           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h49) begin
+    4195           0 :         if (_newCtr_T_9)
+    4196           0 :           useAltOnNaCtrs_1_73 <= 4'hF;
+    4197           0 :         else if (_newCtr_T_11)
+    4198           0 :           useAltOnNaCtrs_1_73 <= 4'h0;
+    4199           0 :         else if (updateAltCorrect_1)
+    4200           0 :           useAltOnNaCtrs_1_73 <= _newCtr_T_12;
+    4201             :         else
+    4202           0 :           useAltOnNaCtrs_1_73 <= _newCtr_T_14;
+    4203             :       end
+    4204           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h4A) begin
+    4205           0 :         if (_newCtr_T_9)
+    4206           0 :           useAltOnNaCtrs_1_74 <= 4'hF;
+    4207           0 :         else if (_newCtr_T_11)
+    4208           0 :           useAltOnNaCtrs_1_74 <= 4'h0;
+    4209           0 :         else if (updateAltCorrect_1)
+    4210           0 :           useAltOnNaCtrs_1_74 <= _newCtr_T_12;
+    4211             :         else
+    4212           0 :           useAltOnNaCtrs_1_74 <= _newCtr_T_14;
+    4213             :       end
+    4214           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h4B) begin
+    4215           0 :         if (_newCtr_T_9)
+    4216           0 :           useAltOnNaCtrs_1_75 <= 4'hF;
+    4217           0 :         else if (_newCtr_T_11)
+    4218           0 :           useAltOnNaCtrs_1_75 <= 4'h0;
+    4219           0 :         else if (updateAltCorrect_1)
+    4220           0 :           useAltOnNaCtrs_1_75 <= _newCtr_T_12;
+    4221             :         else
+    4222           0 :           useAltOnNaCtrs_1_75 <= _newCtr_T_14;
+    4223             :       end
+    4224           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h4C) begin
+    4225           0 :         if (_newCtr_T_9)
+    4226           0 :           useAltOnNaCtrs_1_76 <= 4'hF;
+    4227           0 :         else if (_newCtr_T_11)
+    4228           0 :           useAltOnNaCtrs_1_76 <= 4'h0;
+    4229           0 :         else if (updateAltCorrect_1)
+    4230           0 :           useAltOnNaCtrs_1_76 <= _newCtr_T_12;
+    4231             :         else
+    4232           0 :           useAltOnNaCtrs_1_76 <= _newCtr_T_14;
+    4233             :       end
+    4234           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h4D) begin
+    4235           0 :         if (_newCtr_T_9)
+    4236           0 :           useAltOnNaCtrs_1_77 <= 4'hF;
+    4237           0 :         else if (_newCtr_T_11)
+    4238           0 :           useAltOnNaCtrs_1_77 <= 4'h0;
+    4239           0 :         else if (updateAltCorrect_1)
+    4240           0 :           useAltOnNaCtrs_1_77 <= _newCtr_T_12;
+    4241             :         else
+    4242           0 :           useAltOnNaCtrs_1_77 <= _newCtr_T_14;
+    4243             :       end
+    4244           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h4E) begin
+    4245           0 :         if (_newCtr_T_9)
+    4246           0 :           useAltOnNaCtrs_1_78 <= 4'hF;
+    4247           0 :         else if (_newCtr_T_11)
+    4248           0 :           useAltOnNaCtrs_1_78 <= 4'h0;
+    4249           0 :         else if (updateAltCorrect_1)
+    4250           0 :           useAltOnNaCtrs_1_78 <= _newCtr_T_12;
+    4251             :         else
+    4252           0 :           useAltOnNaCtrs_1_78 <= _newCtr_T_14;
+    4253             :       end
+    4254           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h4F) begin
+    4255           0 :         if (_newCtr_T_9)
+    4256           0 :           useAltOnNaCtrs_1_79 <= 4'hF;
+    4257           0 :         else if (_newCtr_T_11)
+    4258           0 :           useAltOnNaCtrs_1_79 <= 4'h0;
+    4259           0 :         else if (updateAltCorrect_1)
+    4260           0 :           useAltOnNaCtrs_1_79 <= _newCtr_T_12;
+    4261             :         else
+    4262           0 :           useAltOnNaCtrs_1_79 <= _newCtr_T_14;
+    4263             :       end
+    4264           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h50) begin
+    4265           0 :         if (_newCtr_T_9)
+    4266           0 :           useAltOnNaCtrs_1_80 <= 4'hF;
+    4267           0 :         else if (_newCtr_T_11)
+    4268           0 :           useAltOnNaCtrs_1_80 <= 4'h0;
+    4269           0 :         else if (updateAltCorrect_1)
+    4270           0 :           useAltOnNaCtrs_1_80 <= _newCtr_T_12;
+    4271             :         else
+    4272           0 :           useAltOnNaCtrs_1_80 <= _newCtr_T_14;
+    4273             :       end
+    4274           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h51) begin
+    4275           0 :         if (_newCtr_T_9)
+    4276           0 :           useAltOnNaCtrs_1_81 <= 4'hF;
+    4277           0 :         else if (_newCtr_T_11)
+    4278           0 :           useAltOnNaCtrs_1_81 <= 4'h0;
+    4279           0 :         else if (updateAltCorrect_1)
+    4280           0 :           useAltOnNaCtrs_1_81 <= _newCtr_T_12;
+    4281             :         else
+    4282           0 :           useAltOnNaCtrs_1_81 <= _newCtr_T_14;
+    4283             :       end
+    4284           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h52) begin
+    4285           0 :         if (_newCtr_T_9)
+    4286           0 :           useAltOnNaCtrs_1_82 <= 4'hF;
+    4287           0 :         else if (_newCtr_T_11)
+    4288           0 :           useAltOnNaCtrs_1_82 <= 4'h0;
+    4289           0 :         else if (updateAltCorrect_1)
+    4290           0 :           useAltOnNaCtrs_1_82 <= _newCtr_T_12;
+    4291             :         else
+    4292           0 :           useAltOnNaCtrs_1_82 <= _newCtr_T_14;
+    4293             :       end
+    4294           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h53) begin
+    4295           0 :         if (_newCtr_T_9)
+    4296           0 :           useAltOnNaCtrs_1_83 <= 4'hF;
+    4297           0 :         else if (_newCtr_T_11)
+    4298           0 :           useAltOnNaCtrs_1_83 <= 4'h0;
+    4299           0 :         else if (updateAltCorrect_1)
+    4300           0 :           useAltOnNaCtrs_1_83 <= _newCtr_T_12;
+    4301             :         else
+    4302           0 :           useAltOnNaCtrs_1_83 <= _newCtr_T_14;
+    4303             :       end
+    4304           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h54) begin
+    4305           0 :         if (_newCtr_T_9)
+    4306           0 :           useAltOnNaCtrs_1_84 <= 4'hF;
+    4307           0 :         else if (_newCtr_T_11)
+    4308           0 :           useAltOnNaCtrs_1_84 <= 4'h0;
+    4309           0 :         else if (updateAltCorrect_1)
+    4310           0 :           useAltOnNaCtrs_1_84 <= _newCtr_T_12;
+    4311             :         else
+    4312           0 :           useAltOnNaCtrs_1_84 <= _newCtr_T_14;
+    4313             :       end
+    4314           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h55) begin
+    4315           0 :         if (_newCtr_T_9)
+    4316           0 :           useAltOnNaCtrs_1_85 <= 4'hF;
+    4317           0 :         else if (_newCtr_T_11)
+    4318           0 :           useAltOnNaCtrs_1_85 <= 4'h0;
+    4319           0 :         else if (updateAltCorrect_1)
+    4320           0 :           useAltOnNaCtrs_1_85 <= _newCtr_T_12;
+    4321             :         else
+    4322           0 :           useAltOnNaCtrs_1_85 <= _newCtr_T_14;
+    4323             :       end
+    4324           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h56) begin
+    4325           0 :         if (_newCtr_T_9)
+    4326           0 :           useAltOnNaCtrs_1_86 <= 4'hF;
+    4327           0 :         else if (_newCtr_T_11)
+    4328           0 :           useAltOnNaCtrs_1_86 <= 4'h0;
+    4329           0 :         else if (updateAltCorrect_1)
+    4330           0 :           useAltOnNaCtrs_1_86 <= _newCtr_T_12;
+    4331             :         else
+    4332           0 :           useAltOnNaCtrs_1_86 <= _newCtr_T_14;
+    4333             :       end
+    4334           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h57) begin
+    4335           0 :         if (_newCtr_T_9)
+    4336           0 :           useAltOnNaCtrs_1_87 <= 4'hF;
+    4337           0 :         else if (_newCtr_T_11)
+    4338           0 :           useAltOnNaCtrs_1_87 <= 4'h0;
+    4339           0 :         else if (updateAltCorrect_1)
+    4340           0 :           useAltOnNaCtrs_1_87 <= _newCtr_T_12;
+    4341             :         else
+    4342           0 :           useAltOnNaCtrs_1_87 <= _newCtr_T_14;
+    4343             :       end
+    4344           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h58) begin
+    4345           0 :         if (_newCtr_T_9)
+    4346           0 :           useAltOnNaCtrs_1_88 <= 4'hF;
+    4347           0 :         else if (_newCtr_T_11)
+    4348           0 :           useAltOnNaCtrs_1_88 <= 4'h0;
+    4349           0 :         else if (updateAltCorrect_1)
+    4350           0 :           useAltOnNaCtrs_1_88 <= _newCtr_T_12;
+    4351             :         else
+    4352           0 :           useAltOnNaCtrs_1_88 <= _newCtr_T_14;
+    4353             :       end
+    4354           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h59) begin
+    4355           0 :         if (_newCtr_T_9)
+    4356           0 :           useAltOnNaCtrs_1_89 <= 4'hF;
+    4357           0 :         else if (_newCtr_T_11)
+    4358           0 :           useAltOnNaCtrs_1_89 <= 4'h0;
+    4359           0 :         else if (updateAltCorrect_1)
+    4360           0 :           useAltOnNaCtrs_1_89 <= _newCtr_T_12;
+    4361             :         else
+    4362           0 :           useAltOnNaCtrs_1_89 <= _newCtr_T_14;
+    4363             :       end
+    4364           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h5A) begin
+    4365           0 :         if (_newCtr_T_9)
+    4366           0 :           useAltOnNaCtrs_1_90 <= 4'hF;
+    4367           0 :         else if (_newCtr_T_11)
+    4368           0 :           useAltOnNaCtrs_1_90 <= 4'h0;
+    4369           0 :         else if (updateAltCorrect_1)
+    4370           0 :           useAltOnNaCtrs_1_90 <= _newCtr_T_12;
+    4371             :         else
+    4372           0 :           useAltOnNaCtrs_1_90 <= _newCtr_T_14;
+    4373             :       end
+    4374           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h5B) begin
+    4375           0 :         if (_newCtr_T_9)
+    4376           0 :           useAltOnNaCtrs_1_91 <= 4'hF;
+    4377           0 :         else if (_newCtr_T_11)
+    4378           0 :           useAltOnNaCtrs_1_91 <= 4'h0;
+    4379           0 :         else if (updateAltCorrect_1)
+    4380           0 :           useAltOnNaCtrs_1_91 <= _newCtr_T_12;
+    4381             :         else
+    4382           0 :           useAltOnNaCtrs_1_91 <= _newCtr_T_14;
+    4383             :       end
+    4384           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h5C) begin
+    4385           0 :         if (_newCtr_T_9)
+    4386           0 :           useAltOnNaCtrs_1_92 <= 4'hF;
+    4387           0 :         else if (_newCtr_T_11)
+    4388           0 :           useAltOnNaCtrs_1_92 <= 4'h0;
+    4389           0 :         else if (updateAltCorrect_1)
+    4390           0 :           useAltOnNaCtrs_1_92 <= _newCtr_T_12;
+    4391             :         else
+    4392           0 :           useAltOnNaCtrs_1_92 <= _newCtr_T_14;
+    4393             :       end
+    4394           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h5D) begin
+    4395           0 :         if (_newCtr_T_9)
+    4396           0 :           useAltOnNaCtrs_1_93 <= 4'hF;
+    4397           0 :         else if (_newCtr_T_11)
+    4398           0 :           useAltOnNaCtrs_1_93 <= 4'h0;
+    4399           0 :         else if (updateAltCorrect_1)
+    4400           0 :           useAltOnNaCtrs_1_93 <= _newCtr_T_12;
+    4401             :         else
+    4402           0 :           useAltOnNaCtrs_1_93 <= _newCtr_T_14;
+    4403             :       end
+    4404           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h5E) begin
+    4405           0 :         if (_newCtr_T_9)
+    4406           0 :           useAltOnNaCtrs_1_94 <= 4'hF;
+    4407           0 :         else if (_newCtr_T_11)
+    4408           0 :           useAltOnNaCtrs_1_94 <= 4'h0;
+    4409           0 :         else if (updateAltCorrect_1)
+    4410           0 :           useAltOnNaCtrs_1_94 <= _newCtr_T_12;
+    4411             :         else
+    4412           0 :           useAltOnNaCtrs_1_94 <= _newCtr_T_14;
+    4413             :       end
+    4414           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h5F) begin
+    4415           0 :         if (_newCtr_T_9)
+    4416           0 :           useAltOnNaCtrs_1_95 <= 4'hF;
+    4417           0 :         else if (_newCtr_T_11)
+    4418           0 :           useAltOnNaCtrs_1_95 <= 4'h0;
+    4419           0 :         else if (updateAltCorrect_1)
+    4420           0 :           useAltOnNaCtrs_1_95 <= _newCtr_T_12;
+    4421             :         else
+    4422           0 :           useAltOnNaCtrs_1_95 <= _newCtr_T_14;
+    4423             :       end
+    4424           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h60) begin
+    4425           0 :         if (_newCtr_T_9)
+    4426           0 :           useAltOnNaCtrs_1_96 <= 4'hF;
+    4427           0 :         else if (_newCtr_T_11)
+    4428           0 :           useAltOnNaCtrs_1_96 <= 4'h0;
+    4429           0 :         else if (updateAltCorrect_1)
+    4430           0 :           useAltOnNaCtrs_1_96 <= _newCtr_T_12;
+    4431             :         else
+    4432           0 :           useAltOnNaCtrs_1_96 <= _newCtr_T_14;
+    4433             :       end
+    4434           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h61) begin
+    4435           0 :         if (_newCtr_T_9)
+    4436           0 :           useAltOnNaCtrs_1_97 <= 4'hF;
+    4437           0 :         else if (_newCtr_T_11)
+    4438           0 :           useAltOnNaCtrs_1_97 <= 4'h0;
+    4439           0 :         else if (updateAltCorrect_1)
+    4440           0 :           useAltOnNaCtrs_1_97 <= _newCtr_T_12;
+    4441             :         else
+    4442           0 :           useAltOnNaCtrs_1_97 <= _newCtr_T_14;
+    4443             :       end
+    4444           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h62) begin
+    4445           0 :         if (_newCtr_T_9)
+    4446           0 :           useAltOnNaCtrs_1_98 <= 4'hF;
+    4447           0 :         else if (_newCtr_T_11)
+    4448           0 :           useAltOnNaCtrs_1_98 <= 4'h0;
+    4449           0 :         else if (updateAltCorrect_1)
+    4450           0 :           useAltOnNaCtrs_1_98 <= _newCtr_T_12;
+    4451             :         else
+    4452           0 :           useAltOnNaCtrs_1_98 <= _newCtr_T_14;
+    4453             :       end
+    4454           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h63) begin
+    4455           0 :         if (_newCtr_T_9)
+    4456           0 :           useAltOnNaCtrs_1_99 <= 4'hF;
+    4457           0 :         else if (_newCtr_T_11)
+    4458           0 :           useAltOnNaCtrs_1_99 <= 4'h0;
+    4459           0 :         else if (updateAltCorrect_1)
+    4460           0 :           useAltOnNaCtrs_1_99 <= _newCtr_T_12;
+    4461             :         else
+    4462           0 :           useAltOnNaCtrs_1_99 <= _newCtr_T_14;
+    4463             :       end
+    4464           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h64) begin
+    4465           0 :         if (_newCtr_T_9)
+    4466           0 :           useAltOnNaCtrs_1_100 <= 4'hF;
+    4467           0 :         else if (_newCtr_T_11)
+    4468           0 :           useAltOnNaCtrs_1_100 <= 4'h0;
+    4469           0 :         else if (updateAltCorrect_1)
+    4470           0 :           useAltOnNaCtrs_1_100 <= _newCtr_T_12;
+    4471             :         else
+    4472           0 :           useAltOnNaCtrs_1_100 <= _newCtr_T_14;
+    4473             :       end
+    4474           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h65) begin
+    4475           0 :         if (_newCtr_T_9)
+    4476           0 :           useAltOnNaCtrs_1_101 <= 4'hF;
+    4477           0 :         else if (_newCtr_T_11)
+    4478           0 :           useAltOnNaCtrs_1_101 <= 4'h0;
+    4479           0 :         else if (updateAltCorrect_1)
+    4480           0 :           useAltOnNaCtrs_1_101 <= _newCtr_T_12;
+    4481             :         else
+    4482           0 :           useAltOnNaCtrs_1_101 <= _newCtr_T_14;
+    4483             :       end
+    4484           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h66) begin
+    4485           0 :         if (_newCtr_T_9)
+    4486           0 :           useAltOnNaCtrs_1_102 <= 4'hF;
+    4487           0 :         else if (_newCtr_T_11)
+    4488           0 :           useAltOnNaCtrs_1_102 <= 4'h0;
+    4489           0 :         else if (updateAltCorrect_1)
+    4490           0 :           useAltOnNaCtrs_1_102 <= _newCtr_T_12;
+    4491             :         else
+    4492           0 :           useAltOnNaCtrs_1_102 <= _newCtr_T_14;
+    4493             :       end
+    4494           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h67) begin
+    4495           0 :         if (_newCtr_T_9)
+    4496           0 :           useAltOnNaCtrs_1_103 <= 4'hF;
+    4497           0 :         else if (_newCtr_T_11)
+    4498           0 :           useAltOnNaCtrs_1_103 <= 4'h0;
+    4499           0 :         else if (updateAltCorrect_1)
+    4500           0 :           useAltOnNaCtrs_1_103 <= _newCtr_T_12;
+    4501             :         else
+    4502           0 :           useAltOnNaCtrs_1_103 <= _newCtr_T_14;
+    4503             :       end
+    4504           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h68) begin
+    4505           0 :         if (_newCtr_T_9)
+    4506           0 :           useAltOnNaCtrs_1_104 <= 4'hF;
+    4507           0 :         else if (_newCtr_T_11)
+    4508           0 :           useAltOnNaCtrs_1_104 <= 4'h0;
+    4509           0 :         else if (updateAltCorrect_1)
+    4510           0 :           useAltOnNaCtrs_1_104 <= _newCtr_T_12;
+    4511             :         else
+    4512           0 :           useAltOnNaCtrs_1_104 <= _newCtr_T_14;
+    4513             :       end
+    4514           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h69) begin
+    4515           0 :         if (_newCtr_T_9)
+    4516           0 :           useAltOnNaCtrs_1_105 <= 4'hF;
+    4517           0 :         else if (_newCtr_T_11)
+    4518           0 :           useAltOnNaCtrs_1_105 <= 4'h0;
+    4519           0 :         else if (updateAltCorrect_1)
+    4520           0 :           useAltOnNaCtrs_1_105 <= _newCtr_T_12;
+    4521             :         else
+    4522           0 :           useAltOnNaCtrs_1_105 <= _newCtr_T_14;
+    4523             :       end
+    4524           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h6A) begin
+    4525           0 :         if (_newCtr_T_9)
+    4526           0 :           useAltOnNaCtrs_1_106 <= 4'hF;
+    4527           0 :         else if (_newCtr_T_11)
+    4528           0 :           useAltOnNaCtrs_1_106 <= 4'h0;
+    4529           0 :         else if (updateAltCorrect_1)
+    4530           0 :           useAltOnNaCtrs_1_106 <= _newCtr_T_12;
+    4531             :         else
+    4532           0 :           useAltOnNaCtrs_1_106 <= _newCtr_T_14;
+    4533             :       end
+    4534           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h6B) begin
+    4535           0 :         if (_newCtr_T_9)
+    4536           0 :           useAltOnNaCtrs_1_107 <= 4'hF;
+    4537           0 :         else if (_newCtr_T_11)
+    4538           0 :           useAltOnNaCtrs_1_107 <= 4'h0;
+    4539           0 :         else if (updateAltCorrect_1)
+    4540           0 :           useAltOnNaCtrs_1_107 <= _newCtr_T_12;
+    4541             :         else
+    4542           0 :           useAltOnNaCtrs_1_107 <= _newCtr_T_14;
+    4543             :       end
+    4544           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h6C) begin
+    4545           0 :         if (_newCtr_T_9)
+    4546           0 :           useAltOnNaCtrs_1_108 <= 4'hF;
+    4547           0 :         else if (_newCtr_T_11)
+    4548           0 :           useAltOnNaCtrs_1_108 <= 4'h0;
+    4549           0 :         else if (updateAltCorrect_1)
+    4550           0 :           useAltOnNaCtrs_1_108 <= _newCtr_T_12;
+    4551             :         else
+    4552           0 :           useAltOnNaCtrs_1_108 <= _newCtr_T_14;
+    4553             :       end
+    4554           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h6D) begin
+    4555           0 :         if (_newCtr_T_9)
+    4556           0 :           useAltOnNaCtrs_1_109 <= 4'hF;
+    4557           0 :         else if (_newCtr_T_11)
+    4558           0 :           useAltOnNaCtrs_1_109 <= 4'h0;
+    4559           0 :         else if (updateAltCorrect_1)
+    4560           0 :           useAltOnNaCtrs_1_109 <= _newCtr_T_12;
+    4561             :         else
+    4562           0 :           useAltOnNaCtrs_1_109 <= _newCtr_T_14;
+    4563             :       end
+    4564           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h6E) begin
+    4565           0 :         if (_newCtr_T_9)
+    4566           0 :           useAltOnNaCtrs_1_110 <= 4'hF;
+    4567           0 :         else if (_newCtr_T_11)
+    4568           0 :           useAltOnNaCtrs_1_110 <= 4'h0;
+    4569           0 :         else if (updateAltCorrect_1)
+    4570           0 :           useAltOnNaCtrs_1_110 <= _newCtr_T_12;
+    4571             :         else
+    4572           0 :           useAltOnNaCtrs_1_110 <= _newCtr_T_14;
+    4573             :       end
+    4574           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h6F) begin
+    4575           0 :         if (_newCtr_T_9)
+    4576           0 :           useAltOnNaCtrs_1_111 <= 4'hF;
+    4577           0 :         else if (_newCtr_T_11)
+    4578           0 :           useAltOnNaCtrs_1_111 <= 4'h0;
+    4579           0 :         else if (updateAltCorrect_1)
+    4580           0 :           useAltOnNaCtrs_1_111 <= _newCtr_T_12;
+    4581             :         else
+    4582           0 :           useAltOnNaCtrs_1_111 <= _newCtr_T_14;
+    4583             :       end
+    4584           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h70) begin
+    4585           0 :         if (_newCtr_T_9)
+    4586           0 :           useAltOnNaCtrs_1_112 <= 4'hF;
+    4587           0 :         else if (_newCtr_T_11)
+    4588           0 :           useAltOnNaCtrs_1_112 <= 4'h0;
+    4589           0 :         else if (updateAltCorrect_1)
+    4590           0 :           useAltOnNaCtrs_1_112 <= _newCtr_T_12;
+    4591             :         else
+    4592           0 :           useAltOnNaCtrs_1_112 <= _newCtr_T_14;
+    4593             :       end
+    4594           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h71) begin
+    4595           0 :         if (_newCtr_T_9)
+    4596           0 :           useAltOnNaCtrs_1_113 <= 4'hF;
+    4597           0 :         else if (_newCtr_T_11)
+    4598           0 :           useAltOnNaCtrs_1_113 <= 4'h0;
+    4599           0 :         else if (updateAltCorrect_1)
+    4600           0 :           useAltOnNaCtrs_1_113 <= _newCtr_T_12;
+    4601             :         else
+    4602           0 :           useAltOnNaCtrs_1_113 <= _newCtr_T_14;
+    4603             :       end
+    4604           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h72) begin
+    4605           0 :         if (_newCtr_T_9)
+    4606           0 :           useAltOnNaCtrs_1_114 <= 4'hF;
+    4607           0 :         else if (_newCtr_T_11)
+    4608           0 :           useAltOnNaCtrs_1_114 <= 4'h0;
+    4609           0 :         else if (updateAltCorrect_1)
+    4610           0 :           useAltOnNaCtrs_1_114 <= _newCtr_T_12;
+    4611             :         else
+    4612           0 :           useAltOnNaCtrs_1_114 <= _newCtr_T_14;
+    4613             :       end
+    4614           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h73) begin
+    4615           0 :         if (_newCtr_T_9)
+    4616           0 :           useAltOnNaCtrs_1_115 <= 4'hF;
+    4617           0 :         else if (_newCtr_T_11)
+    4618           0 :           useAltOnNaCtrs_1_115 <= 4'h0;
+    4619           0 :         else if (updateAltCorrect_1)
+    4620           0 :           useAltOnNaCtrs_1_115 <= _newCtr_T_12;
+    4621             :         else
+    4622           0 :           useAltOnNaCtrs_1_115 <= _newCtr_T_14;
+    4623             :       end
+    4624           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h74) begin
+    4625           0 :         if (_newCtr_T_9)
+    4626           0 :           useAltOnNaCtrs_1_116 <= 4'hF;
+    4627           0 :         else if (_newCtr_T_11)
+    4628           0 :           useAltOnNaCtrs_1_116 <= 4'h0;
+    4629           0 :         else if (updateAltCorrect_1)
+    4630           0 :           useAltOnNaCtrs_1_116 <= _newCtr_T_12;
+    4631             :         else
+    4632           0 :           useAltOnNaCtrs_1_116 <= _newCtr_T_14;
+    4633             :       end
+    4634           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h75) begin
+    4635           0 :         if (_newCtr_T_9)
+    4636           0 :           useAltOnNaCtrs_1_117 <= 4'hF;
+    4637           0 :         else if (_newCtr_T_11)
+    4638           0 :           useAltOnNaCtrs_1_117 <= 4'h0;
+    4639           0 :         else if (updateAltCorrect_1)
+    4640           0 :           useAltOnNaCtrs_1_117 <= _newCtr_T_12;
+    4641             :         else
+    4642           0 :           useAltOnNaCtrs_1_117 <= _newCtr_T_14;
+    4643             :       end
+    4644           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h76) begin
+    4645           0 :         if (_newCtr_T_9)
+    4646           0 :           useAltOnNaCtrs_1_118 <= 4'hF;
+    4647           0 :         else if (_newCtr_T_11)
+    4648           0 :           useAltOnNaCtrs_1_118 <= 4'h0;
+    4649           0 :         else if (updateAltCorrect_1)
+    4650           0 :           useAltOnNaCtrs_1_118 <= _newCtr_T_12;
+    4651             :         else
+    4652           0 :           useAltOnNaCtrs_1_118 <= _newCtr_T_14;
+    4653             :       end
+    4654           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h77) begin
+    4655           0 :         if (_newCtr_T_9)
+    4656           0 :           useAltOnNaCtrs_1_119 <= 4'hF;
+    4657           0 :         else if (_newCtr_T_11)
+    4658           0 :           useAltOnNaCtrs_1_119 <= 4'h0;
+    4659           0 :         else if (updateAltCorrect_1)
+    4660           0 :           useAltOnNaCtrs_1_119 <= _newCtr_T_12;
+    4661             :         else
+    4662           0 :           useAltOnNaCtrs_1_119 <= _newCtr_T_14;
+    4663             :       end
+    4664           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h78) begin
+    4665           0 :         if (_newCtr_T_9)
+    4666           0 :           useAltOnNaCtrs_1_120 <= 4'hF;
+    4667           0 :         else if (_newCtr_T_11)
+    4668           0 :           useAltOnNaCtrs_1_120 <= 4'h0;
+    4669           0 :         else if (updateAltCorrect_1)
+    4670           0 :           useAltOnNaCtrs_1_120 <= _newCtr_T_12;
+    4671             :         else
+    4672           0 :           useAltOnNaCtrs_1_120 <= _newCtr_T_14;
+    4673             :       end
+    4674           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h79) begin
+    4675           0 :         if (_newCtr_T_9)
+    4676           0 :           useAltOnNaCtrs_1_121 <= 4'hF;
+    4677           0 :         else if (_newCtr_T_11)
+    4678           0 :           useAltOnNaCtrs_1_121 <= 4'h0;
+    4679           0 :         else if (updateAltCorrect_1)
+    4680           0 :           useAltOnNaCtrs_1_121 <= _newCtr_T_12;
+    4681             :         else
+    4682           0 :           useAltOnNaCtrs_1_121 <= _newCtr_T_14;
+    4683             :       end
+    4684           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h7A) begin
+    4685           0 :         if (_newCtr_T_9)
+    4686           0 :           useAltOnNaCtrs_1_122 <= 4'hF;
+    4687           0 :         else if (_newCtr_T_11)
+    4688           0 :           useAltOnNaCtrs_1_122 <= 4'h0;
+    4689           0 :         else if (updateAltCorrect_1)
+    4690           0 :           useAltOnNaCtrs_1_122 <= _newCtr_T_12;
+    4691             :         else
+    4692           0 :           useAltOnNaCtrs_1_122 <= _newCtr_T_14;
+    4693             :       end
+    4694           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h7B) begin
+    4695           0 :         if (_newCtr_T_9)
+    4696           0 :           useAltOnNaCtrs_1_123 <= 4'hF;
+    4697           0 :         else if (_newCtr_T_11)
+    4698           0 :           useAltOnNaCtrs_1_123 <= 4'h0;
+    4699           0 :         else if (updateAltCorrect_1)
+    4700           0 :           useAltOnNaCtrs_1_123 <= _newCtr_T_12;
+    4701             :         else
+    4702           0 :           useAltOnNaCtrs_1_123 <= _newCtr_T_14;
+    4703             :       end
+    4704           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h7C) begin
+    4705           0 :         if (_newCtr_T_9)
+    4706           0 :           useAltOnNaCtrs_1_124 <= 4'hF;
+    4707           0 :         else if (_newCtr_T_11)
+    4708           0 :           useAltOnNaCtrs_1_124 <= 4'h0;
+    4709           0 :         else if (updateAltCorrect_1)
+    4710           0 :           useAltOnNaCtrs_1_124 <= _newCtr_T_12;
+    4711             :         else
+    4712           0 :           useAltOnNaCtrs_1_124 <= _newCtr_T_14;
+    4713             :       end
+    4714           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h7D) begin
+    4715           0 :         if (_newCtr_T_9)
+    4716           0 :           useAltOnNaCtrs_1_125 <= 4'hF;
+    4717           0 :         else if (_newCtr_T_11)
+    4718           0 :           useAltOnNaCtrs_1_125 <= 4'h0;
+    4719           0 :         else if (updateAltCorrect_1)
+    4720           0 :           useAltOnNaCtrs_1_125 <= _newCtr_T_12;
+    4721             :         else
+    4722           0 :           useAltOnNaCtrs_1_125 <= _newCtr_T_14;
+    4723             :       end
+    4724           0 :       if (updateValids_1 & _GEN_70 & io_update_bits_pc[7:1] == 7'h7E) begin
+    4725           0 :         if (_newCtr_T_9)
+    4726           0 :           useAltOnNaCtrs_1_126 <= 4'hF;
+    4727           0 :         else if (_newCtr_T_11)
+    4728           0 :           useAltOnNaCtrs_1_126 <= 4'h0;
+    4729           0 :         else if (updateAltCorrect_1)
+    4730           0 :           useAltOnNaCtrs_1_126 <= _newCtr_T_12;
+    4731             :         else
+    4732           0 :           useAltOnNaCtrs_1_126 <= _newCtr_T_14;
+    4733             :       end
+    4734           0 :       if (updateValids_1 & _GEN_70 & (&(io_update_bits_pc[7:1]))) begin
+    4735           0 :         if (_newCtr_T_9)
+    4736           0 :           useAltOnNaCtrs_1_127 <= 4'hF;
+    4737           0 :         else if (_newCtr_T_11)
+    4738           0 :           useAltOnNaCtrs_1_127 <= 4'h0;
+    4739           0 :         else if (updateAltCorrect_1)
+    4740           0 :           useAltOnNaCtrs_1_127 <= _newCtr_T_12;
+    4741             :         else
+    4742           0 :           useAltOnNaCtrs_1_127 <= _newCtr_T_14;
+    4743             :       end
+    4744           0 :       if (allocLFSR_lfsr == 64'h0)
+    4745           0 :         allocLFSR_lfsr <= 64'h1;
+    4746             :       else
+    4747       63729 :         allocLFSR_lfsr <=
+    4748       63729 :           {allocLFSR_lfsr[0] ^ allocLFSR_lfsr[1] ^ allocLFSR_lfsr[3] ^ allocLFSR_lfsr[4],
+    4749       63729 :            allocLFSR_lfsr[63:1]};
+    4750           0 :       if (allocLFSR_lfsr_1 == 64'h0)
+    4751           0 :         allocLFSR_lfsr_1 <= 64'h1;
+    4752             :       else
+    4753       63729 :         allocLFSR_lfsr_1 <=
+    4754       63729 :           {allocLFSR_lfsr_1[0] ^ allocLFSR_lfsr_1[1] ^ allocLFSR_lfsr_1[3]
+    4755       63729 :              ^ allocLFSR_lfsr_1[4],
+    4756       63729 :            allocLFSR_lfsr_1[63:1]};
+    4757           0 :       if (_GEN_1 & io_update_bits_meta[48] != io_update_bits_meta[52]
+    4758             :           & _sumAbs_T_4 >= {2'h0, 8'(scThresholds_0_thres - 8'h4)}
+    4759           0 :           & _sumAbs_T_4 <= {2'h0, 8'(scThresholds_0_thres - 8'h2)}) begin
+    4760           0 :         if ((&newThres_newCtr) | _newThres_res_ctr_T_4)
+    4761           0 :           scThresholds_0_ctr <= 5'h10;
+    4762           0 :         else if (_newThres_newCtr_T)
+    4763           0 :           scThresholds_0_ctr <= 5'h1F;
+    4764           0 :         else if (_newThres_newCtr_T_2)
+    4765           0 :           scThresholds_0_ctr <= 5'h0;
+    4766           0 :         else if (_GEN_67)
+    4767           0 :           scThresholds_0_ctr <= _newThres_newCtr_T_5;
+    4768             :         else
+    4769           0 :           scThresholds_0_ctr <= _newThres_newCtr_T_3;
+    4770           0 :         if ((&newThres_newCtr) & scThresholds_0_thres < 8'h20)
+    4771           0 :           scThresholds_0_thres <= 8'(scThresholds_0_thres + 8'h2);
+    4772           0 :         else if (_newThres_res_ctr_T_4 & scThresholds_0_thres > 8'h5)
+    4773           0 :           scThresholds_0_thres <= 8'(scThresholds_0_thres - 8'h2);
+    4774             :       end
+    4775           0 :       if (_GEN_2 & io_update_bits_meta[49] != io_update_bits_meta[53]
+    4776             :           & _sumAbs_T_9 >= {2'h0, 8'(scThresholds_1_thres - 8'h4)}
+    4777           0 :           & _sumAbs_T_9 <= {2'h0, 8'(scThresholds_1_thres - 8'h2)}) begin
+    4778           0 :         if ((&newThres_newCtr_1) | _newThres_res_ctr_T_11)
+    4779           0 :           scThresholds_1_ctr <= 5'h10;
+    4780           0 :         else if (_newThres_newCtr_T_9)
+    4781           0 :           scThresholds_1_ctr <= 5'h1F;
+    4782           0 :         else if (_newThres_newCtr_T_11)
+    4783           0 :           scThresholds_1_ctr <= 5'h0;
+    4784           0 :         else if (_GEN_68)
+    4785           0 :           scThresholds_1_ctr <= _newThres_newCtr_T_14;
+    4786             :         else
+    4787           0 :           scThresholds_1_ctr <= _newThres_newCtr_T_12;
+    4788           0 :         if ((&newThres_newCtr_1) & scThresholds_1_thres < 8'h20)
+    4789           0 :           scThresholds_1_thres <= 8'(scThresholds_1_thres + 8'h2);
+    4790           0 :         else if (_newThres_res_ctr_T_11 & scThresholds_1_thres > 8'h5)
+    4791           0 :           scThresholds_1_thres <= 8'(scThresholds_1_thres - 8'h2);
+    4792             :       end
+    4793             :     end
+    4794             :   end // always @(posedge, posedge)
+    4795             :   `ifdef ENABLE_INITIAL_REG_
+    4796             :     `ifdef FIRRTL_BEFORE_INITIAL
+    4797             :       `FIRRTL_BEFORE_INITIAL
+    4798             :     `endif // FIRRTL_BEFORE_INITIAL
+    4799             :     logic [31:0] _RANDOM[0:233];
+    4800          58 :     initial begin
+    4801             :       `ifdef INIT_RANDOM_PROLOG_
+    4802             :         `INIT_RANDOM_PROLOG_
+    4803             :       `endif // INIT_RANDOM_PROLOG_
+    4804             :       `ifdef RANDOMIZE_REG_INIT
+    4805             :         for (logic [7:0] i = 8'h0; i < 8'hEA; i += 8'h1) begin
+    4806             :           _RANDOM[i] = `RANDOM;
+    4807             :         end
+    4808             :         s1_pc_dup_0 = {_RANDOM[8'h0], _RANDOM[8'h1][8:0]};
+    4809             :         REG = _RANDOM[8'hF][12];
+    4810             :         REG_1 = _RANDOM[8'hF][13];
+    4811             :         bankTickCtrDistanceToTops_0 = _RANDOM[8'hF][20:14];
+    4812             :         bankTickCtrDistanceToTops_1 = _RANDOM[8'hF][27:21];
+    4813             :         bankTickCtrs_0 = {_RANDOM[8'hF][31:28], _RANDOM[8'h10][2:0]};
+    4814             :         bankTickCtrs_1 = _RANDOM[8'h10][9:3];
+    4815             :         useAltOnNaCtrs_0_0 = _RANDOM[8'h10][13:10];
+    4816             :         useAltOnNaCtrs_0_1 = _RANDOM[8'h10][17:14];
+    4817             :         useAltOnNaCtrs_0_2 = _RANDOM[8'h10][21:18];
+    4818             :         useAltOnNaCtrs_0_3 = _RANDOM[8'h10][25:22];
+    4819             :         useAltOnNaCtrs_0_4 = _RANDOM[8'h10][29:26];
+    4820             :         useAltOnNaCtrs_0_5 = {_RANDOM[8'h10][31:30], _RANDOM[8'h11][1:0]};
+    4821             :         useAltOnNaCtrs_0_6 = _RANDOM[8'h11][5:2];
+    4822             :         useAltOnNaCtrs_0_7 = _RANDOM[8'h11][9:6];
+    4823             :         useAltOnNaCtrs_0_8 = _RANDOM[8'h11][13:10];
+    4824             :         useAltOnNaCtrs_0_9 = _RANDOM[8'h11][17:14];
+    4825             :         useAltOnNaCtrs_0_10 = _RANDOM[8'h11][21:18];
+    4826             :         useAltOnNaCtrs_0_11 = _RANDOM[8'h11][25:22];
+    4827             :         useAltOnNaCtrs_0_12 = _RANDOM[8'h11][29:26];
+    4828             :         useAltOnNaCtrs_0_13 = {_RANDOM[8'h11][31:30], _RANDOM[8'h12][1:0]};
+    4829             :         useAltOnNaCtrs_0_14 = _RANDOM[8'h12][5:2];
+    4830             :         useAltOnNaCtrs_0_15 = _RANDOM[8'h12][9:6];
+    4831             :         useAltOnNaCtrs_0_16 = _RANDOM[8'h12][13:10];
+    4832             :         useAltOnNaCtrs_0_17 = _RANDOM[8'h12][17:14];
+    4833             :         useAltOnNaCtrs_0_18 = _RANDOM[8'h12][21:18];
+    4834             :         useAltOnNaCtrs_0_19 = _RANDOM[8'h12][25:22];
+    4835             :         useAltOnNaCtrs_0_20 = _RANDOM[8'h12][29:26];
+    4836             :         useAltOnNaCtrs_0_21 = {_RANDOM[8'h12][31:30], _RANDOM[8'h13][1:0]};
+    4837             :         useAltOnNaCtrs_0_22 = _RANDOM[8'h13][5:2];
+    4838             :         useAltOnNaCtrs_0_23 = _RANDOM[8'h13][9:6];
+    4839             :         useAltOnNaCtrs_0_24 = _RANDOM[8'h13][13:10];
+    4840             :         useAltOnNaCtrs_0_25 = _RANDOM[8'h13][17:14];
+    4841             :         useAltOnNaCtrs_0_26 = _RANDOM[8'h13][21:18];
+    4842             :         useAltOnNaCtrs_0_27 = _RANDOM[8'h13][25:22];
+    4843             :         useAltOnNaCtrs_0_28 = _RANDOM[8'h13][29:26];
+    4844             :         useAltOnNaCtrs_0_29 = {_RANDOM[8'h13][31:30], _RANDOM[8'h14][1:0]};
+    4845             :         useAltOnNaCtrs_0_30 = _RANDOM[8'h14][5:2];
+    4846             :         useAltOnNaCtrs_0_31 = _RANDOM[8'h14][9:6];
+    4847             :         useAltOnNaCtrs_0_32 = _RANDOM[8'h14][13:10];
+    4848             :         useAltOnNaCtrs_0_33 = _RANDOM[8'h14][17:14];
+    4849             :         useAltOnNaCtrs_0_34 = _RANDOM[8'h14][21:18];
+    4850             :         useAltOnNaCtrs_0_35 = _RANDOM[8'h14][25:22];
+    4851             :         useAltOnNaCtrs_0_36 = _RANDOM[8'h14][29:26];
+    4852             :         useAltOnNaCtrs_0_37 = {_RANDOM[8'h14][31:30], _RANDOM[8'h15][1:0]};
+    4853             :         useAltOnNaCtrs_0_38 = _RANDOM[8'h15][5:2];
+    4854             :         useAltOnNaCtrs_0_39 = _RANDOM[8'h15][9:6];
+    4855             :         useAltOnNaCtrs_0_40 = _RANDOM[8'h15][13:10];
+    4856             :         useAltOnNaCtrs_0_41 = _RANDOM[8'h15][17:14];
+    4857             :         useAltOnNaCtrs_0_42 = _RANDOM[8'h15][21:18];
+    4858             :         useAltOnNaCtrs_0_43 = _RANDOM[8'h15][25:22];
+    4859             :         useAltOnNaCtrs_0_44 = _RANDOM[8'h15][29:26];
+    4860             :         useAltOnNaCtrs_0_45 = {_RANDOM[8'h15][31:30], _RANDOM[8'h16][1:0]};
+    4861             :         useAltOnNaCtrs_0_46 = _RANDOM[8'h16][5:2];
+    4862             :         useAltOnNaCtrs_0_47 = _RANDOM[8'h16][9:6];
+    4863             :         useAltOnNaCtrs_0_48 = _RANDOM[8'h16][13:10];
+    4864             :         useAltOnNaCtrs_0_49 = _RANDOM[8'h16][17:14];
+    4865             :         useAltOnNaCtrs_0_50 = _RANDOM[8'h16][21:18];
+    4866             :         useAltOnNaCtrs_0_51 = _RANDOM[8'h16][25:22];
+    4867             :         useAltOnNaCtrs_0_52 = _RANDOM[8'h16][29:26];
+    4868             :         useAltOnNaCtrs_0_53 = {_RANDOM[8'h16][31:30], _RANDOM[8'h17][1:0]};
+    4869             :         useAltOnNaCtrs_0_54 = _RANDOM[8'h17][5:2];
+    4870             :         useAltOnNaCtrs_0_55 = _RANDOM[8'h17][9:6];
+    4871             :         useAltOnNaCtrs_0_56 = _RANDOM[8'h17][13:10];
+    4872             :         useAltOnNaCtrs_0_57 = _RANDOM[8'h17][17:14];
+    4873             :         useAltOnNaCtrs_0_58 = _RANDOM[8'h17][21:18];
+    4874             :         useAltOnNaCtrs_0_59 = _RANDOM[8'h17][25:22];
+    4875             :         useAltOnNaCtrs_0_60 = _RANDOM[8'h17][29:26];
+    4876             :         useAltOnNaCtrs_0_61 = {_RANDOM[8'h17][31:30], _RANDOM[8'h18][1:0]};
+    4877             :         useAltOnNaCtrs_0_62 = _RANDOM[8'h18][5:2];
+    4878             :         useAltOnNaCtrs_0_63 = _RANDOM[8'h18][9:6];
+    4879             :         useAltOnNaCtrs_0_64 = _RANDOM[8'h18][13:10];
+    4880             :         useAltOnNaCtrs_0_65 = _RANDOM[8'h18][17:14];
+    4881             :         useAltOnNaCtrs_0_66 = _RANDOM[8'h18][21:18];
+    4882             :         useAltOnNaCtrs_0_67 = _RANDOM[8'h18][25:22];
+    4883             :         useAltOnNaCtrs_0_68 = _RANDOM[8'h18][29:26];
+    4884             :         useAltOnNaCtrs_0_69 = {_RANDOM[8'h18][31:30], _RANDOM[8'h19][1:0]};
+    4885             :         useAltOnNaCtrs_0_70 = _RANDOM[8'h19][5:2];
+    4886             :         useAltOnNaCtrs_0_71 = _RANDOM[8'h19][9:6];
+    4887             :         useAltOnNaCtrs_0_72 = _RANDOM[8'h19][13:10];
+    4888             :         useAltOnNaCtrs_0_73 = _RANDOM[8'h19][17:14];
+    4889             :         useAltOnNaCtrs_0_74 = _RANDOM[8'h19][21:18];
+    4890             :         useAltOnNaCtrs_0_75 = _RANDOM[8'h19][25:22];
+    4891             :         useAltOnNaCtrs_0_76 = _RANDOM[8'h19][29:26];
+    4892             :         useAltOnNaCtrs_0_77 = {_RANDOM[8'h19][31:30], _RANDOM[8'h1A][1:0]};
+    4893             :         useAltOnNaCtrs_0_78 = _RANDOM[8'h1A][5:2];
+    4894             :         useAltOnNaCtrs_0_79 = _RANDOM[8'h1A][9:6];
+    4895             :         useAltOnNaCtrs_0_80 = _RANDOM[8'h1A][13:10];
+    4896             :         useAltOnNaCtrs_0_81 = _RANDOM[8'h1A][17:14];
+    4897             :         useAltOnNaCtrs_0_82 = _RANDOM[8'h1A][21:18];
+    4898             :         useAltOnNaCtrs_0_83 = _RANDOM[8'h1A][25:22];
+    4899             :         useAltOnNaCtrs_0_84 = _RANDOM[8'h1A][29:26];
+    4900             :         useAltOnNaCtrs_0_85 = {_RANDOM[8'h1A][31:30], _RANDOM[8'h1B][1:0]};
+    4901             :         useAltOnNaCtrs_0_86 = _RANDOM[8'h1B][5:2];
+    4902             :         useAltOnNaCtrs_0_87 = _RANDOM[8'h1B][9:6];
+    4903             :         useAltOnNaCtrs_0_88 = _RANDOM[8'h1B][13:10];
+    4904             :         useAltOnNaCtrs_0_89 = _RANDOM[8'h1B][17:14];
+    4905             :         useAltOnNaCtrs_0_90 = _RANDOM[8'h1B][21:18];
+    4906             :         useAltOnNaCtrs_0_91 = _RANDOM[8'h1B][25:22];
+    4907             :         useAltOnNaCtrs_0_92 = _RANDOM[8'h1B][29:26];
+    4908             :         useAltOnNaCtrs_0_93 = {_RANDOM[8'h1B][31:30], _RANDOM[8'h1C][1:0]};
+    4909             :         useAltOnNaCtrs_0_94 = _RANDOM[8'h1C][5:2];
+    4910             :         useAltOnNaCtrs_0_95 = _RANDOM[8'h1C][9:6];
+    4911             :         useAltOnNaCtrs_0_96 = _RANDOM[8'h1C][13:10];
+    4912             :         useAltOnNaCtrs_0_97 = _RANDOM[8'h1C][17:14];
+    4913             :         useAltOnNaCtrs_0_98 = _RANDOM[8'h1C][21:18];
+    4914             :         useAltOnNaCtrs_0_99 = _RANDOM[8'h1C][25:22];
+    4915             :         useAltOnNaCtrs_0_100 = _RANDOM[8'h1C][29:26];
+    4916             :         useAltOnNaCtrs_0_101 = {_RANDOM[8'h1C][31:30], _RANDOM[8'h1D][1:0]};
+    4917             :         useAltOnNaCtrs_0_102 = _RANDOM[8'h1D][5:2];
+    4918             :         useAltOnNaCtrs_0_103 = _RANDOM[8'h1D][9:6];
+    4919             :         useAltOnNaCtrs_0_104 = _RANDOM[8'h1D][13:10];
+    4920             :         useAltOnNaCtrs_0_105 = _RANDOM[8'h1D][17:14];
+    4921             :         useAltOnNaCtrs_0_106 = _RANDOM[8'h1D][21:18];
+    4922             :         useAltOnNaCtrs_0_107 = _RANDOM[8'h1D][25:22];
+    4923             :         useAltOnNaCtrs_0_108 = _RANDOM[8'h1D][29:26];
+    4924             :         useAltOnNaCtrs_0_109 = {_RANDOM[8'h1D][31:30], _RANDOM[8'h1E][1:0]};
+    4925             :         useAltOnNaCtrs_0_110 = _RANDOM[8'h1E][5:2];
+    4926             :         useAltOnNaCtrs_0_111 = _RANDOM[8'h1E][9:6];
+    4927             :         useAltOnNaCtrs_0_112 = _RANDOM[8'h1E][13:10];
+    4928             :         useAltOnNaCtrs_0_113 = _RANDOM[8'h1E][17:14];
+    4929             :         useAltOnNaCtrs_0_114 = _RANDOM[8'h1E][21:18];
+    4930             :         useAltOnNaCtrs_0_115 = _RANDOM[8'h1E][25:22];
+    4931             :         useAltOnNaCtrs_0_116 = _RANDOM[8'h1E][29:26];
+    4932             :         useAltOnNaCtrs_0_117 = {_RANDOM[8'h1E][31:30], _RANDOM[8'h1F][1:0]};
+    4933             :         useAltOnNaCtrs_0_118 = _RANDOM[8'h1F][5:2];
+    4934             :         useAltOnNaCtrs_0_119 = _RANDOM[8'h1F][9:6];
+    4935             :         useAltOnNaCtrs_0_120 = _RANDOM[8'h1F][13:10];
+    4936             :         useAltOnNaCtrs_0_121 = _RANDOM[8'h1F][17:14];
+    4937             :         useAltOnNaCtrs_0_122 = _RANDOM[8'h1F][21:18];
+    4938             :         useAltOnNaCtrs_0_123 = _RANDOM[8'h1F][25:22];
+    4939             :         useAltOnNaCtrs_0_124 = _RANDOM[8'h1F][29:26];
+    4940             :         useAltOnNaCtrs_0_125 = {_RANDOM[8'h1F][31:30], _RANDOM[8'h20][1:0]};
+    4941             :         useAltOnNaCtrs_0_126 = _RANDOM[8'h20][5:2];
+    4942             :         useAltOnNaCtrs_0_127 = _RANDOM[8'h20][9:6];
+    4943             :         useAltOnNaCtrs_1_0 = _RANDOM[8'h20][13:10];
+    4944             :         useAltOnNaCtrs_1_1 = _RANDOM[8'h20][17:14];
+    4945             :         useAltOnNaCtrs_1_2 = _RANDOM[8'h20][21:18];
+    4946             :         useAltOnNaCtrs_1_3 = _RANDOM[8'h20][25:22];
+    4947             :         useAltOnNaCtrs_1_4 = _RANDOM[8'h20][29:26];
+    4948             :         useAltOnNaCtrs_1_5 = {_RANDOM[8'h20][31:30], _RANDOM[8'h21][1:0]};
+    4949             :         useAltOnNaCtrs_1_6 = _RANDOM[8'h21][5:2];
+    4950             :         useAltOnNaCtrs_1_7 = _RANDOM[8'h21][9:6];
+    4951             :         useAltOnNaCtrs_1_8 = _RANDOM[8'h21][13:10];
+    4952             :         useAltOnNaCtrs_1_9 = _RANDOM[8'h21][17:14];
+    4953             :         useAltOnNaCtrs_1_10 = _RANDOM[8'h21][21:18];
+    4954             :         useAltOnNaCtrs_1_11 = _RANDOM[8'h21][25:22];
+    4955             :         useAltOnNaCtrs_1_12 = _RANDOM[8'h21][29:26];
+    4956             :         useAltOnNaCtrs_1_13 = {_RANDOM[8'h21][31:30], _RANDOM[8'h22][1:0]};
+    4957             :         useAltOnNaCtrs_1_14 = _RANDOM[8'h22][5:2];
+    4958             :         useAltOnNaCtrs_1_15 = _RANDOM[8'h22][9:6];
+    4959             :         useAltOnNaCtrs_1_16 = _RANDOM[8'h22][13:10];
+    4960             :         useAltOnNaCtrs_1_17 = _RANDOM[8'h22][17:14];
+    4961             :         useAltOnNaCtrs_1_18 = _RANDOM[8'h22][21:18];
+    4962             :         useAltOnNaCtrs_1_19 = _RANDOM[8'h22][25:22];
+    4963             :         useAltOnNaCtrs_1_20 = _RANDOM[8'h22][29:26];
+    4964             :         useAltOnNaCtrs_1_21 = {_RANDOM[8'h22][31:30], _RANDOM[8'h23][1:0]};
+    4965             :         useAltOnNaCtrs_1_22 = _RANDOM[8'h23][5:2];
+    4966             :         useAltOnNaCtrs_1_23 = _RANDOM[8'h23][9:6];
+    4967             :         useAltOnNaCtrs_1_24 = _RANDOM[8'h23][13:10];
+    4968             :         useAltOnNaCtrs_1_25 = _RANDOM[8'h23][17:14];
+    4969             :         useAltOnNaCtrs_1_26 = _RANDOM[8'h23][21:18];
+    4970             :         useAltOnNaCtrs_1_27 = _RANDOM[8'h23][25:22];
+    4971             :         useAltOnNaCtrs_1_28 = _RANDOM[8'h23][29:26];
+    4972             :         useAltOnNaCtrs_1_29 = {_RANDOM[8'h23][31:30], _RANDOM[8'h24][1:0]};
+    4973             :         useAltOnNaCtrs_1_30 = _RANDOM[8'h24][5:2];
+    4974             :         useAltOnNaCtrs_1_31 = _RANDOM[8'h24][9:6];
+    4975             :         useAltOnNaCtrs_1_32 = _RANDOM[8'h24][13:10];
+    4976             :         useAltOnNaCtrs_1_33 = _RANDOM[8'h24][17:14];
+    4977             :         useAltOnNaCtrs_1_34 = _RANDOM[8'h24][21:18];
+    4978             :         useAltOnNaCtrs_1_35 = _RANDOM[8'h24][25:22];
+    4979             :         useAltOnNaCtrs_1_36 = _RANDOM[8'h24][29:26];
+    4980             :         useAltOnNaCtrs_1_37 = {_RANDOM[8'h24][31:30], _RANDOM[8'h25][1:0]};
+    4981             :         useAltOnNaCtrs_1_38 = _RANDOM[8'h25][5:2];
+    4982             :         useAltOnNaCtrs_1_39 = _RANDOM[8'h25][9:6];
+    4983             :         useAltOnNaCtrs_1_40 = _RANDOM[8'h25][13:10];
+    4984             :         useAltOnNaCtrs_1_41 = _RANDOM[8'h25][17:14];
+    4985             :         useAltOnNaCtrs_1_42 = _RANDOM[8'h25][21:18];
+    4986             :         useAltOnNaCtrs_1_43 = _RANDOM[8'h25][25:22];
+    4987             :         useAltOnNaCtrs_1_44 = _RANDOM[8'h25][29:26];
+    4988             :         useAltOnNaCtrs_1_45 = {_RANDOM[8'h25][31:30], _RANDOM[8'h26][1:0]};
+    4989             :         useAltOnNaCtrs_1_46 = _RANDOM[8'h26][5:2];
+    4990             :         useAltOnNaCtrs_1_47 = _RANDOM[8'h26][9:6];
+    4991             :         useAltOnNaCtrs_1_48 = _RANDOM[8'h26][13:10];
+    4992             :         useAltOnNaCtrs_1_49 = _RANDOM[8'h26][17:14];
+    4993             :         useAltOnNaCtrs_1_50 = _RANDOM[8'h26][21:18];
+    4994             :         useAltOnNaCtrs_1_51 = _RANDOM[8'h26][25:22];
+    4995             :         useAltOnNaCtrs_1_52 = _RANDOM[8'h26][29:26];
+    4996             :         useAltOnNaCtrs_1_53 = {_RANDOM[8'h26][31:30], _RANDOM[8'h27][1:0]};
+    4997             :         useAltOnNaCtrs_1_54 = _RANDOM[8'h27][5:2];
+    4998             :         useAltOnNaCtrs_1_55 = _RANDOM[8'h27][9:6];
+    4999             :         useAltOnNaCtrs_1_56 = _RANDOM[8'h27][13:10];
+    5000             :         useAltOnNaCtrs_1_57 = _RANDOM[8'h27][17:14];
+    5001             :         useAltOnNaCtrs_1_58 = _RANDOM[8'h27][21:18];
+    5002             :         useAltOnNaCtrs_1_59 = _RANDOM[8'h27][25:22];
+    5003             :         useAltOnNaCtrs_1_60 = _RANDOM[8'h27][29:26];
+    5004             :         useAltOnNaCtrs_1_61 = {_RANDOM[8'h27][31:30], _RANDOM[8'h28][1:0]};
+    5005             :         useAltOnNaCtrs_1_62 = _RANDOM[8'h28][5:2];
+    5006             :         useAltOnNaCtrs_1_63 = _RANDOM[8'h28][9:6];
+    5007             :         useAltOnNaCtrs_1_64 = _RANDOM[8'h28][13:10];
+    5008             :         useAltOnNaCtrs_1_65 = _RANDOM[8'h28][17:14];
+    5009             :         useAltOnNaCtrs_1_66 = _RANDOM[8'h28][21:18];
+    5010             :         useAltOnNaCtrs_1_67 = _RANDOM[8'h28][25:22];
+    5011             :         useAltOnNaCtrs_1_68 = _RANDOM[8'h28][29:26];
+    5012             :         useAltOnNaCtrs_1_69 = {_RANDOM[8'h28][31:30], _RANDOM[8'h29][1:0]};
+    5013             :         useAltOnNaCtrs_1_70 = _RANDOM[8'h29][5:2];
+    5014             :         useAltOnNaCtrs_1_71 = _RANDOM[8'h29][9:6];
+    5015             :         useAltOnNaCtrs_1_72 = _RANDOM[8'h29][13:10];
+    5016             :         useAltOnNaCtrs_1_73 = _RANDOM[8'h29][17:14];
+    5017             :         useAltOnNaCtrs_1_74 = _RANDOM[8'h29][21:18];
+    5018             :         useAltOnNaCtrs_1_75 = _RANDOM[8'h29][25:22];
+    5019             :         useAltOnNaCtrs_1_76 = _RANDOM[8'h29][29:26];
+    5020             :         useAltOnNaCtrs_1_77 = {_RANDOM[8'h29][31:30], _RANDOM[8'h2A][1:0]};
+    5021             :         useAltOnNaCtrs_1_78 = _RANDOM[8'h2A][5:2];
+    5022             :         useAltOnNaCtrs_1_79 = _RANDOM[8'h2A][9:6];
+    5023             :         useAltOnNaCtrs_1_80 = _RANDOM[8'h2A][13:10];
+    5024             :         useAltOnNaCtrs_1_81 = _RANDOM[8'h2A][17:14];
+    5025             :         useAltOnNaCtrs_1_82 = _RANDOM[8'h2A][21:18];
+    5026             :         useAltOnNaCtrs_1_83 = _RANDOM[8'h2A][25:22];
+    5027             :         useAltOnNaCtrs_1_84 = _RANDOM[8'h2A][29:26];
+    5028             :         useAltOnNaCtrs_1_85 = {_RANDOM[8'h2A][31:30], _RANDOM[8'h2B][1:0]};
+    5029             :         useAltOnNaCtrs_1_86 = _RANDOM[8'h2B][5:2];
+    5030             :         useAltOnNaCtrs_1_87 = _RANDOM[8'h2B][9:6];
+    5031             :         useAltOnNaCtrs_1_88 = _RANDOM[8'h2B][13:10];
+    5032             :         useAltOnNaCtrs_1_89 = _RANDOM[8'h2B][17:14];
+    5033             :         useAltOnNaCtrs_1_90 = _RANDOM[8'h2B][21:18];
+    5034             :         useAltOnNaCtrs_1_91 = _RANDOM[8'h2B][25:22];
+    5035             :         useAltOnNaCtrs_1_92 = _RANDOM[8'h2B][29:26];
+    5036             :         useAltOnNaCtrs_1_93 = {_RANDOM[8'h2B][31:30], _RANDOM[8'h2C][1:0]};
+    5037             :         useAltOnNaCtrs_1_94 = _RANDOM[8'h2C][5:2];
+    5038             :         useAltOnNaCtrs_1_95 = _RANDOM[8'h2C][9:6];
+    5039             :         useAltOnNaCtrs_1_96 = _RANDOM[8'h2C][13:10];
+    5040             :         useAltOnNaCtrs_1_97 = _RANDOM[8'h2C][17:14];
+    5041             :         useAltOnNaCtrs_1_98 = _RANDOM[8'h2C][21:18];
+    5042             :         useAltOnNaCtrs_1_99 = _RANDOM[8'h2C][25:22];
+    5043             :         useAltOnNaCtrs_1_100 = _RANDOM[8'h2C][29:26];
+    5044             :         useAltOnNaCtrs_1_101 = {_RANDOM[8'h2C][31:30], _RANDOM[8'h2D][1:0]};
+    5045             :         useAltOnNaCtrs_1_102 = _RANDOM[8'h2D][5:2];
+    5046             :         useAltOnNaCtrs_1_103 = _RANDOM[8'h2D][9:6];
+    5047             :         useAltOnNaCtrs_1_104 = _RANDOM[8'h2D][13:10];
+    5048             :         useAltOnNaCtrs_1_105 = _RANDOM[8'h2D][17:14];
+    5049             :         useAltOnNaCtrs_1_106 = _RANDOM[8'h2D][21:18];
+    5050             :         useAltOnNaCtrs_1_107 = _RANDOM[8'h2D][25:22];
+    5051             :         useAltOnNaCtrs_1_108 = _RANDOM[8'h2D][29:26];
+    5052             :         useAltOnNaCtrs_1_109 = {_RANDOM[8'h2D][31:30], _RANDOM[8'h2E][1:0]};
+    5053             :         useAltOnNaCtrs_1_110 = _RANDOM[8'h2E][5:2];
+    5054             :         useAltOnNaCtrs_1_111 = _RANDOM[8'h2E][9:6];
+    5055             :         useAltOnNaCtrs_1_112 = _RANDOM[8'h2E][13:10];
+    5056             :         useAltOnNaCtrs_1_113 = _RANDOM[8'h2E][17:14];
+    5057             :         useAltOnNaCtrs_1_114 = _RANDOM[8'h2E][21:18];
+    5058             :         useAltOnNaCtrs_1_115 = _RANDOM[8'h2E][25:22];
+    5059             :         useAltOnNaCtrs_1_116 = _RANDOM[8'h2E][29:26];
+    5060             :         useAltOnNaCtrs_1_117 = {_RANDOM[8'h2E][31:30], _RANDOM[8'h2F][1:0]};
+    5061             :         useAltOnNaCtrs_1_118 = _RANDOM[8'h2F][5:2];
+    5062             :         useAltOnNaCtrs_1_119 = _RANDOM[8'h2F][9:6];
+    5063             :         useAltOnNaCtrs_1_120 = _RANDOM[8'h2F][13:10];
+    5064             :         useAltOnNaCtrs_1_121 = _RANDOM[8'h2F][17:14];
+    5065             :         useAltOnNaCtrs_1_122 = _RANDOM[8'h2F][21:18];
+    5066             :         useAltOnNaCtrs_1_123 = _RANDOM[8'h2F][25:22];
+    5067             :         useAltOnNaCtrs_1_124 = _RANDOM[8'h2F][29:26];
+    5068             :         useAltOnNaCtrs_1_125 = {_RANDOM[8'h2F][31:30], _RANDOM[8'h30][1:0]};
+    5069             :         useAltOnNaCtrs_1_126 = _RANDOM[8'h30][5:2];
+    5070             :         useAltOnNaCtrs_1_127 = _RANDOM[8'h30][9:6];
+    5071             :         s2_provideds_0 = _RANDOM[8'h32][28];
+    5072             :         s2_provideds_1 = _RANDOM[8'h32][29];
+    5073             :         s2_providers_0 = _RANDOM[8'h32][31:30];
+    5074             :         s2_providers_1 = _RANDOM[8'h33][1:0];
+    5075             :         s2_providerResps_0_ctr = _RANDOM[8'h33][4:2];
+    5076             :         s2_providerResps_0_u = _RANDOM[8'h33][5];
+    5077             :         s2_providerResps_0_unconf = _RANDOM[8'h33][6];
+    5078             :         s2_providerResps_1_ctr = _RANDOM[8'h33][9:7];
+    5079             :         s2_providerResps_1_u = _RANDOM[8'h33][10];
+    5080             :         s2_providerResps_1_unconf = _RANDOM[8'h33][11];
+    5081             :         s2_altUsed_0 = _RANDOM[8'h33][12];
+    5082             :         s2_altUsed_1 = _RANDOM[8'h33][13];
+    5083             :         s2_tageTakens_dup_0_0 = _RANDOM[8'h33][14];
+    5084             :         s2_tageTakens_dup_0_1 = _RANDOM[8'h33][15];
+    5085             :         s2_tageTakens_dup_1_0 = _RANDOM[8'h33][16];
+    5086             :         s2_tageTakens_dup_1_1 = _RANDOM[8'h33][17];
+    5087             :         s2_tageTakens_dup_2_0 = _RANDOM[8'h33][18];
+    5088             :         s2_tageTakens_dup_2_1 = _RANDOM[8'h33][19];
+    5089             :         s2_tageTakens_dup_3_0 = _RANDOM[8'h33][20];
+    5090             :         s2_tageTakens_dup_3_1 = _RANDOM[8'h33][21];
+    5091             :         s2_finalAltPreds_0 = _RANDOM[8'h33][22];
+    5092             :         s2_finalAltPreds_1 = _RANDOM[8'h33][23];
+    5093             :         s2_basecnts_0 = _RANDOM[8'h33][25:24];
+    5094             :         s2_basecnts_1 = _RANDOM[8'h33][27:26];
+    5095             :         resp_meta_providers_0_valid_r = _RANDOM[8'h33][30];
+    5096             :         resp_meta_providers_0_bits_r = {_RANDOM[8'h33][31], _RANDOM[8'h34][0]};
+    5097             :         resp_meta_providerResps_0_r_ctr = _RANDOM[8'h34][3:1];
+    5098             :         resp_meta_providerResps_0_r_u = _RANDOM[8'h34][4];
+    5099             :         resp_meta_providerResps_0_r_unconf = _RANDOM[8'h34][5];
+    5100             :         allocatableSlots = _RANDOM[8'h34][9:6];
+    5101             :         resp_meta_allocates_0_r = _RANDOM[8'h34][13:10];
+    5102             :         resp_meta_altUsed_0_r = _RANDOM[8'h34][14];
+    5103             :         resp_meta_altDiffers_0_r = _RANDOM[8'h34][15];
+    5104             :         resp_meta_takens_0_r = _RANDOM[8'h34][16];
+    5105             :         resp_meta_basecnts_0_r = _RANDOM[8'h34][18:17];
+    5106             :         tage_enable_dup_REG = _RANDOM[8'h34][19];
+    5107             :         allocLFSR_lfsr = {_RANDOM[8'h34][31:20], _RANDOM[8'h35], _RANDOM[8'h36][19:0]};
+    5108             :         resp_meta_providers_1_valid_r = _RANDOM[8'h36][20];
+    5109             :         resp_meta_providers_1_bits_r = _RANDOM[8'h36][22:21];
+    5110             :         resp_meta_providerResps_1_r_ctr = _RANDOM[8'h36][25:23];
+    5111             :         resp_meta_providerResps_1_r_u = _RANDOM[8'h36][26];
+    5112             :         resp_meta_providerResps_1_r_unconf = _RANDOM[8'h36][27];
+    5113             :         allocatableSlots_1 = _RANDOM[8'h36][31:28];
+    5114             :         resp_meta_allocates_1_r = _RANDOM[8'h37][3:0];
+    5115             :         resp_meta_altUsed_1_r = _RANDOM[8'h37][4];
+    5116             :         resp_meta_altDiffers_1_r = _RANDOM[8'h37][5];
+    5117             :         resp_meta_takens_1_r = _RANDOM[8'h37][6];
+    5118             :         resp_meta_basecnts_1_r = _RANDOM[8'h37][8:7];
+    5119             :         tage_enable_dup_REG_1 = _RANDOM[8'h37][9];
+    5120             :         allocLFSR_lfsr_1 = {_RANDOM[8'h37][31:10], _RANDOM[8'h38], _RANDOM[8'h39][9:0]};
+    5121             :         tables_0_io_update_reset_u_0_REG = _RANDOM[8'h39][10];
+    5122             :         tables_0_io_update_mask_0_REG = _RANDOM[8'h39][11];
+    5123             :         tables_0_io_update_takens_0_r = _RANDOM[8'h39][12];
+    5124             :         tables_0_io_update_alloc_0_r = _RANDOM[8'h39][13];
+    5125             :         tables_0_io_update_oldCtrs_0_r = _RANDOM[8'h39][16:14];
+    5126             :         tables_0_io_update_uMask_0_r = _RANDOM[8'h39][17];
+    5127             :         tables_0_io_update_us_0_r = _RANDOM[8'h39][18];
+    5128             :         tables_1_io_update_reset_u_0_REG = _RANDOM[8'h47][16];
+    5129             :         tables_1_io_update_mask_0_REG = _RANDOM[8'h47][17];
+    5130             :         tables_1_io_update_takens_0_r = _RANDOM[8'h47][18];
+    5131             :         tables_1_io_update_alloc_0_r = _RANDOM[8'h47][19];
+    5132             :         tables_1_io_update_oldCtrs_0_r = _RANDOM[8'h47][22:20];
+    5133             :         tables_1_io_update_uMask_0_r = _RANDOM[8'h47][23];
+    5134             :         tables_1_io_update_us_0_r = _RANDOM[8'h47][24];
+    5135             :         tables_2_io_update_reset_u_0_REG = _RANDOM[8'h55][22];
+    5136             :         tables_2_io_update_mask_0_REG = _RANDOM[8'h55][23];
+    5137             :         tables_2_io_update_takens_0_r = _RANDOM[8'h55][24];
+    5138             :         tables_2_io_update_alloc_0_r = _RANDOM[8'h55][25];
+    5139             :         tables_2_io_update_oldCtrs_0_r = _RANDOM[8'h55][28:26];
+    5140             :         tables_2_io_update_uMask_0_r = _RANDOM[8'h55][29];
+    5141             :         tables_2_io_update_us_0_r = _RANDOM[8'h55][30];
+    5142             :         tables_3_io_update_reset_u_0_REG = _RANDOM[8'h63][28];
+    5143             :         tables_3_io_update_mask_0_REG = _RANDOM[8'h63][29];
+    5144             :         tables_3_io_update_takens_0_r = _RANDOM[8'h63][30];
+    5145             :         tables_3_io_update_alloc_0_r = _RANDOM[8'h63][31];
+    5146             :         tables_3_io_update_oldCtrs_0_r = _RANDOM[8'h64][2:0];
+    5147             :         tables_3_io_update_uMask_0_r = _RANDOM[8'h64][3];
+    5148             :         tables_3_io_update_us_0_r = _RANDOM[8'h64][4];
+    5149             :         tables_0_io_update_reset_u_1_REG = _RANDOM[8'h72][2];
+    5150             :         tables_0_io_update_mask_1_REG = _RANDOM[8'h72][3];
+    5151             :         tables_0_io_update_takens_1_r = _RANDOM[8'h72][4];
+    5152             :         tables_0_io_update_alloc_1_r = _RANDOM[8'h72][5];
+    5153             :         tables_0_io_update_oldCtrs_1_r = _RANDOM[8'h72][8:6];
+    5154             :         tables_0_io_update_uMask_1_r = _RANDOM[8'h72][9];
+    5155             :         tables_0_io_update_us_1_r = _RANDOM[8'h72][10];
+    5156             :         tables_0_io_update_pc_r_1 = {_RANDOM[8'h72][31:11], _RANDOM[8'h73][19:0]};
+    5157             :         tables_0_io_update_folded_hist_r_1_hist_14_folded_hist = _RANDOM[8'h74][24:17];
+    5158             :         tables_0_io_update_folded_hist_r_1_hist_7_folded_hist = _RANDOM[8'h76][12:6];
+    5159             :         tables_1_io_update_reset_u_1_REG = _RANDOM[8'h80][8];
+    5160             :         tables_1_io_update_mask_1_REG = _RANDOM[8'h80][9];
+    5161             :         tables_1_io_update_takens_1_r = _RANDOM[8'h80][10];
+    5162             :         tables_1_io_update_alloc_1_r = _RANDOM[8'h80][11];
+    5163             :         tables_1_io_update_oldCtrs_1_r = _RANDOM[8'h80][14:12];
+    5164             :         tables_1_io_update_uMask_1_r = _RANDOM[8'h80][15];
+    5165             :         tables_1_io_update_us_1_r = _RANDOM[8'h80][16];
+    5166             :         tables_1_io_update_pc_r_1 = {_RANDOM[8'h80][31:17], _RANDOM[8'h81][25:0]};
+    5167             :         tables_1_io_update_folded_hist_r_1_hist_15_folded_hist = _RANDOM[8'h82][22:16];
+    5168             :         tables_1_io_update_folded_hist_r_1_hist_4_folded_hist = _RANDOM[8'h85][10:3];
+    5169             :         tables_1_io_update_folded_hist_r_1_hist_1_folded_hist =
+    5170             :           {_RANDOM[8'h85][31:27], _RANDOM[8'h86][5:0]};
+    5171             :         tables_2_io_update_reset_u_1_REG = _RANDOM[8'h8E][14];
+    5172             :         tables_2_io_update_mask_1_REG = _RANDOM[8'h8E][15];
+    5173             :         tables_2_io_update_takens_1_r = _RANDOM[8'h8E][16];
+    5174             :         tables_2_io_update_alloc_1_r = _RANDOM[8'h8E][17];
+    5175             :         tables_2_io_update_oldCtrs_1_r = _RANDOM[8'h8E][20:18];
+    5176             :         tables_2_io_update_uMask_1_r = _RANDOM[8'h8E][21];
+    5177             :         tables_2_io_update_us_1_r = _RANDOM[8'h8E][22];
+    5178             :         tables_2_io_update_pc_r_1 = {_RANDOM[8'h8E][31:23], _RANDOM[8'h8F]};
+    5179             :         tables_2_io_update_folded_hist_r_1_hist_17_folded_hist = _RANDOM[8'h90][10:0];
+    5180             :         tables_2_io_update_folded_hist_r_1_hist_9_folded_hist = _RANDOM[8'h92][9:3];
+    5181             :         tables_2_io_update_folded_hist_r_1_hist_3_folded_hist = _RANDOM[8'h93][24:17];
+    5182             :         tables_3_io_update_reset_u_1_REG = _RANDOM[8'h9C][20];
+    5183             :         tables_3_io_update_mask_1_REG = _RANDOM[8'h9C][21];
+    5184             :         tables_3_io_update_takens_1_r = _RANDOM[8'h9C][22];
+    5185             :         tables_3_io_update_alloc_1_r = _RANDOM[8'h9C][23];
+    5186             :         tables_3_io_update_oldCtrs_1_r = _RANDOM[8'h9C][26:24];
+    5187             :         tables_3_io_update_uMask_1_r = _RANDOM[8'h9C][27];
+    5188             :         tables_3_io_update_us_1_r = _RANDOM[8'h9C][28];
+    5189             :         tables_3_io_update_pc_r_1 =
+    5190             :           {_RANDOM[8'h9C][31:29], _RANDOM[8'h9D], _RANDOM[8'h9E][5:0]};
+    5191             :         tables_3_io_update_folded_hist_r_1_hist_16_folded_hist = _RANDOM[8'h9E][27:17];
+    5192             :         tables_3_io_update_folded_hist_r_1_hist_8_folded_hist = _RANDOM[8'hA0][23:16];
+    5193             :         tables_3_io_update_folded_hist_r_1_hist_5_folded_hist = _RANDOM[8'hA1][14:8];
+    5194             :         REG_2_0 = _RANDOM[8'hAA][26];
+    5195             :         REG_2_1 = _RANDOM[8'hAA][27];
+    5196             :         r_0 = _RANDOM[8'hAA][29:28];
+    5197             :         r_1 = _RANDOM[8'hAA][31:30];
+    5198             :         bt_io_update_pc_r = {_RANDOM[8'hAB], _RANDOM[8'hAC][8:0]};
+    5199             :         r_1_0 = _RANDOM[8'hAC][9];
+    5200             :         r_1_1 = _RANDOM[8'hAC][10];
+    5201             :         scThresholds_0_ctr = _RANDOM[8'hAD][31:27];
+    5202             :         scThresholds_0_thres = _RANDOM[8'hAE][7:0];
+    5203             :         scThresholds_1_ctr = _RANDOM[8'hAE][12:8];
+    5204             :         scThresholds_1_thres = _RANDOM[8'hAE][20:13];
+    5205             :         s2_scTableSums_0 = _RANDOM[8'hAE][29:21];
+    5206             :         s2_scTableSums_1 = {_RANDOM[8'hAE][31:30], _RANDOM[8'hAF][6:0]};
+    5207             :         s2_tagePrvdCtrCentered_r = _RANDOM[8'hAF][9:7];
+    5208             :         s2_scResps_r_0_ctrs_0_0 = _RANDOM[8'hAF][15:10];
+    5209             :         s2_scResps_r_0_ctrs_0_1 = _RANDOM[8'hAF][21:16];
+    5210             :         s2_scResps_r_1_ctrs_0_0 = _RANDOM[8'hB0][7:2];
+    5211             :         s2_scResps_r_1_ctrs_0_1 = _RANDOM[8'hB0][13:8];
+    5212             :         s2_scResps_r_2_ctrs_0_0 = _RANDOM[8'hB0][31:26];
+    5213             :         s2_scResps_r_2_ctrs_0_1 = _RANDOM[8'hB1][5:0];
+    5214             :         s2_scResps_r_3_ctrs_0_0 = _RANDOM[8'hB1][23:18];
+    5215             :         s2_scResps_r_3_ctrs_0_1 = _RANDOM[8'hB1][29:24];
+    5216             :         resp_meta_scMeta_tageTakens_0_r = _RANDOM[8'hB2][12];
+    5217             :         resp_meta_scMeta_scUsed_0_r = _RANDOM[8'hB2][13];
+    5218             :         resp_meta_scMeta_scPreds_0_r = _RANDOM[8'hB2][14];
+    5219             :         r_2_0 = _RANDOM[8'hB2][20:15];
+    5220             :         r_2_1 = _RANDOM[8'hB2][26:21];
+    5221             :         r_2_2 = {_RANDOM[8'hB2][31:27], _RANDOM[8'hB3][0]};
+    5222             :         r_2_3 = _RANDOM[8'hB3][6:1];
+    5223             :         s3_pred_dup_0 = _RANDOM[8'hB3][7];
+    5224             :         s3_pred_dup_1 = _RANDOM[8'hB3][8];
+    5225             :         s3_pred_dup_2 = _RANDOM[8'hB3][9];
+    5226             :         s3_pred_dup_3 = _RANDOM[8'hB3][10];
+    5227             :         sc_enable_dup_REG = _RANDOM[8'hB3][11];
+    5228             :         s2_scTableSums_1_0 = _RANDOM[8'hB3][20:12];
+    5229             :         s2_scTableSums_1_1 = _RANDOM[8'hB3][29:21];
+    5230             :         s2_tagePrvdCtrCentered_r_1 = {_RANDOM[8'hB3][31:30], _RANDOM[8'hB4][0]};
+    5231             :         s2_scResps_r_1_0_ctrs_1_0 = _RANDOM[8'hB4][18:13];
+    5232             :         s2_scResps_r_1_0_ctrs_1_1 = _RANDOM[8'hB4][24:19];
+    5233             :         s2_scResps_r_1_1_ctrs_1_0 = _RANDOM[8'hB5][10:5];
+    5234             :         s2_scResps_r_1_1_ctrs_1_1 = _RANDOM[8'hB5][16:11];
+    5235             :         s2_scResps_r_1_2_ctrs_1_0 = {_RANDOM[8'hB5][31:29], _RANDOM[8'hB6][2:0]};
+    5236             :         s2_scResps_r_1_2_ctrs_1_1 = _RANDOM[8'hB6][8:3];
+    5237             :         s2_scResps_r_1_3_ctrs_1_0 = _RANDOM[8'hB6][26:21];
+    5238             :         s2_scResps_r_1_3_ctrs_1_1 = {_RANDOM[8'hB6][31:27], _RANDOM[8'hB7][0]};
+    5239             :         resp_meta_scMeta_tageTakens_1_r = _RANDOM[8'hB7][3];
+    5240             :         resp_meta_scMeta_scUsed_1_r = _RANDOM[8'hB7][4];
+    5241             :         resp_meta_scMeta_scPreds_1_r = _RANDOM[8'hB7][5];
+    5242             :         r_3_0 = _RANDOM[8'hB7][11:6];
+    5243             :         r_3_1 = _RANDOM[8'hB7][17:12];
+    5244             :         r_3_2 = _RANDOM[8'hB7][23:18];
+    5245             :         r_3_3 = _RANDOM[8'hB7][29:24];
+    5246             :         s3_pred_dup_0_1 = _RANDOM[8'hB7][30];
+    5247             :         s3_pred_dup_1_1 = _RANDOM[8'hB7][31];
+    5248             :         s3_pred_dup_2_1 = _RANDOM[8'hB8][0];
+    5249             :         s3_pred_dup_3_1 = _RANDOM[8'hB8][1];
+    5250             :         sc_enable_dup_REG_1 = _RANDOM[8'hB8][2];
+    5251             :         scTables_0_io_update_mask_0_REG = _RANDOM[8'hB8][3];
+    5252             :         scTables_0_io_update_tagePreds_0_r = _RANDOM[8'hB8][4];
+    5253             :         scTables_0_io_update_takens_0_r = _RANDOM[8'hB8][5];
+    5254             :         scTables_0_io_update_oldCtrs_0_r = _RANDOM[8'hB8][11:6];
+    5255             :         scTables_1_io_update_mask_0_REG = _RANDOM[8'hBE][9];
+    5256             :         scTables_1_io_update_tagePreds_0_r = _RANDOM[8'hBE][10];
+    5257             :         scTables_1_io_update_takens_0_r = _RANDOM[8'hBE][11];
+    5258             :         scTables_1_io_update_oldCtrs_0_r = _RANDOM[8'hBE][17:12];
+    5259             :         scTables_2_io_update_mask_0_REG = _RANDOM[8'hC4][15];
+    5260             :         scTables_2_io_update_tagePreds_0_r = _RANDOM[8'hC4][16];
+    5261             :         scTables_2_io_update_takens_0_r = _RANDOM[8'hC4][17];
+    5262             :         scTables_2_io_update_oldCtrs_0_r = _RANDOM[8'hC4][23:18];
+    5263             :         scTables_3_io_update_mask_0_REG = _RANDOM[8'hCA][21];
+    5264             :         scTables_3_io_update_tagePreds_0_r = _RANDOM[8'hCA][22];
+    5265             :         scTables_3_io_update_takens_0_r = _RANDOM[8'hCA][23];
+    5266             :         scTables_3_io_update_oldCtrs_0_r = _RANDOM[8'hCA][29:24];
+    5267             :         scTables_0_io_update_mask_1_REG = _RANDOM[8'hD0][27];
+    5268             :         scTables_0_io_update_tagePreds_1_r = _RANDOM[8'hD0][28];
+    5269             :         scTables_0_io_update_takens_1_r = _RANDOM[8'hD0][29];
+    5270             :         scTables_0_io_update_oldCtrs_1_r = {_RANDOM[8'hD0][31:30], _RANDOM[8'hD1][3:0]};
+    5271             :         scTables_0_io_update_pc_r_1 = {_RANDOM[8'hD1][31:4], _RANDOM[8'hD2][12:0]};
+    5272             :         scTables_1_io_update_mask_1_REG = _RANDOM[8'hD7][1];
+    5273             :         scTables_1_io_update_tagePreds_1_r = _RANDOM[8'hD7][2];
+    5274             :         scTables_1_io_update_takens_1_r = _RANDOM[8'hD7][3];
+    5275             :         scTables_1_io_update_oldCtrs_1_r = _RANDOM[8'hD7][9:4];
+    5276             :         scTables_1_io_update_pc_r_1 = {_RANDOM[8'hD7][31:10], _RANDOM[8'hD8][18:0]};
+    5277             :         scTables_1_io_update_folded_hist_r_1_hist_12_folded_hist = _RANDOM[8'hDA][4:1];
+    5278             :         scTables_2_io_update_mask_1_REG = _RANDOM[8'hDD][7];
+    5279             :         scTables_2_io_update_tagePreds_1_r = _RANDOM[8'hDD][8];
+    5280             :         scTables_2_io_update_takens_1_r = _RANDOM[8'hDD][9];
+    5281             :         scTables_2_io_update_oldCtrs_1_r = _RANDOM[8'hDD][15:10];
+    5282             :         scTables_2_io_update_pc_r_1 = {_RANDOM[8'hDD][31:16], _RANDOM[8'hDE][24:0]};
+    5283             :         scTables_2_io_update_folded_hist_r_1_hist_11_folded_hist = _RANDOM[8'hE0][18:11];
+    5284             :         scTables_3_io_update_mask_1_REG = _RANDOM[8'hE3][13];
+    5285             :         scTables_3_io_update_tagePreds_1_r = _RANDOM[8'hE3][14];
+    5286             :         scTables_3_io_update_takens_1_r = _RANDOM[8'hE3][15];
+    5287             :         scTables_3_io_update_oldCtrs_1_r = _RANDOM[8'hE3][21:16];
+    5288             :         scTables_3_io_update_pc_r_1 = {_RANDOM[8'hE3][31:22], _RANDOM[8'hE4][30:0]};
+    5289             :         scTables_3_io_update_folded_hist_r_1_hist_2_folded_hist = _RANDOM[8'hE8][31:24];
+    5290             :         io_perf_0_value_REG = _RANDOM[8'hE9][20:19];
+    5291             :         io_perf_0_value_REG_1 = _RANDOM[8'hE9][22:21];
+    5292             :         io_perf_1_value_REG = _RANDOM[8'hE9][24:23];
+    5293             :         io_perf_1_value_REG_1 = _RANDOM[8'hE9][26:25];
+    5294             :         io_perf_2_value_REG = _RANDOM[8'hE9][28:27];
+    5295             :         io_perf_2_value_REG_1 = _RANDOM[8'hE9][30:29];
+    5296             :       `endif // RANDOMIZE_REG_INIT
+    5297          17 :       if (reset) begin
+    5298          12 :         bankTickCtrDistanceToTops_0 = 7'h7F;
+    5299          12 :         bankTickCtrDistanceToTops_1 = 7'h7F;
+    5300          12 :         bankTickCtrs_0 = 7'h0;
+    5301          12 :         bankTickCtrs_1 = 7'h0;
+    5302          12 :         useAltOnNaCtrs_0_0 = 4'h8;
+    5303          12 :         useAltOnNaCtrs_0_1 = 4'h8;
+    5304          12 :         useAltOnNaCtrs_0_2 = 4'h8;
+    5305          12 :         useAltOnNaCtrs_0_3 = 4'h8;
+    5306          12 :         useAltOnNaCtrs_0_4 = 4'h8;
+    5307          12 :         useAltOnNaCtrs_0_5 = 4'h8;
+    5308          12 :         useAltOnNaCtrs_0_6 = 4'h8;
+    5309          12 :         useAltOnNaCtrs_0_7 = 4'h8;
+    5310          12 :         useAltOnNaCtrs_0_8 = 4'h8;
+    5311          12 :         useAltOnNaCtrs_0_9 = 4'h8;
+    5312          12 :         useAltOnNaCtrs_0_10 = 4'h8;
+    5313          12 :         useAltOnNaCtrs_0_11 = 4'h8;
+    5314          12 :         useAltOnNaCtrs_0_12 = 4'h8;
+    5315          12 :         useAltOnNaCtrs_0_13 = 4'h8;
+    5316          12 :         useAltOnNaCtrs_0_14 = 4'h8;
+    5317          12 :         useAltOnNaCtrs_0_15 = 4'h8;
+    5318          12 :         useAltOnNaCtrs_0_16 = 4'h8;
+    5319          12 :         useAltOnNaCtrs_0_17 = 4'h8;
+    5320          12 :         useAltOnNaCtrs_0_18 = 4'h8;
+    5321          12 :         useAltOnNaCtrs_0_19 = 4'h8;
+    5322          12 :         useAltOnNaCtrs_0_20 = 4'h8;
+    5323          12 :         useAltOnNaCtrs_0_21 = 4'h8;
+    5324          12 :         useAltOnNaCtrs_0_22 = 4'h8;
+    5325          12 :         useAltOnNaCtrs_0_23 = 4'h8;
+    5326          12 :         useAltOnNaCtrs_0_24 = 4'h8;
+    5327          12 :         useAltOnNaCtrs_0_25 = 4'h8;
+    5328          12 :         useAltOnNaCtrs_0_26 = 4'h8;
+    5329          12 :         useAltOnNaCtrs_0_27 = 4'h8;
+    5330          12 :         useAltOnNaCtrs_0_28 = 4'h8;
+    5331          12 :         useAltOnNaCtrs_0_29 = 4'h8;
+    5332          12 :         useAltOnNaCtrs_0_30 = 4'h8;
+    5333          12 :         useAltOnNaCtrs_0_31 = 4'h8;
+    5334          12 :         useAltOnNaCtrs_0_32 = 4'h8;
+    5335          12 :         useAltOnNaCtrs_0_33 = 4'h8;
+    5336          12 :         useAltOnNaCtrs_0_34 = 4'h8;
+    5337          12 :         useAltOnNaCtrs_0_35 = 4'h8;
+    5338          12 :         useAltOnNaCtrs_0_36 = 4'h8;
+    5339          12 :         useAltOnNaCtrs_0_37 = 4'h8;
+    5340          12 :         useAltOnNaCtrs_0_38 = 4'h8;
+    5341          12 :         useAltOnNaCtrs_0_39 = 4'h8;
+    5342          12 :         useAltOnNaCtrs_0_40 = 4'h8;
+    5343          12 :         useAltOnNaCtrs_0_41 = 4'h8;
+    5344          12 :         useAltOnNaCtrs_0_42 = 4'h8;
+    5345          12 :         useAltOnNaCtrs_0_43 = 4'h8;
+    5346          12 :         useAltOnNaCtrs_0_44 = 4'h8;
+    5347          12 :         useAltOnNaCtrs_0_45 = 4'h8;
+    5348          12 :         useAltOnNaCtrs_0_46 = 4'h8;
+    5349          12 :         useAltOnNaCtrs_0_47 = 4'h8;
+    5350          12 :         useAltOnNaCtrs_0_48 = 4'h8;
+    5351          12 :         useAltOnNaCtrs_0_49 = 4'h8;
+    5352          12 :         useAltOnNaCtrs_0_50 = 4'h8;
+    5353          12 :         useAltOnNaCtrs_0_51 = 4'h8;
+    5354          12 :         useAltOnNaCtrs_0_52 = 4'h8;
+    5355          12 :         useAltOnNaCtrs_0_53 = 4'h8;
+    5356          12 :         useAltOnNaCtrs_0_54 = 4'h8;
+    5357          12 :         useAltOnNaCtrs_0_55 = 4'h8;
+    5358          12 :         useAltOnNaCtrs_0_56 = 4'h8;
+    5359          12 :         useAltOnNaCtrs_0_57 = 4'h8;
+    5360          12 :         useAltOnNaCtrs_0_58 = 4'h8;
+    5361          12 :         useAltOnNaCtrs_0_59 = 4'h8;
+    5362          12 :         useAltOnNaCtrs_0_60 = 4'h8;
+    5363          12 :         useAltOnNaCtrs_0_61 = 4'h8;
+    5364          12 :         useAltOnNaCtrs_0_62 = 4'h8;
+    5365          12 :         useAltOnNaCtrs_0_63 = 4'h8;
+    5366          12 :         useAltOnNaCtrs_0_64 = 4'h8;
+    5367          12 :         useAltOnNaCtrs_0_65 = 4'h8;
+    5368          12 :         useAltOnNaCtrs_0_66 = 4'h8;
+    5369          12 :         useAltOnNaCtrs_0_67 = 4'h8;
+    5370          12 :         useAltOnNaCtrs_0_68 = 4'h8;
+    5371          12 :         useAltOnNaCtrs_0_69 = 4'h8;
+    5372          12 :         useAltOnNaCtrs_0_70 = 4'h8;
+    5373          12 :         useAltOnNaCtrs_0_71 = 4'h8;
+    5374          12 :         useAltOnNaCtrs_0_72 = 4'h8;
+    5375          12 :         useAltOnNaCtrs_0_73 = 4'h8;
+    5376          12 :         useAltOnNaCtrs_0_74 = 4'h8;
+    5377          12 :         useAltOnNaCtrs_0_75 = 4'h8;
+    5378          12 :         useAltOnNaCtrs_0_76 = 4'h8;
+    5379          12 :         useAltOnNaCtrs_0_77 = 4'h8;
+    5380          12 :         useAltOnNaCtrs_0_78 = 4'h8;
+    5381          12 :         useAltOnNaCtrs_0_79 = 4'h8;
+    5382          12 :         useAltOnNaCtrs_0_80 = 4'h8;
+    5383          12 :         useAltOnNaCtrs_0_81 = 4'h8;
+    5384          12 :         useAltOnNaCtrs_0_82 = 4'h8;
+    5385          12 :         useAltOnNaCtrs_0_83 = 4'h8;
+    5386          12 :         useAltOnNaCtrs_0_84 = 4'h8;
+    5387          12 :         useAltOnNaCtrs_0_85 = 4'h8;
+    5388          12 :         useAltOnNaCtrs_0_86 = 4'h8;
+    5389          12 :         useAltOnNaCtrs_0_87 = 4'h8;
+    5390          12 :         useAltOnNaCtrs_0_88 = 4'h8;
+    5391          12 :         useAltOnNaCtrs_0_89 = 4'h8;
+    5392          12 :         useAltOnNaCtrs_0_90 = 4'h8;
+    5393          12 :         useAltOnNaCtrs_0_91 = 4'h8;
+    5394          12 :         useAltOnNaCtrs_0_92 = 4'h8;
+    5395          12 :         useAltOnNaCtrs_0_93 = 4'h8;
+    5396          12 :         useAltOnNaCtrs_0_94 = 4'h8;
+    5397          12 :         useAltOnNaCtrs_0_95 = 4'h8;
+    5398          12 :         useAltOnNaCtrs_0_96 = 4'h8;
+    5399          12 :         useAltOnNaCtrs_0_97 = 4'h8;
+    5400          12 :         useAltOnNaCtrs_0_98 = 4'h8;
+    5401          12 :         useAltOnNaCtrs_0_99 = 4'h8;
+    5402          12 :         useAltOnNaCtrs_0_100 = 4'h8;
+    5403          12 :         useAltOnNaCtrs_0_101 = 4'h8;
+    5404          12 :         useAltOnNaCtrs_0_102 = 4'h8;
+    5405          12 :         useAltOnNaCtrs_0_103 = 4'h8;
+    5406          12 :         useAltOnNaCtrs_0_104 = 4'h8;
+    5407          12 :         useAltOnNaCtrs_0_105 = 4'h8;
+    5408          12 :         useAltOnNaCtrs_0_106 = 4'h8;
+    5409          12 :         useAltOnNaCtrs_0_107 = 4'h8;
+    5410          12 :         useAltOnNaCtrs_0_108 = 4'h8;
+    5411          12 :         useAltOnNaCtrs_0_109 = 4'h8;
+    5412          12 :         useAltOnNaCtrs_0_110 = 4'h8;
+    5413          12 :         useAltOnNaCtrs_0_111 = 4'h8;
+    5414          12 :         useAltOnNaCtrs_0_112 = 4'h8;
+    5415          12 :         useAltOnNaCtrs_0_113 = 4'h8;
+    5416          12 :         useAltOnNaCtrs_0_114 = 4'h8;
+    5417          12 :         useAltOnNaCtrs_0_115 = 4'h8;
+    5418          12 :         useAltOnNaCtrs_0_116 = 4'h8;
+    5419          12 :         useAltOnNaCtrs_0_117 = 4'h8;
+    5420          12 :         useAltOnNaCtrs_0_118 = 4'h8;
+    5421          12 :         useAltOnNaCtrs_0_119 = 4'h8;
+    5422          12 :         useAltOnNaCtrs_0_120 = 4'h8;
+    5423          12 :         useAltOnNaCtrs_0_121 = 4'h8;
+    5424          12 :         useAltOnNaCtrs_0_122 = 4'h8;
+    5425          12 :         useAltOnNaCtrs_0_123 = 4'h8;
+    5426          12 :         useAltOnNaCtrs_0_124 = 4'h8;
+    5427          12 :         useAltOnNaCtrs_0_125 = 4'h8;
+    5428          12 :         useAltOnNaCtrs_0_126 = 4'h8;
+    5429          12 :         useAltOnNaCtrs_0_127 = 4'h8;
+    5430          12 :         useAltOnNaCtrs_1_0 = 4'h8;
+    5431          12 :         useAltOnNaCtrs_1_1 = 4'h8;
+    5432          12 :         useAltOnNaCtrs_1_2 = 4'h8;
+    5433          12 :         useAltOnNaCtrs_1_3 = 4'h8;
+    5434          12 :         useAltOnNaCtrs_1_4 = 4'h8;
+    5435          12 :         useAltOnNaCtrs_1_5 = 4'h8;
+    5436          12 :         useAltOnNaCtrs_1_6 = 4'h8;
+    5437          12 :         useAltOnNaCtrs_1_7 = 4'h8;
+    5438          12 :         useAltOnNaCtrs_1_8 = 4'h8;
+    5439          12 :         useAltOnNaCtrs_1_9 = 4'h8;
+    5440          12 :         useAltOnNaCtrs_1_10 = 4'h8;
+    5441          12 :         useAltOnNaCtrs_1_11 = 4'h8;
+    5442          12 :         useAltOnNaCtrs_1_12 = 4'h8;
+    5443          12 :         useAltOnNaCtrs_1_13 = 4'h8;
+    5444          12 :         useAltOnNaCtrs_1_14 = 4'h8;
+    5445          12 :         useAltOnNaCtrs_1_15 = 4'h8;
+    5446          12 :         useAltOnNaCtrs_1_16 = 4'h8;
+    5447          12 :         useAltOnNaCtrs_1_17 = 4'h8;
+    5448          12 :         useAltOnNaCtrs_1_18 = 4'h8;
+    5449          12 :         useAltOnNaCtrs_1_19 = 4'h8;
+    5450          12 :         useAltOnNaCtrs_1_20 = 4'h8;
+    5451          12 :         useAltOnNaCtrs_1_21 = 4'h8;
+    5452          12 :         useAltOnNaCtrs_1_22 = 4'h8;
+    5453          12 :         useAltOnNaCtrs_1_23 = 4'h8;
+    5454          12 :         useAltOnNaCtrs_1_24 = 4'h8;
+    5455          12 :         useAltOnNaCtrs_1_25 = 4'h8;
+    5456          12 :         useAltOnNaCtrs_1_26 = 4'h8;
+    5457          12 :         useAltOnNaCtrs_1_27 = 4'h8;
+    5458          12 :         useAltOnNaCtrs_1_28 = 4'h8;
+    5459          12 :         useAltOnNaCtrs_1_29 = 4'h8;
+    5460          12 :         useAltOnNaCtrs_1_30 = 4'h8;
+    5461          12 :         useAltOnNaCtrs_1_31 = 4'h8;
+    5462          12 :         useAltOnNaCtrs_1_32 = 4'h8;
+    5463          12 :         useAltOnNaCtrs_1_33 = 4'h8;
+    5464          12 :         useAltOnNaCtrs_1_34 = 4'h8;
+    5465          12 :         useAltOnNaCtrs_1_35 = 4'h8;
+    5466          12 :         useAltOnNaCtrs_1_36 = 4'h8;
+    5467          12 :         useAltOnNaCtrs_1_37 = 4'h8;
+    5468          12 :         useAltOnNaCtrs_1_38 = 4'h8;
+    5469          12 :         useAltOnNaCtrs_1_39 = 4'h8;
+    5470          12 :         useAltOnNaCtrs_1_40 = 4'h8;
+    5471          12 :         useAltOnNaCtrs_1_41 = 4'h8;
+    5472          12 :         useAltOnNaCtrs_1_42 = 4'h8;
+    5473          12 :         useAltOnNaCtrs_1_43 = 4'h8;
+    5474          12 :         useAltOnNaCtrs_1_44 = 4'h8;
+    5475          12 :         useAltOnNaCtrs_1_45 = 4'h8;
+    5476          12 :         useAltOnNaCtrs_1_46 = 4'h8;
+    5477          12 :         useAltOnNaCtrs_1_47 = 4'h8;
+    5478          12 :         useAltOnNaCtrs_1_48 = 4'h8;
+    5479          12 :         useAltOnNaCtrs_1_49 = 4'h8;
+    5480          12 :         useAltOnNaCtrs_1_50 = 4'h8;
+    5481          12 :         useAltOnNaCtrs_1_51 = 4'h8;
+    5482          12 :         useAltOnNaCtrs_1_52 = 4'h8;
+    5483          12 :         useAltOnNaCtrs_1_53 = 4'h8;
+    5484          12 :         useAltOnNaCtrs_1_54 = 4'h8;
+    5485          12 :         useAltOnNaCtrs_1_55 = 4'h8;
+    5486          12 :         useAltOnNaCtrs_1_56 = 4'h8;
+    5487          12 :         useAltOnNaCtrs_1_57 = 4'h8;
+    5488          12 :         useAltOnNaCtrs_1_58 = 4'h8;
+    5489          12 :         useAltOnNaCtrs_1_59 = 4'h8;
+    5490          12 :         useAltOnNaCtrs_1_60 = 4'h8;
+    5491          12 :         useAltOnNaCtrs_1_61 = 4'h8;
+    5492          12 :         useAltOnNaCtrs_1_62 = 4'h8;
+    5493          12 :         useAltOnNaCtrs_1_63 = 4'h8;
+    5494          12 :         useAltOnNaCtrs_1_64 = 4'h8;
+    5495          12 :         useAltOnNaCtrs_1_65 = 4'h8;
+    5496          12 :         useAltOnNaCtrs_1_66 = 4'h8;
+    5497          12 :         useAltOnNaCtrs_1_67 = 4'h8;
+    5498          12 :         useAltOnNaCtrs_1_68 = 4'h8;
+    5499          12 :         useAltOnNaCtrs_1_69 = 4'h8;
+    5500          12 :         useAltOnNaCtrs_1_70 = 4'h8;
+    5501          12 :         useAltOnNaCtrs_1_71 = 4'h8;
+    5502          12 :         useAltOnNaCtrs_1_72 = 4'h8;
+    5503          12 :         useAltOnNaCtrs_1_73 = 4'h8;
+    5504          12 :         useAltOnNaCtrs_1_74 = 4'h8;
+    5505          12 :         useAltOnNaCtrs_1_75 = 4'h8;
+    5506          12 :         useAltOnNaCtrs_1_76 = 4'h8;
+    5507          12 :         useAltOnNaCtrs_1_77 = 4'h8;
+    5508          12 :         useAltOnNaCtrs_1_78 = 4'h8;
+    5509          12 :         useAltOnNaCtrs_1_79 = 4'h8;
+    5510          12 :         useAltOnNaCtrs_1_80 = 4'h8;
+    5511          12 :         useAltOnNaCtrs_1_81 = 4'h8;
+    5512          12 :         useAltOnNaCtrs_1_82 = 4'h8;
+    5513          12 :         useAltOnNaCtrs_1_83 = 4'h8;
+    5514          12 :         useAltOnNaCtrs_1_84 = 4'h8;
+    5515          12 :         useAltOnNaCtrs_1_85 = 4'h8;
+    5516          12 :         useAltOnNaCtrs_1_86 = 4'h8;
+    5517          12 :         useAltOnNaCtrs_1_87 = 4'h8;
+    5518          12 :         useAltOnNaCtrs_1_88 = 4'h8;
+    5519          12 :         useAltOnNaCtrs_1_89 = 4'h8;
+    5520          12 :         useAltOnNaCtrs_1_90 = 4'h8;
+    5521          12 :         useAltOnNaCtrs_1_91 = 4'h8;
+    5522          12 :         useAltOnNaCtrs_1_92 = 4'h8;
+    5523          12 :         useAltOnNaCtrs_1_93 = 4'h8;
+    5524          12 :         useAltOnNaCtrs_1_94 = 4'h8;
+    5525          12 :         useAltOnNaCtrs_1_95 = 4'h8;
+    5526          12 :         useAltOnNaCtrs_1_96 = 4'h8;
+    5527          12 :         useAltOnNaCtrs_1_97 = 4'h8;
+    5528          12 :         useAltOnNaCtrs_1_98 = 4'h8;
+    5529          12 :         useAltOnNaCtrs_1_99 = 4'h8;
+    5530          12 :         useAltOnNaCtrs_1_100 = 4'h8;
+    5531          12 :         useAltOnNaCtrs_1_101 = 4'h8;
+    5532          12 :         useAltOnNaCtrs_1_102 = 4'h8;
+    5533          12 :         useAltOnNaCtrs_1_103 = 4'h8;
+    5534          12 :         useAltOnNaCtrs_1_104 = 4'h8;
+    5535          12 :         useAltOnNaCtrs_1_105 = 4'h8;
+    5536          12 :         useAltOnNaCtrs_1_106 = 4'h8;
+    5537          12 :         useAltOnNaCtrs_1_107 = 4'h8;
+    5538          12 :         useAltOnNaCtrs_1_108 = 4'h8;
+    5539          12 :         useAltOnNaCtrs_1_109 = 4'h8;
+    5540          12 :         useAltOnNaCtrs_1_110 = 4'h8;
+    5541          12 :         useAltOnNaCtrs_1_111 = 4'h8;
+    5542          12 :         useAltOnNaCtrs_1_112 = 4'h8;
+    5543          12 :         useAltOnNaCtrs_1_113 = 4'h8;
+    5544          12 :         useAltOnNaCtrs_1_114 = 4'h8;
+    5545          12 :         useAltOnNaCtrs_1_115 = 4'h8;
+    5546          12 :         useAltOnNaCtrs_1_116 = 4'h8;
+    5547          12 :         useAltOnNaCtrs_1_117 = 4'h8;
+    5548          12 :         useAltOnNaCtrs_1_118 = 4'h8;
+    5549          12 :         useAltOnNaCtrs_1_119 = 4'h8;
+    5550          12 :         useAltOnNaCtrs_1_120 = 4'h8;
+    5551          12 :         useAltOnNaCtrs_1_121 = 4'h8;
+    5552          12 :         useAltOnNaCtrs_1_122 = 4'h8;
+    5553          12 :         useAltOnNaCtrs_1_123 = 4'h8;
+    5554          12 :         useAltOnNaCtrs_1_124 = 4'h8;
+    5555          12 :         useAltOnNaCtrs_1_125 = 4'h8;
+    5556          12 :         useAltOnNaCtrs_1_126 = 4'h8;
+    5557          12 :         useAltOnNaCtrs_1_127 = 4'h8;
+    5558          12 :         allocLFSR_lfsr = 64'h1234567887654321;
+    5559          12 :         allocLFSR_lfsr_1 = 64'h1234567887654321;
+    5560          12 :         scThresholds_0_ctr = 5'h10;
+    5561          12 :         scThresholds_0_thres = 8'h6;
+    5562          12 :         scThresholds_1_ctr = 5'h10;
+    5563          12 :         scThresholds_1_thres = 8'h6;
+    5564             :       end
+    5565             :     end // initial
+    5566             :     `ifdef FIRRTL_AFTER_INITIAL
+    5567             :       `FIRRTL_AFTER_INITIAL
+    5568             :     `endif // FIRRTL_AFTER_INITIAL
+    5569             :   `endif // ENABLE_INITIAL_REG_
+    5570             :   DelayN_2 reset_vector_delay (
+    5571             :     .clock  (clock),
+    5572             :     .io_in  (io_reset_vector),
+    5573             :     .io_out (_reset_vector_delay_io_out)
+    5574             :   );
+    5575             :   TageTable tables_0 (
+    5576             :     .clock                                       (clock),
+    5577             :     .reset                                       (reset),
+    5578             :     .io_req_ready                                (_tables_0_io_req_ready),
+    5579             :     .io_req_valid                                (io_s0_fire_1),
+    5580             :     .io_req_bits_pc                              (io_in_bits_s0_pc_1),
+    5581             :     .io_req_bits_folded_hist_hist_14_folded_hist
+    5582             :       (io_in_bits_folded_hist_1_hist_14_folded_hist),
+    5583             :     .io_req_bits_folded_hist_hist_7_folded_hist
+    5584             :       (io_in_bits_folded_hist_1_hist_7_folded_hist),
+    5585             :     .io_resps_0_valid                            (_tables_0_io_resps_0_valid),
+    5586             :     .io_resps_0_bits_ctr                         (_tables_0_io_resps_0_bits_ctr),
+    5587             :     .io_resps_0_bits_u                           (_tables_0_io_resps_0_bits_u),
+    5588             :     .io_resps_0_bits_unconf                      (_tables_0_io_resps_0_bits_unconf),
+    5589             :     .io_resps_1_valid                            (_tables_0_io_resps_1_valid),
+    5590             :     .io_resps_1_bits_ctr                         (_tables_0_io_resps_1_bits_ctr),
+    5591             :     .io_resps_1_bits_u                           (_tables_0_io_resps_1_bits_u),
+    5592             :     .io_resps_1_bits_unconf                      (_tables_0_io_resps_1_bits_unconf),
+    5593             :     .io_update_pc                                (tables_0_io_update_pc_r_1),
+    5594             :     .io_update_folded_hist_hist_14_folded_hist
+    5595             :       (tables_0_io_update_folded_hist_r_1_hist_14_folded_hist),
+    5596             :     .io_update_folded_hist_hist_7_folded_hist
+    5597             :       (tables_0_io_update_folded_hist_r_1_hist_7_folded_hist),
+    5598             :     .io_update_mask_0                            (tables_0_io_update_mask_0_REG),
+    5599             :     .io_update_mask_1                            (tables_0_io_update_mask_1_REG),
+    5600             :     .io_update_takens_0                          (tables_0_io_update_takens_0_r),
+    5601             :     .io_update_takens_1                          (tables_0_io_update_takens_1_r),
+    5602             :     .io_update_alloc_0                           (tables_0_io_update_alloc_0_r),
+    5603             :     .io_update_alloc_1                           (tables_0_io_update_alloc_1_r),
+    5604             :     .io_update_oldCtrs_0                         (tables_0_io_update_oldCtrs_0_r),
+    5605             :     .io_update_oldCtrs_1                         (tables_0_io_update_oldCtrs_1_r),
+    5606             :     .io_update_uMask_0                           (tables_0_io_update_uMask_0_r),
+    5607             :     .io_update_uMask_1                           (tables_0_io_update_uMask_1_r),
+    5608             :     .io_update_us_0                              (tables_0_io_update_us_0_r),
+    5609             :     .io_update_us_1                              (tables_0_io_update_us_1_r),
+    5610             :     .io_update_reset_u_0                         (tables_0_io_update_reset_u_0_REG),
+    5611             :     .io_update_reset_u_1                         (tables_0_io_update_reset_u_1_REG)
+    5612             :   );
+    5613             :   TageTable_1 tables_1 (
+    5614             :     .clock                                       (clock),
+    5615             :     .reset                                       (reset),
+    5616             :     .io_req_ready                                (_tables_1_io_req_ready),
+    5617             :     .io_req_valid                                (io_s0_fire_1),
+    5618             :     .io_req_bits_pc                              (io_in_bits_s0_pc_1),
+    5619             :     .io_req_bits_folded_hist_hist_15_folded_hist
+    5620             :       (io_in_bits_folded_hist_1_hist_15_folded_hist),
+    5621             :     .io_req_bits_folded_hist_hist_4_folded_hist
+    5622             :       (io_in_bits_folded_hist_1_hist_4_folded_hist),
+    5623             :     .io_req_bits_folded_hist_hist_1_folded_hist
+    5624             :       (io_in_bits_folded_hist_1_hist_1_folded_hist),
+    5625             :     .io_resps_0_valid                            (_tables_1_io_resps_0_valid),
+    5626             :     .io_resps_0_bits_ctr                         (_tables_1_io_resps_0_bits_ctr),
+    5627             :     .io_resps_0_bits_u                           (_tables_1_io_resps_0_bits_u),
+    5628             :     .io_resps_0_bits_unconf                      (_tables_1_io_resps_0_bits_unconf),
+    5629             :     .io_resps_1_valid                            (_tables_1_io_resps_1_valid),
+    5630             :     .io_resps_1_bits_ctr                         (_tables_1_io_resps_1_bits_ctr),
+    5631             :     .io_resps_1_bits_u                           (_tables_1_io_resps_1_bits_u),
+    5632             :     .io_resps_1_bits_unconf                      (_tables_1_io_resps_1_bits_unconf),
+    5633             :     .io_update_pc                                (tables_1_io_update_pc_r_1),
+    5634             :     .io_update_folded_hist_hist_15_folded_hist
+    5635             :       (tables_1_io_update_folded_hist_r_1_hist_15_folded_hist),
+    5636             :     .io_update_folded_hist_hist_4_folded_hist
+    5637             :       (tables_1_io_update_folded_hist_r_1_hist_4_folded_hist),
+    5638             :     .io_update_folded_hist_hist_1_folded_hist
+    5639             :       (tables_1_io_update_folded_hist_r_1_hist_1_folded_hist),
+    5640             :     .io_update_mask_0                            (tables_1_io_update_mask_0_REG),
+    5641             :     .io_update_mask_1                            (tables_1_io_update_mask_1_REG),
+    5642             :     .io_update_takens_0                          (tables_1_io_update_takens_0_r),
+    5643             :     .io_update_takens_1                          (tables_1_io_update_takens_1_r),
+    5644             :     .io_update_alloc_0                           (tables_1_io_update_alloc_0_r),
+    5645             :     .io_update_alloc_1                           (tables_1_io_update_alloc_1_r),
+    5646             :     .io_update_oldCtrs_0                         (tables_1_io_update_oldCtrs_0_r),
+    5647             :     .io_update_oldCtrs_1                         (tables_1_io_update_oldCtrs_1_r),
+    5648             :     .io_update_uMask_0                           (tables_1_io_update_uMask_0_r),
+    5649             :     .io_update_uMask_1                           (tables_1_io_update_uMask_1_r),
+    5650             :     .io_update_us_0                              (tables_1_io_update_us_0_r),
+    5651             :     .io_update_us_1                              (tables_1_io_update_us_1_r),
+    5652             :     .io_update_reset_u_0                         (tables_1_io_update_reset_u_0_REG),
+    5653             :     .io_update_reset_u_1                         (tables_1_io_update_reset_u_1_REG)
+    5654             :   );
+    5655             :   TageTable_2 tables_2 (
+    5656             :     .clock                                       (clock),
+    5657             :     .reset                                       (reset),
+    5658             :     .io_req_ready                                (_tables_2_io_req_ready),
+    5659             :     .io_req_valid                                (io_s0_fire_1),
+    5660             :     .io_req_bits_pc                              (io_in_bits_s0_pc_1),
+    5661             :     .io_req_bits_folded_hist_hist_17_folded_hist
+    5662             :       (io_in_bits_folded_hist_1_hist_17_folded_hist),
+    5663             :     .io_req_bits_folded_hist_hist_9_folded_hist
+    5664             :       (io_in_bits_folded_hist_1_hist_9_folded_hist),
+    5665             :     .io_req_bits_folded_hist_hist_3_folded_hist
+    5666             :       (io_in_bits_folded_hist_1_hist_3_folded_hist),
+    5667             :     .io_resps_0_valid                            (_tables_2_io_resps_0_valid),
+    5668             :     .io_resps_0_bits_ctr                         (_tables_2_io_resps_0_bits_ctr),
+    5669             :     .io_resps_0_bits_u                           (_tables_2_io_resps_0_bits_u),
+    5670             :     .io_resps_0_bits_unconf                      (_tables_2_io_resps_0_bits_unconf),
+    5671             :     .io_resps_1_valid                            (_tables_2_io_resps_1_valid),
+    5672             :     .io_resps_1_bits_ctr                         (_tables_2_io_resps_1_bits_ctr),
+    5673             :     .io_resps_1_bits_u                           (_tables_2_io_resps_1_bits_u),
+    5674             :     .io_resps_1_bits_unconf                      (_tables_2_io_resps_1_bits_unconf),
+    5675             :     .io_update_pc                                (tables_2_io_update_pc_r_1),
+    5676             :     .io_update_folded_hist_hist_17_folded_hist
+    5677             :       (tables_2_io_update_folded_hist_r_1_hist_17_folded_hist),
+    5678             :     .io_update_folded_hist_hist_9_folded_hist
+    5679             :       (tables_2_io_update_folded_hist_r_1_hist_9_folded_hist),
+    5680             :     .io_update_folded_hist_hist_3_folded_hist
+    5681             :       (tables_2_io_update_folded_hist_r_1_hist_3_folded_hist),
+    5682             :     .io_update_mask_0                            (tables_2_io_update_mask_0_REG),
+    5683             :     .io_update_mask_1                            (tables_2_io_update_mask_1_REG),
+    5684             :     .io_update_takens_0                          (tables_2_io_update_takens_0_r),
+    5685             :     .io_update_takens_1                          (tables_2_io_update_takens_1_r),
+    5686             :     .io_update_alloc_0                           (tables_2_io_update_alloc_0_r),
+    5687             :     .io_update_alloc_1                           (tables_2_io_update_alloc_1_r),
+    5688             :     .io_update_oldCtrs_0                         (tables_2_io_update_oldCtrs_0_r),
+    5689             :     .io_update_oldCtrs_1                         (tables_2_io_update_oldCtrs_1_r),
+    5690             :     .io_update_uMask_0                           (tables_2_io_update_uMask_0_r),
+    5691             :     .io_update_uMask_1                           (tables_2_io_update_uMask_1_r),
+    5692             :     .io_update_us_0                              (tables_2_io_update_us_0_r),
+    5693             :     .io_update_us_1                              (tables_2_io_update_us_1_r),
+    5694             :     .io_update_reset_u_0                         (tables_2_io_update_reset_u_0_REG),
+    5695             :     .io_update_reset_u_1                         (tables_2_io_update_reset_u_1_REG)
+    5696             :   );
+    5697             :   TageTable_3 tables_3 (
+    5698             :     .clock                                       (clock),
+    5699             :     .reset                                       (reset),
+    5700             :     .io_req_ready                                (_tables_3_io_req_ready),
+    5701             :     .io_req_valid                                (io_s0_fire_1),
+    5702             :     .io_req_bits_pc                              (io_in_bits_s0_pc_1),
+    5703             :     .io_req_bits_folded_hist_hist_16_folded_hist
+    5704             :       (io_in_bits_folded_hist_1_hist_16_folded_hist),
+    5705             :     .io_req_bits_folded_hist_hist_8_folded_hist
+    5706             :       (io_in_bits_folded_hist_1_hist_8_folded_hist),
+    5707             :     .io_req_bits_folded_hist_hist_5_folded_hist
+    5708             :       (io_in_bits_folded_hist_1_hist_5_folded_hist),
+    5709             :     .io_resps_0_valid                            (_tables_3_io_resps_0_valid),
+    5710             :     .io_resps_0_bits_ctr                         (_tables_3_io_resps_0_bits_ctr),
+    5711             :     .io_resps_0_bits_u                           (_tables_3_io_resps_0_bits_u),
+    5712             :     .io_resps_0_bits_unconf                      (_tables_3_io_resps_0_bits_unconf),
+    5713             :     .io_resps_1_valid                            (_tables_3_io_resps_1_valid),
+    5714             :     .io_resps_1_bits_ctr                         (_tables_3_io_resps_1_bits_ctr),
+    5715             :     .io_resps_1_bits_u                           (_tables_3_io_resps_1_bits_u),
+    5716             :     .io_resps_1_bits_unconf                      (_tables_3_io_resps_1_bits_unconf),
+    5717             :     .io_update_pc                                (tables_3_io_update_pc_r_1),
+    5718             :     .io_update_folded_hist_hist_16_folded_hist
+    5719             :       (tables_3_io_update_folded_hist_r_1_hist_16_folded_hist),
+    5720             :     .io_update_folded_hist_hist_8_folded_hist
+    5721             :       (tables_3_io_update_folded_hist_r_1_hist_8_folded_hist),
+    5722             :     .io_update_folded_hist_hist_5_folded_hist
+    5723             :       (tables_3_io_update_folded_hist_r_1_hist_5_folded_hist),
+    5724             :     .io_update_mask_0                            (tables_3_io_update_mask_0_REG),
+    5725             :     .io_update_mask_1                            (tables_3_io_update_mask_1_REG),
+    5726             :     .io_update_takens_0                          (tables_3_io_update_takens_0_r),
+    5727             :     .io_update_takens_1                          (tables_3_io_update_takens_1_r),
+    5728             :     .io_update_alloc_0                           (tables_3_io_update_alloc_0_r),
+    5729             :     .io_update_alloc_1                           (tables_3_io_update_alloc_1_r),
+    5730             :     .io_update_oldCtrs_0                         (tables_3_io_update_oldCtrs_0_r),
+    5731             :     .io_update_oldCtrs_1                         (tables_3_io_update_oldCtrs_1_r),
+    5732             :     .io_update_uMask_0                           (tables_3_io_update_uMask_0_r),
+    5733             :     .io_update_uMask_1                           (tables_3_io_update_uMask_1_r),
+    5734             :     .io_update_us_0                              (tables_3_io_update_us_0_r),
+    5735             :     .io_update_us_1                              (tables_3_io_update_us_1_r),
+    5736             :     .io_update_reset_u_0                         (tables_3_io_update_reset_u_0_REG),
+    5737             :     .io_update_reset_u_1                         (tables_3_io_update_reset_u_1_REG)
+    5738             :   );
+    5739             :   TageBTable bt (
+    5740             :     .clock              (clock),
+    5741             :     .reset              (reset),
+    5742             :     .io_req_ready       (_bt_io_req_ready),
+    5743             :     .io_req_valid       (io_s0_fire_1),
+    5744             :     .io_req_bits        (io_in_bits_s0_pc_1),
+    5745             :     .io_s1_cnt_0        (_bt_io_s1_cnt_0),
+    5746             :     .io_s1_cnt_1        (_bt_io_s1_cnt_1),
+    5747             :     .io_update_mask_0   (REG_2_0),
+    5748             :     .io_update_mask_1   (REG_2_1),
+    5749             :     .io_update_pc       (bt_io_update_pc_r),
+    5750             :     .io_update_cnt_0    (r_0),
+    5751             :     .io_update_cnt_1    (r_1),
+    5752             :     .io_update_takens_0 (r_1_0),
+    5753             :     .io_update_takens_1 (r_1_1)
+    5754             :   );
+    5755             :   SCTable scTables_0 (
+    5756             :     .clock                 (clock),
+    5757             :     .reset                 (reset),
+    5758             :     .io_req_valid          (io_s0_fire_3),
+    5759             :     .io_req_bits_pc        (io_in_bits_s0_pc_3),
+    5760             :     .io_resp_ctrs_0_0      (_scTables_0_io_resp_ctrs_0_0),
+    5761             :     .io_resp_ctrs_0_1      (_scTables_0_io_resp_ctrs_0_1),
+    5762             :     .io_resp_ctrs_1_0      (_scTables_0_io_resp_ctrs_1_0),
+    5763             :     .io_resp_ctrs_1_1      (_scTables_0_io_resp_ctrs_1_1),
+    5764             :     .io_update_pc          (scTables_0_io_update_pc_r_1),
+    5765             :     .io_update_mask_0      (scTables_0_io_update_mask_0_REG),
+    5766             :     .io_update_mask_1      (scTables_0_io_update_mask_1_REG),
+    5767             :     .io_update_oldCtrs_0   (scTables_0_io_update_oldCtrs_0_r),
+    5768             :     .io_update_oldCtrs_1   (scTables_0_io_update_oldCtrs_1_r),
+    5769             :     .io_update_tagePreds_0 (scTables_0_io_update_tagePreds_0_r),
+    5770             :     .io_update_tagePreds_1 (scTables_0_io_update_tagePreds_1_r),
+    5771             :     .io_update_takens_0    (scTables_0_io_update_takens_0_r),
+    5772             :     .io_update_takens_1    (scTables_0_io_update_takens_1_r)
+    5773             :   );
+    5774             :   SCTable_1 scTables_1 (
+    5775             :     .clock                                       (clock),
+    5776             :     .reset                                       (reset),
+    5777             :     .io_req_valid                                (io_s0_fire_3),
+    5778             :     .io_req_bits_pc                              (io_in_bits_s0_pc_3),
+    5779             :     .io_req_bits_folded_hist_hist_12_folded_hist
+    5780             :       (io_in_bits_folded_hist_3_hist_12_folded_hist),
+    5781             :     .io_resp_ctrs_0_0                            (_scTables_1_io_resp_ctrs_0_0),
+    5782             :     .io_resp_ctrs_0_1                            (_scTables_1_io_resp_ctrs_0_1),
+    5783             :     .io_resp_ctrs_1_0                            (_scTables_1_io_resp_ctrs_1_0),
+    5784             :     .io_resp_ctrs_1_1                            (_scTables_1_io_resp_ctrs_1_1),
+    5785             :     .io_update_pc                                (scTables_1_io_update_pc_r_1),
+    5786             :     .io_update_folded_hist_hist_12_folded_hist
+    5787             :       (scTables_1_io_update_folded_hist_r_1_hist_12_folded_hist),
+    5788             :     .io_update_mask_0                            (scTables_1_io_update_mask_0_REG),
+    5789             :     .io_update_mask_1                            (scTables_1_io_update_mask_1_REG),
+    5790             :     .io_update_oldCtrs_0                         (scTables_1_io_update_oldCtrs_0_r),
+    5791             :     .io_update_oldCtrs_1                         (scTables_1_io_update_oldCtrs_1_r),
+    5792             :     .io_update_tagePreds_0                       (scTables_1_io_update_tagePreds_0_r),
+    5793             :     .io_update_tagePreds_1                       (scTables_1_io_update_tagePreds_1_r),
+    5794             :     .io_update_takens_0                          (scTables_1_io_update_takens_0_r),
+    5795             :     .io_update_takens_1                          (scTables_1_io_update_takens_1_r)
+    5796             :   );
+    5797             :   SCTable_2 scTables_2 (
+    5798             :     .clock                                       (clock),
+    5799             :     .reset                                       (reset),
+    5800             :     .io_req_valid                                (io_s0_fire_3),
+    5801             :     .io_req_bits_pc                              (io_in_bits_s0_pc_3),
+    5802             :     .io_req_bits_folded_hist_hist_11_folded_hist
+    5803             :       (io_in_bits_folded_hist_3_hist_11_folded_hist),
+    5804             :     .io_resp_ctrs_0_0                            (_scTables_2_io_resp_ctrs_0_0),
+    5805             :     .io_resp_ctrs_0_1                            (_scTables_2_io_resp_ctrs_0_1),
+    5806             :     .io_resp_ctrs_1_0                            (_scTables_2_io_resp_ctrs_1_0),
+    5807             :     .io_resp_ctrs_1_1                            (_scTables_2_io_resp_ctrs_1_1),
+    5808             :     .io_update_pc                                (scTables_2_io_update_pc_r_1),
+    5809             :     .io_update_folded_hist_hist_11_folded_hist
+    5810             :       (scTables_2_io_update_folded_hist_r_1_hist_11_folded_hist),
+    5811             :     .io_update_mask_0                            (scTables_2_io_update_mask_0_REG),
+    5812             :     .io_update_mask_1                            (scTables_2_io_update_mask_1_REG),
+    5813             :     .io_update_oldCtrs_0                         (scTables_2_io_update_oldCtrs_0_r),
+    5814             :     .io_update_oldCtrs_1                         (scTables_2_io_update_oldCtrs_1_r),
+    5815             :     .io_update_tagePreds_0                       (scTables_2_io_update_tagePreds_0_r),
+    5816             :     .io_update_tagePreds_1                       (scTables_2_io_update_tagePreds_1_r),
+    5817             :     .io_update_takens_0                          (scTables_2_io_update_takens_0_r),
+    5818             :     .io_update_takens_1                          (scTables_2_io_update_takens_1_r)
+    5819             :   );
+    5820             :   SCTable_3 scTables_3 (
+    5821             :     .clock                                      (clock),
+    5822             :     .reset                                      (reset),
+    5823             :     .io_req_valid                               (io_s0_fire_3),
+    5824             :     .io_req_bits_pc                             (io_in_bits_s0_pc_3),
+    5825             :     .io_req_bits_folded_hist_hist_2_folded_hist
+    5826             :       (io_in_bits_folded_hist_3_hist_2_folded_hist),
+    5827             :     .io_resp_ctrs_0_0                           (_scTables_3_io_resp_ctrs_0_0),
+    5828             :     .io_resp_ctrs_0_1                           (_scTables_3_io_resp_ctrs_0_1),
+    5829             :     .io_resp_ctrs_1_0                           (_scTables_3_io_resp_ctrs_1_0),
+    5830             :     .io_resp_ctrs_1_1                           (_scTables_3_io_resp_ctrs_1_1),
+    5831             :     .io_update_pc                               (scTables_3_io_update_pc_r_1),
+    5832             :     .io_update_folded_hist_hist_2_folded_hist
+    5833             :       (scTables_3_io_update_folded_hist_r_1_hist_2_folded_hist),
+    5834             :     .io_update_mask_0                           (scTables_3_io_update_mask_0_REG),
+    5835             :     .io_update_mask_1                           (scTables_3_io_update_mask_1_REG),
+    5836             :     .io_update_oldCtrs_0                        (scTables_3_io_update_oldCtrs_0_r),
+    5837             :     .io_update_oldCtrs_1                        (scTables_3_io_update_oldCtrs_1_r),
+    5838             :     .io_update_tagePreds_0                      (scTables_3_io_update_tagePreds_0_r),
+    5839             :     .io_update_tagePreds_1                      (scTables_3_io_update_tagePreds_1_r),
+    5840             :     .io_update_takens_0                         (scTables_3_io_update_takens_0_r),
+    5841             :     .io_update_takens_1                         (scTables_3_io_update_takens_1_r)
+    5842             :   );
+    5843             :   assign io_out_s2_full_pred_0_br_taken_mask_0 =
+    5844             :     tage_enable_dup_REG & s2_tageTakens_dup_0_0;
+    5845             :   assign io_out_s2_full_pred_0_br_taken_mask_1 =
+    5846             :     tage_enable_dup_REG_1 & s2_tageTakens_dup_0_1;
+    5847             :   assign io_out_s2_full_pred_1_br_taken_mask_0 =
+    5848             :     tage_enable_dup_REG & s2_tageTakens_dup_1_0;
+    5849             :   assign io_out_s2_full_pred_1_br_taken_mask_1 =
+    5850             :     tage_enable_dup_REG_1 & s2_tageTakens_dup_1_1;
+    5851             :   assign io_out_s2_full_pred_2_br_taken_mask_0 =
+    5852             :     tage_enable_dup_REG & s2_tageTakens_dup_2_0;
+    5853             :   assign io_out_s2_full_pred_2_br_taken_mask_1 =
+    5854             :     tage_enable_dup_REG_1 & s2_tageTakens_dup_2_1;
+    5855             :   assign io_out_s2_full_pred_3_br_taken_mask_0 =
+    5856             :     tage_enable_dup_REG & s2_tageTakens_dup_3_0;
+    5857             :   assign io_out_s2_full_pred_3_br_taken_mask_1 =
+    5858             :     tage_enable_dup_REG_1 & s2_tageTakens_dup_3_1;
+    5859             :   assign io_out_s3_full_pred_0_br_taken_mask_0 = sc_enable_dup_REG & s3_pred_dup_0;
+    5860             :   assign io_out_s3_full_pred_0_br_taken_mask_1 = sc_enable_dup_REG_1 & s3_pred_dup_0_1;
+    5861             :   assign io_out_s3_full_pred_1_br_taken_mask_0 = sc_enable_dup_REG & s3_pred_dup_1;
+    5862             :   assign io_out_s3_full_pred_1_br_taken_mask_1 = sc_enable_dup_REG_1 & s3_pred_dup_1_1;
+    5863             :   assign io_out_s3_full_pred_2_br_taken_mask_0 = sc_enable_dup_REG & s3_pred_dup_2;
+    5864             :   assign io_out_s3_full_pred_2_br_taken_mask_1 = sc_enable_dup_REG_1 & s3_pred_dup_2_1;
+    5865             :   assign io_out_s3_full_pred_3_br_taken_mask_0 = sc_enable_dup_REG & s3_pred_dup_3;
+    5866             :   assign io_out_s3_full_pred_3_br_taken_mask_1 = sc_enable_dup_REG_1 & s3_pred_dup_3_1;
+    5867             :   assign io_out_last_stage_meta =
+    5868             :     {135'h0,
+    5869             :      resp_meta_providers_1_valid_r,
+    5870             :      resp_meta_providers_1_bits_r,
+    5871             :      resp_meta_providers_0_valid_r,
+    5872             :      resp_meta_providers_0_bits_r,
+    5873             :      resp_meta_providerResps_1_r_ctr,
+    5874             :      resp_meta_providerResps_1_r_u,
+    5875             :      resp_meta_providerResps_1_r_unconf,
+    5876             :      resp_meta_providerResps_0_r_ctr,
+    5877             :      resp_meta_providerResps_0_r_u,
+    5878             :      resp_meta_providerResps_0_r_unconf,
+    5879             :      resp_meta_altUsed_1_r,
+    5880             :      resp_meta_altUsed_0_r,
+    5881             :      resp_meta_altDiffers_1_r,
+    5882             :      resp_meta_altDiffers_0_r,
+    5883             :      resp_meta_basecnts_1_r,
+    5884             :      resp_meta_basecnts_0_r,
+    5885             :      resp_meta_allocates_1_r,
+    5886             :      resp_meta_allocates_0_r,
+    5887             :      resp_meta_takens_1_r,
+    5888             :      resp_meta_takens_0_r,
+    5889             :      resp_meta_scMeta_tageTakens_1_r,
+    5890             :      resp_meta_scMeta_tageTakens_0_r,
+    5891             :      resp_meta_scMeta_scUsed_1_r,
+    5892             :      resp_meta_scMeta_scUsed_0_r,
+    5893             :      resp_meta_scMeta_scPreds_1_r,
+    5894             :      resp_meta_scMeta_scPreds_0_r,
+    5895             :      r_3_3,
+    5896             :      r_3_2,
+    5897             :      r_3_1,
+    5898             :      r_3_0,
+    5899             :      r_2_3,
+    5900             :      r_2_2,
+    5901             :      r_2_1,
+    5902             :      r_2_0};
+    5903             :   assign io_s1_ready =
+    5904             :     _tables_0_io_req_ready & _tables_1_io_req_ready & _tables_2_io_req_ready
+    5905             :     & _tables_3_io_req_ready & _bt_io_req_ready;
+    5906             :   assign io_perf_0_value = {4'h0, io_perf_0_value_REG_1};
+    5907             :   assign io_perf_1_value = {4'h0, io_perf_1_value_REG_1};
+    5908             :   assign io_perf_2_value = {4'h0, io_perf_2_value_REG_1};
+    5909             : endmodule
+    5910             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.func-sort-c.html new file mode 100644 index 0000000..29e2726 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:11412392.7 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.func.html new file mode 100644 index 0000000..4b23522 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:11412392.7 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.gcov.html new file mode 100644 index 0000000..011ba03 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass.sv.gcov.html @@ -0,0 +1,357 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:11412392.7 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module WrBypass(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61         598 :   input        io_wen,
+      62         261 :   input  [8:0] io_write_idx,
+      63        1513 :   input  [2:0] io_write_data_0,
+      64         594 :   output       io_hit,
+      65         586 :   output       io_hit_data_0_valid,
+      66        1716 :   output [2:0] io_hit_data_0_bits
+      67             : );
+      68             : 
+      69             :   wire       _idx_tag_cam_io_r_resp_0_0;
+      70             :   wire       _idx_tag_cam_io_r_resp_0_1;
+      71             :   wire       _idx_tag_cam_io_r_resp_0_2;
+      72             :   wire       _idx_tag_cam_io_r_resp_0_3;
+      73             :   wire       _idx_tag_cam_io_r_resp_0_4;
+      74             :   wire       _idx_tag_cam_io_r_resp_0_5;
+      75             :   wire       _idx_tag_cam_io_r_resp_0_6;
+      76             :   wire       _idx_tag_cam_io_r_resp_0_7;
+      77         718 :   reg        valids_0_0;
+      78         739 :   reg        valids_1_0;
+      79         705 :   reg        valids_2_0;
+      80         734 :   reg        valids_3_0;
+      81         751 :   reg        valids_4_0;
+      82         739 :   reg        valids_5_0;
+      83         722 :   reg        valids_6_0;
+      84         741 :   reg        valids_7_0;
+      85         732 :   reg        ever_written_0;
+      86         748 :   reg        ever_written_1;
+      87         724 :   reg        ever_written_2;
+      88         770 :   reg        ever_written_3;
+      89         751 :   reg        ever_written_4;
+      90         758 :   reg        ever_written_5;
+      91         766 :   reg        ever_written_6;
+      92         761 :   reg        ever_written_7;
+      93         463 :   wire       hits_oh_0 = _idx_tag_cam_io_r_resp_0_0 & ever_written_0;
+      94         466 :   wire       hits_oh_1 = _idx_tag_cam_io_r_resp_0_1 & ever_written_1;
+      95         485 :   wire       hits_oh_2 = _idx_tag_cam_io_r_resp_0_2 & ever_written_2;
+      96         476 :   wire       hits_oh_3 = _idx_tag_cam_io_r_resp_0_3 & ever_written_3;
+      97         507 :   wire       hits_oh_4 = _idx_tag_cam_io_r_resp_0_4 & ever_written_4;
+      98         477 :   wire       hits_oh_5 = _idx_tag_cam_io_r_resp_0_5 & ever_written_5;
+      99         447 :   wire       hits_oh_6 = _idx_tag_cam_io_r_resp_0_6 & ever_written_6;
+     100         475 :   wire       hits_oh_7 = _idx_tag_cam_io_r_resp_0_7 & ever_written_7;
+     101             :   wire [2:0] _hit_idx_T_2 =
+     102             :     {hits_oh_7, hits_oh_6, hits_oh_5} | {hits_oh_3, hits_oh_2, hits_oh_1};
+     103        1583 :   wire [2:0] hit_idx =
+     104             :     {|{hits_oh_7, hits_oh_6, hits_oh_5, hits_oh_4},
+     105             :      |(_hit_idx_T_2[2:1]),
+     106             :      _hit_idx_T_2[2] | _hit_idx_T_2[0]};
+     107         594 :   wire       hit =
+     108             :     hits_oh_0 | hits_oh_1 | hits_oh_2 | hits_oh_3 | hits_oh_4 | hits_oh_5 | hits_oh_6
+     109             :     | hits_oh_7;
+     110        5323 :   reg  [6:0] state_reg;
+     111        2358 :   wire [2:0] enq_idx =
+     112             :     {state_reg[6],
+     113             :      state_reg[6]
+     114             :        ? {state_reg[5], state_reg[5] ? state_reg[4] : state_reg[3]}
+     115             :        : {state_reg[2], state_reg[2] ? state_reg[1] : state_reg[0]}};
+     116        2233 :   wire [2:0] state_reg_touch_way_sized = hit ? hit_idx : enq_idx;
+     117             :   wire       _GEN = enq_idx == 3'h0;
+     118             :   wire       _GEN_0 = enq_idx == 3'h1;
+     119             :   wire       _GEN_1 = enq_idx == 3'h2;
+     120             :   wire       _GEN_2 = enq_idx == 3'h3;
+     121             :   wire       _GEN_3 = enq_idx == 3'h4;
+     122             :   wire       _GEN_4 = enq_idx == 3'h5;
+     123             :   wire       _GEN_5 = enq_idx == 3'h6;
+     124     4087360 :   always @(posedge clock or posedge reset) begin
+     125        8704 :     if (reset) begin
+     126        4352 :       valids_0_0 <= 1'h0;
+     127        4352 :       valids_1_0 <= 1'h0;
+     128        4352 :       valids_2_0 <= 1'h0;
+     129        4352 :       valids_3_0 <= 1'h0;
+     130        4352 :       valids_4_0 <= 1'h0;
+     131        4352 :       valids_5_0 <= 1'h0;
+     132        4352 :       valids_6_0 <= 1'h0;
+     133        4352 :       valids_7_0 <= 1'h0;
+     134        4352 :       ever_written_0 <= 1'h0;
+     135        4352 :       ever_written_1 <= 1'h0;
+     136        4352 :       ever_written_2 <= 1'h0;
+     137        4352 :       ever_written_3 <= 1'h0;
+     138        4352 :       ever_written_4 <= 1'h0;
+     139        4352 :       ever_written_5 <= 1'h0;
+     140        4352 :       ever_written_6 <= 1'h0;
+     141        4352 :       ever_written_7 <= 1'h0;
+     142        4352 :       state_reg <= 7'h0;
+     143             :     end
+     144     2039328 :     else begin
+     145         142 :       if (io_wen) begin
+     146           0 :         if (hit) begin
+     147           0 :           valids_0_0 <= hit_idx == 3'h0 | valids_0_0;
+     148           0 :           valids_1_0 <= hit_idx == 3'h1 | valids_1_0;
+     149           0 :           valids_2_0 <= hit_idx == 3'h2 | valids_2_0;
+     150           0 :           valids_3_0 <= hit_idx == 3'h3 | valids_3_0;
+     151           0 :           valids_4_0 <= hit_idx == 3'h4 | valids_4_0;
+     152           0 :           valids_5_0 <= hit_idx == 3'h5 | valids_5_0;
+     153           0 :           valids_6_0 <= hit_idx == 3'h6 | valids_6_0;
+     154           0 :           valids_7_0 <= (&hit_idx) | valids_7_0;
+     155             :         end
+     156          71 :         else begin
+     157          71 :           valids_0_0 <= _GEN | ~_GEN & valids_0_0;
+     158          71 :           valids_1_0 <= _GEN_0 | ~_GEN_0 & valids_1_0;
+     159          71 :           valids_2_0 <= _GEN_1 | ~_GEN_1 & valids_2_0;
+     160          71 :           valids_3_0 <= _GEN_2 | ~_GEN_2 & valids_3_0;
+     161          71 :           valids_4_0 <= _GEN_3 | ~_GEN_3 & valids_4_0;
+     162          71 :           valids_5_0 <= _GEN_4 | ~_GEN_4 & valids_5_0;
+     163          71 :           valids_6_0 <= _GEN_5 | ~_GEN_5 & valids_6_0;
+     164          71 :           valids_7_0 <= (&enq_idx) | ~(&enq_idx) & valids_7_0;
+     165             :         end
+     166          71 :         state_reg <=
+     167          71 :           {~(state_reg_touch_way_sized[2]),
+     168          71 :            state_reg_touch_way_sized[2]
+     169          71 :              ? {~(state_reg_touch_way_sized[1]),
+     170          71 :                 state_reg_touch_way_sized[1]
+     171          71 :                   ? ~(state_reg_touch_way_sized[0])
+     172          71 :                   : state_reg[4],
+     173          71 :                 state_reg_touch_way_sized[1]
+     174          71 :                   ? state_reg[3]
+     175          71 :                   : ~(state_reg_touch_way_sized[0])}
+     176          71 :              : state_reg[5:3],
+     177          71 :            state_reg_touch_way_sized[2]
+     178          71 :              ? state_reg[2:0]
+     179          71 :              : {~(state_reg_touch_way_sized[1]),
+     180          71 :                 state_reg_touch_way_sized[1]
+     181          71 :                   ? ~(state_reg_touch_way_sized[0])
+     182          71 :                   : state_reg[1],
+     183          71 :                 state_reg_touch_way_sized[1]
+     184          71 :                   ? state_reg[0]
+     185          71 :                   : ~(state_reg_touch_way_sized[0])}};
+     186             :       end
+     187     2039328 :       ever_written_0 <= io_wen & ~hit & _GEN | ever_written_0;
+     188     2039328 :       ever_written_1 <= io_wen & ~hit & _GEN_0 | ever_written_1;
+     189     2039328 :       ever_written_2 <= io_wen & ~hit & _GEN_1 | ever_written_2;
+     190     2039328 :       ever_written_3 <= io_wen & ~hit & _GEN_2 | ever_written_3;
+     191     2039328 :       ever_written_4 <= io_wen & ~hit & _GEN_3 | ever_written_4;
+     192     2039328 :       ever_written_5 <= io_wen & ~hit & _GEN_4 | ever_written_5;
+     193     2039328 :       ever_written_6 <= io_wen & ~hit & _GEN_5 | ever_written_6;
+     194     2039328 :       ever_written_7 <= io_wen & ~hit & (&enq_idx) | ever_written_7;
+     195             :     end
+     196             :   end // always @(posedge, posedge)
+     197             :   `ifdef ENABLE_INITIAL_REG_
+     198             :     `ifdef FIRRTL_BEFORE_INITIAL
+     199             :       `FIRRTL_BEFORE_INITIAL
+     200             :     `endif // FIRRTL_BEFORE_INITIAL
+     201             :     logic [31:0] _RANDOM[0:0];
+     202        1856 :     initial begin
+     203             :       `ifdef INIT_RANDOM_PROLOG_
+     204             :         `INIT_RANDOM_PROLOG_
+     205             :       `endif // INIT_RANDOM_PROLOG_
+     206             :       `ifdef RANDOMIZE_REG_INIT
+     207             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     208             :         valids_0_0 = _RANDOM[/*Zero width*/ 1'b0][0];
+     209             :         valids_1_0 = _RANDOM[/*Zero width*/ 1'b0][1];
+     210             :         valids_2_0 = _RANDOM[/*Zero width*/ 1'b0][2];
+     211             :         valids_3_0 = _RANDOM[/*Zero width*/ 1'b0][3];
+     212             :         valids_4_0 = _RANDOM[/*Zero width*/ 1'b0][4];
+     213             :         valids_5_0 = _RANDOM[/*Zero width*/ 1'b0][5];
+     214             :         valids_6_0 = _RANDOM[/*Zero width*/ 1'b0][6];
+     215             :         valids_7_0 = _RANDOM[/*Zero width*/ 1'b0][7];
+     216             :         ever_written_0 = _RANDOM[/*Zero width*/ 1'b0][8];
+     217             :         ever_written_1 = _RANDOM[/*Zero width*/ 1'b0][9];
+     218             :         ever_written_2 = _RANDOM[/*Zero width*/ 1'b0][10];
+     219             :         ever_written_3 = _RANDOM[/*Zero width*/ 1'b0][11];
+     220             :         ever_written_4 = _RANDOM[/*Zero width*/ 1'b0][12];
+     221             :         ever_written_5 = _RANDOM[/*Zero width*/ 1'b0][13];
+     222             :         ever_written_6 = _RANDOM[/*Zero width*/ 1'b0][14];
+     223             :         ever_written_7 = _RANDOM[/*Zero width*/ 1'b0][15];
+     224             :         state_reg = _RANDOM[/*Zero width*/ 1'b0][22:16];
+     225             :       `endif // RANDOMIZE_REG_INIT
+     226         544 :       if (reset) begin
+     227         384 :         valids_0_0 = 1'h0;
+     228         384 :         valids_1_0 = 1'h0;
+     229         384 :         valids_2_0 = 1'h0;
+     230         384 :         valids_3_0 = 1'h0;
+     231         384 :         valids_4_0 = 1'h0;
+     232         384 :         valids_5_0 = 1'h0;
+     233         384 :         valids_6_0 = 1'h0;
+     234         384 :         valids_7_0 = 1'h0;
+     235         384 :         ever_written_0 = 1'h0;
+     236         384 :         ever_written_1 = 1'h0;
+     237         384 :         ever_written_2 = 1'h0;
+     238         384 :         ever_written_3 = 1'h0;
+     239         384 :         ever_written_4 = 1'h0;
+     240         384 :         ever_written_5 = 1'h0;
+     241         384 :         ever_written_6 = 1'h0;
+     242         384 :         ever_written_7 = 1'h0;
+     243         384 :         state_reg = 7'h0;
+     244             :       end
+     245             :     end // initial
+     246             :     `ifdef FIRRTL_AFTER_INITIAL
+     247             :       `FIRRTL_AFTER_INITIAL
+     248             :     `endif // FIRRTL_AFTER_INITIAL
+     249             :   `endif // ENABLE_INITIAL_REG_
+     250             :   CAMTemplate idx_tag_cam (
+     251             :     .clock              (clock),
+     252             :     .io_r_req_0_idx     (io_write_idx),
+     253             :     .io_r_resp_0_0      (_idx_tag_cam_io_r_resp_0_0),
+     254             :     .io_r_resp_0_1      (_idx_tag_cam_io_r_resp_0_1),
+     255             :     .io_r_resp_0_2      (_idx_tag_cam_io_r_resp_0_2),
+     256             :     .io_r_resp_0_3      (_idx_tag_cam_io_r_resp_0_3),
+     257             :     .io_r_resp_0_4      (_idx_tag_cam_io_r_resp_0_4),
+     258             :     .io_r_resp_0_5      (_idx_tag_cam_io_r_resp_0_5),
+     259             :     .io_r_resp_0_6      (_idx_tag_cam_io_r_resp_0_6),
+     260             :     .io_r_resp_0_7      (_idx_tag_cam_io_r_resp_0_7),
+     261             :     .io_w_valid         (io_wen & ~hit),
+     262             :     .io_w_bits_data_idx (io_write_idx),
+     263             :     .io_w_bits_index    (enq_idx)
+     264             :   );
+     265             :   data_mem_0_8x3 data_mem_0_ext (
+     266             :     .R0_addr (hit_idx),
+     267             :     .R0_en   (1'h1),
+     268             :     .R0_clk  (clock),
+     269             :     .R0_data (io_hit_data_0_bits),
+     270             :     .W0_addr (hit ? hit_idx : enq_idx),
+     271             :     .W0_en   (io_wen),
+     272             :     .W0_clk  (clock),
+     273             :     .W0_data (io_write_data_0)
+     274             :   );
+     275             :   assign io_hit = hit;
+     276             :   assign io_hit_data_0_valid =
+     277             :     hits_oh_0 & valids_0_0 | hits_oh_1 & valids_1_0 | hits_oh_2 & valids_2_0 | hits_oh_3
+     278             :     & valids_3_0 | hits_oh_4 & valids_4_0 | hits_oh_5 & valids_5_0 | hits_oh_6
+     279             :     & valids_6_0 | hits_oh_7 & valids_7_0;
+     280             : endmodule
+     281             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.func-sort-c.html new file mode 100644 index 0000000..4efcfc0 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_32.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_32.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:15216989.9 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.func.html new file mode 100644 index 0000000..a4b0e5c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_32.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_32.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:15216989.9 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.gcov.html new file mode 100644 index 0000000..58d1d00 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_32.sv.gcov.html @@ -0,0 +1,431 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_32.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_32.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:15216989.9 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module WrBypass_32(
+      59      127786 :   input         clock,
+      60          62 :   input         reset,
+      61          35 :   input         io_wen,
+      62         189 :   input  [10:0] io_write_idx,
+      63          39 :   input  [1:0]  io_write_data_0,
+      64          39 :   input  [1:0]  io_write_data_1,
+      65          28 :   input         io_write_way_mask_0,
+      66          26 :   input         io_write_way_mask_1,
+      67          32 :   output        io_hit,
+      68          23 :   output        io_hit_data_0_valid,
+      69          56 :   output [1:0]  io_hit_data_0_bits,
+      70          27 :   output        io_hit_data_1_valid,
+      71          57 :   output [1:0]  io_hit_data_1_bits
+      72             : );
+      73             : 
+      74             :   wire [3:0] _data_mem_ext_R0_data;
+      75             :   wire [3:0] _data_mem_ext_R1_data;
+      76             :   wire       _idx_tag_cam_io_r_resp_0_0;
+      77             :   wire       _idx_tag_cam_io_r_resp_0_1;
+      78             :   wire       _idx_tag_cam_io_r_resp_0_2;
+      79             :   wire       _idx_tag_cam_io_r_resp_0_3;
+      80             :   wire       _idx_tag_cam_io_r_resp_0_4;
+      81             :   wire       _idx_tag_cam_io_r_resp_0_5;
+      82             :   wire       _idx_tag_cam_io_r_resp_0_6;
+      83             :   wire       _idx_tag_cam_io_r_resp_0_7;
+      84          20 :   reg        valids_0_0;
+      85          19 :   reg        valids_0_1;
+      86          22 :   reg        valids_1_0;
+      87          23 :   reg        valids_1_1;
+      88          22 :   reg        valids_2_0;
+      89          30 :   reg        valids_2_1;
+      90          28 :   reg        valids_3_0;
+      91          21 :   reg        valids_3_1;
+      92          23 :   reg        valids_4_0;
+      93          20 :   reg        valids_4_1;
+      94          19 :   reg        valids_5_0;
+      95          29 :   reg        valids_5_1;
+      96          23 :   reg        valids_6_0;
+      97          17 :   reg        valids_6_1;
+      98          22 :   reg        valids_7_0;
+      99          25 :   reg        valids_7_1;
+     100          25 :   reg        ever_written_0;
+     101          26 :   reg        ever_written_1;
+     102          30 :   reg        ever_written_2;
+     103          24 :   reg        ever_written_3;
+     104          25 :   reg        ever_written_4;
+     105          29 :   reg        ever_written_5;
+     106          21 :   reg        ever_written_6;
+     107          28 :   reg        ever_written_7;
+     108          19 :   wire       hits_oh_0 = _idx_tag_cam_io_r_resp_0_0 & ever_written_0;
+     109          16 :   wire       hits_oh_1 = _idx_tag_cam_io_r_resp_0_1 & ever_written_1;
+     110          24 :   wire       hits_oh_2 = _idx_tag_cam_io_r_resp_0_2 & ever_written_2;
+     111          16 :   wire       hits_oh_3 = _idx_tag_cam_io_r_resp_0_3 & ever_written_3;
+     112          10 :   wire       hits_oh_4 = _idx_tag_cam_io_r_resp_0_4 & ever_written_4;
+     113          20 :   wire       hits_oh_5 = _idx_tag_cam_io_r_resp_0_5 & ever_written_5;
+     114          12 :   wire       hits_oh_6 = _idx_tag_cam_io_r_resp_0_6 & ever_written_6;
+     115          15 :   wire       hits_oh_7 = _idx_tag_cam_io_r_resp_0_7 & ever_written_7;
+     116             :   wire [2:0] _hit_idx_T_2 =
+     117             :     {hits_oh_7, hits_oh_6, hits_oh_5} | {hits_oh_3, hits_oh_2, hits_oh_1};
+     118          68 :   wire [2:0] hit_idx =
+     119             :     {|{hits_oh_7, hits_oh_6, hits_oh_5, hits_oh_4},
+     120             :      |(_hit_idx_T_2[2:1]),
+     121             :      _hit_idx_T_2[2] | _hit_idx_T_2[0]};
+     122          32 :   wire       hit =
+     123             :     hits_oh_0 | hits_oh_1 | hits_oh_2 | hits_oh_3 | hits_oh_4 | hits_oh_5 | hits_oh_6
+     124             :     | hits_oh_7;
+     125         183 :   reg  [6:0] state_reg;
+     126          94 :   wire [2:0] enq_idx =
+     127             :     {state_reg[6],
+     128             :      state_reg[6]
+     129             :        ? {state_reg[5], state_reg[5] ? state_reg[4] : state_reg[3]}
+     130             :        : {state_reg[2], state_reg[2] ? state_reg[1] : state_reg[0]}};
+     131             :   wire       _GEN = hit_idx == 3'h0;
+     132             :   wire       _GEN_0 = hit_idx == 3'h1;
+     133             :   wire       _GEN_1 = hit_idx == 3'h2;
+     134             :   wire       _GEN_2 = hit_idx == 3'h3;
+     135             :   wire       _GEN_3 = hit_idx == 3'h4;
+     136             :   wire       _GEN_4 = hit_idx == 3'h5;
+     137             :   wire       _GEN_5 = hit_idx == 3'h6;
+     138          74 :   wire [2:0] state_reg_touch_way_sized = hit ? hit_idx : enq_idx;
+     139             :   wire       _GEN_6 = enq_idx == 3'h0;
+     140             :   wire       _GEN_7 = enq_idx == 3'h1;
+     141             :   wire       _GEN_8 = enq_idx == 3'h2;
+     142             :   wire       _GEN_9 = enq_idx == 3'h3;
+     143             :   wire       _GEN_10 = enq_idx == 3'h4;
+     144             :   wire       _GEN_11 = enq_idx == 3'h5;
+     145             :   wire       _GEN_12 = enq_idx == 3'h6;
+     146      127730 :   always @(posedge clock or posedge reset) begin
+     147         272 :     if (reset) begin
+     148         136 :       valids_0_0 <= 1'h0;
+     149         136 :       valids_0_1 <= 1'h0;
+     150         136 :       valids_1_0 <= 1'h0;
+     151         136 :       valids_1_1 <= 1'h0;
+     152         136 :       valids_2_0 <= 1'h0;
+     153         136 :       valids_2_1 <= 1'h0;
+     154         136 :       valids_3_0 <= 1'h0;
+     155         136 :       valids_3_1 <= 1'h0;
+     156         136 :       valids_4_0 <= 1'h0;
+     157         136 :       valids_4_1 <= 1'h0;
+     158         136 :       valids_5_0 <= 1'h0;
+     159         136 :       valids_5_1 <= 1'h0;
+     160         136 :       valids_6_0 <= 1'h0;
+     161         136 :       valids_6_1 <= 1'h0;
+     162         136 :       valids_7_0 <= 1'h0;
+     163         136 :       valids_7_1 <= 1'h0;
+     164         136 :       ever_written_0 <= 1'h0;
+     165         136 :       ever_written_1 <= 1'h0;
+     166         136 :       ever_written_2 <= 1'h0;
+     167         136 :       ever_written_3 <= 1'h0;
+     168         136 :       ever_written_4 <= 1'h0;
+     169         136 :       ever_written_5 <= 1'h0;
+     170         136 :       ever_written_6 <= 1'h0;
+     171         136 :       ever_written_7 <= 1'h0;
+     172         136 :       state_reg <= 7'h0;
+     173             :     end
+     174       63729 :     else begin
+     175          24 :       if (io_wen) begin
+     176           0 :         if (hit) begin
+     177           0 :           valids_0_0 <= io_write_way_mask_0 & _GEN | valids_0_0;
+     178           0 :           valids_0_1 <= io_write_way_mask_1 & _GEN | valids_0_1;
+     179           0 :           valids_1_0 <= io_write_way_mask_0 & _GEN_0 | valids_1_0;
+     180           0 :           valids_1_1 <= io_write_way_mask_1 & _GEN_0 | valids_1_1;
+     181           0 :           valids_2_0 <= io_write_way_mask_0 & _GEN_1 | valids_2_0;
+     182           0 :           valids_2_1 <= io_write_way_mask_1 & _GEN_1 | valids_2_1;
+     183           0 :           valids_3_0 <= io_write_way_mask_0 & _GEN_2 | valids_3_0;
+     184           0 :           valids_3_1 <= io_write_way_mask_1 & _GEN_2 | valids_3_1;
+     185           0 :           valids_4_0 <= io_write_way_mask_0 & _GEN_3 | valids_4_0;
+     186           0 :           valids_4_1 <= io_write_way_mask_1 & _GEN_3 | valids_4_1;
+     187           0 :           valids_5_0 <= io_write_way_mask_0 & _GEN_4 | valids_5_0;
+     188           0 :           valids_5_1 <= io_write_way_mask_1 & _GEN_4 | valids_5_1;
+     189           0 :           valids_6_0 <= io_write_way_mask_0 & _GEN_5 | valids_6_0;
+     190           0 :           valids_6_1 <= io_write_way_mask_1 & _GEN_5 | valids_6_1;
+     191           0 :           valids_7_0 <= io_write_way_mask_0 & (&hit_idx) | valids_7_0;
+     192           0 :           valids_7_1 <= io_write_way_mask_1 & (&hit_idx) | valids_7_1;
+     193             :         end
+     194          12 :         else begin
+     195          12 :           valids_0_0 <= io_write_way_mask_0 & _GEN_6 | ~_GEN_6 & valids_0_0;
+     196          12 :           valids_0_1 <= io_write_way_mask_1 & _GEN_6 | ~_GEN_6 & valids_0_1;
+     197          12 :           valids_1_0 <= io_write_way_mask_0 & _GEN_7 | ~_GEN_7 & valids_1_0;
+     198          12 :           valids_1_1 <= io_write_way_mask_1 & _GEN_7 | ~_GEN_7 & valids_1_1;
+     199          12 :           valids_2_0 <= io_write_way_mask_0 & _GEN_8 | ~_GEN_8 & valids_2_0;
+     200          12 :           valids_2_1 <= io_write_way_mask_1 & _GEN_8 | ~_GEN_8 & valids_2_1;
+     201          12 :           valids_3_0 <= io_write_way_mask_0 & _GEN_9 | ~_GEN_9 & valids_3_0;
+     202          12 :           valids_3_1 <= io_write_way_mask_1 & _GEN_9 | ~_GEN_9 & valids_3_1;
+     203          12 :           valids_4_0 <= io_write_way_mask_0 & _GEN_10 | ~_GEN_10 & valids_4_0;
+     204          12 :           valids_4_1 <= io_write_way_mask_1 & _GEN_10 | ~_GEN_10 & valids_4_1;
+     205          12 :           valids_5_0 <= io_write_way_mask_0 & _GEN_11 | ~_GEN_11 & valids_5_0;
+     206          12 :           valids_5_1 <= io_write_way_mask_1 & _GEN_11 | ~_GEN_11 & valids_5_1;
+     207          12 :           valids_6_0 <= io_write_way_mask_0 & _GEN_12 | ~_GEN_12 & valids_6_0;
+     208          12 :           valids_6_1 <= io_write_way_mask_1 & _GEN_12 | ~_GEN_12 & valids_6_1;
+     209          12 :           valids_7_0 <= io_write_way_mask_0 & (&enq_idx) | ~(&enq_idx) & valids_7_0;
+     210          12 :           valids_7_1 <= io_write_way_mask_1 & (&enq_idx) | ~(&enq_idx) & valids_7_1;
+     211             :         end
+     212          12 :         state_reg <=
+     213          12 :           {~(state_reg_touch_way_sized[2]),
+     214          12 :            state_reg_touch_way_sized[2]
+     215          12 :              ? {~(state_reg_touch_way_sized[1]),
+     216          12 :                 state_reg_touch_way_sized[1]
+     217          12 :                   ? ~(state_reg_touch_way_sized[0])
+     218          12 :                   : state_reg[4],
+     219          12 :                 state_reg_touch_way_sized[1]
+     220          12 :                   ? state_reg[3]
+     221          12 :                   : ~(state_reg_touch_way_sized[0])}
+     222          12 :              : state_reg[5:3],
+     223          12 :            state_reg_touch_way_sized[2]
+     224          12 :              ? state_reg[2:0]
+     225          12 :              : {~(state_reg_touch_way_sized[1]),
+     226          12 :                 state_reg_touch_way_sized[1]
+     227          12 :                   ? ~(state_reg_touch_way_sized[0])
+     228          12 :                   : state_reg[1],
+     229          12 :                 state_reg_touch_way_sized[1]
+     230          12 :                   ? state_reg[0]
+     231          12 :                   : ~(state_reg_touch_way_sized[0])}};
+     232             :       end
+     233       63729 :       ever_written_0 <= io_wen & ~hit & (_GEN_6 | io_wen & _GEN_6) | ever_written_0;
+     234       63729 :       ever_written_1 <= io_wen & ~hit & (_GEN_7 | io_wen & _GEN_7) | ever_written_1;
+     235       63729 :       ever_written_2 <= io_wen & ~hit & (_GEN_8 | io_wen & _GEN_8) | ever_written_2;
+     236       63729 :       ever_written_3 <= io_wen & ~hit & (_GEN_9 | io_wen & _GEN_9) | ever_written_3;
+     237       63729 :       ever_written_4 <= io_wen & ~hit & (_GEN_10 | io_wen & _GEN_10) | ever_written_4;
+     238       63729 :       ever_written_5 <= io_wen & ~hit & (_GEN_11 | io_wen & _GEN_11) | ever_written_5;
+     239       63729 :       ever_written_6 <= io_wen & ~hit & (_GEN_12 | io_wen & _GEN_12) | ever_written_6;
+     240       63729 :       ever_written_7 <=
+     241       63729 :         io_wen & ~hit & ((&enq_idx) | io_wen & (&enq_idx)) | ever_written_7;
+     242             :     end
+     243             :   end // always @(posedge, posedge)
+     244             :   `ifdef ENABLE_INITIAL_REG_
+     245             :     `ifdef FIRRTL_BEFORE_INITIAL
+     246             :       `FIRRTL_BEFORE_INITIAL
+     247             :     `endif // FIRRTL_BEFORE_INITIAL
+     248             :     logic [31:0] _RANDOM[0:0];
+     249          58 :     initial begin
+     250             :       `ifdef INIT_RANDOM_PROLOG_
+     251             :         `INIT_RANDOM_PROLOG_
+     252             :       `endif // INIT_RANDOM_PROLOG_
+     253             :       `ifdef RANDOMIZE_REG_INIT
+     254             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     255             :         valids_0_0 = _RANDOM[/*Zero width*/ 1'b0][0];
+     256             :         valids_0_1 = _RANDOM[/*Zero width*/ 1'b0][1];
+     257             :         valids_1_0 = _RANDOM[/*Zero width*/ 1'b0][2];
+     258             :         valids_1_1 = _RANDOM[/*Zero width*/ 1'b0][3];
+     259             :         valids_2_0 = _RANDOM[/*Zero width*/ 1'b0][4];
+     260             :         valids_2_1 = _RANDOM[/*Zero width*/ 1'b0][5];
+     261             :         valids_3_0 = _RANDOM[/*Zero width*/ 1'b0][6];
+     262             :         valids_3_1 = _RANDOM[/*Zero width*/ 1'b0][7];
+     263             :         valids_4_0 = _RANDOM[/*Zero width*/ 1'b0][8];
+     264             :         valids_4_1 = _RANDOM[/*Zero width*/ 1'b0][9];
+     265             :         valids_5_0 = _RANDOM[/*Zero width*/ 1'b0][10];
+     266             :         valids_5_1 = _RANDOM[/*Zero width*/ 1'b0][11];
+     267             :         valids_6_0 = _RANDOM[/*Zero width*/ 1'b0][12];
+     268             :         valids_6_1 = _RANDOM[/*Zero width*/ 1'b0][13];
+     269             :         valids_7_0 = _RANDOM[/*Zero width*/ 1'b0][14];
+     270             :         valids_7_1 = _RANDOM[/*Zero width*/ 1'b0][15];
+     271             :         ever_written_0 = _RANDOM[/*Zero width*/ 1'b0][16];
+     272             :         ever_written_1 = _RANDOM[/*Zero width*/ 1'b0][17];
+     273             :         ever_written_2 = _RANDOM[/*Zero width*/ 1'b0][18];
+     274             :         ever_written_3 = _RANDOM[/*Zero width*/ 1'b0][19];
+     275             :         ever_written_4 = _RANDOM[/*Zero width*/ 1'b0][20];
+     276             :         ever_written_5 = _RANDOM[/*Zero width*/ 1'b0][21];
+     277             :         ever_written_6 = _RANDOM[/*Zero width*/ 1'b0][22];
+     278             :         ever_written_7 = _RANDOM[/*Zero width*/ 1'b0][23];
+     279             :         state_reg = _RANDOM[/*Zero width*/ 1'b0][30:24];
+     280             :       `endif // RANDOMIZE_REG_INIT
+     281          17 :       if (reset) begin
+     282          12 :         valids_0_0 = 1'h0;
+     283          12 :         valids_0_1 = 1'h0;
+     284          12 :         valids_1_0 = 1'h0;
+     285          12 :         valids_1_1 = 1'h0;
+     286          12 :         valids_2_0 = 1'h0;
+     287          12 :         valids_2_1 = 1'h0;
+     288          12 :         valids_3_0 = 1'h0;
+     289          12 :         valids_3_1 = 1'h0;
+     290          12 :         valids_4_0 = 1'h0;
+     291          12 :         valids_4_1 = 1'h0;
+     292          12 :         valids_5_0 = 1'h0;
+     293          12 :         valids_5_1 = 1'h0;
+     294          12 :         valids_6_0 = 1'h0;
+     295          12 :         valids_6_1 = 1'h0;
+     296          12 :         valids_7_0 = 1'h0;
+     297          12 :         valids_7_1 = 1'h0;
+     298          12 :         ever_written_0 = 1'h0;
+     299          12 :         ever_written_1 = 1'h0;
+     300          12 :         ever_written_2 = 1'h0;
+     301          12 :         ever_written_3 = 1'h0;
+     302          12 :         ever_written_4 = 1'h0;
+     303          12 :         ever_written_5 = 1'h0;
+     304          12 :         ever_written_6 = 1'h0;
+     305          12 :         ever_written_7 = 1'h0;
+     306          12 :         state_reg = 7'h0;
+     307             :       end
+     308             :     end // initial
+     309             :     `ifdef FIRRTL_AFTER_INITIAL
+     310             :       `FIRRTL_AFTER_INITIAL
+     311             :     `endif // FIRRTL_AFTER_INITIAL
+     312             :   `endif // ENABLE_INITIAL_REG_
+     313             :   CAMTemplate_32 idx_tag_cam (
+     314             :     .clock              (clock),
+     315             :     .io_r_req_0_idx     (io_write_idx),
+     316             :     .io_r_resp_0_0      (_idx_tag_cam_io_r_resp_0_0),
+     317             :     .io_r_resp_0_1      (_idx_tag_cam_io_r_resp_0_1),
+     318             :     .io_r_resp_0_2      (_idx_tag_cam_io_r_resp_0_2),
+     319             :     .io_r_resp_0_3      (_idx_tag_cam_io_r_resp_0_3),
+     320             :     .io_r_resp_0_4      (_idx_tag_cam_io_r_resp_0_4),
+     321             :     .io_r_resp_0_5      (_idx_tag_cam_io_r_resp_0_5),
+     322             :     .io_r_resp_0_6      (_idx_tag_cam_io_r_resp_0_6),
+     323             :     .io_r_resp_0_7      (_idx_tag_cam_io_r_resp_0_7),
+     324             :     .io_w_valid         (io_wen & ~hit),
+     325             :     .io_w_bits_data_idx (io_write_idx),
+     326             :     .io_w_bits_index    (enq_idx)
+     327             :   );
+     328             :   data_mem_8x4 data_mem_ext (
+     329             :     .R0_addr (hit_idx),
+     330             :     .R0_en   (1'h1),
+     331             :     .R0_clk  (clock),
+     332             :     .R0_data (_data_mem_ext_R0_data),
+     333             :     .R1_addr (hit_idx),
+     334             :     .R1_en   (1'h1),
+     335             :     .R1_clk  (clock),
+     336             :     .R1_data (_data_mem_ext_R1_data),
+     337             :     .W0_addr (hit ? hit_idx : enq_idx),
+     338             :     .W0_en   (io_wen),
+     339             :     .W0_clk  (clock),
+     340             :     .W0_data ({io_write_data_1, io_write_data_0}),
+     341             :     .W0_mask ({io_write_way_mask_1, io_write_way_mask_0})
+     342             :   );
+     343             :   assign io_hit = hit;
+     344             :   assign io_hit_data_0_valid =
+     345             :     hits_oh_0 & valids_0_0 | hits_oh_1 & valids_1_0 | hits_oh_2 & valids_2_0 | hits_oh_3
+     346             :     & valids_3_0 | hits_oh_4 & valids_4_0 | hits_oh_5 & valids_5_0 | hits_oh_6
+     347             :     & valids_6_0 | hits_oh_7 & valids_7_0;
+     348             :   assign io_hit_data_0_bits = _data_mem_ext_R1_data[1:0];
+     349             :   assign io_hit_data_1_valid =
+     350             :     hits_oh_0 & valids_0_1 | hits_oh_1 & valids_1_1 | hits_oh_2 & valids_2_1 | hits_oh_3
+     351             :     & valids_3_1 | hits_oh_4 & valids_4_1 | hits_oh_5 & valids_5_1 | hits_oh_6
+     352             :     & valids_6_1 | hits_oh_7 & valids_7_1;
+     353             :   assign io_hit_data_1_bits = _data_mem_ext_R0_data[3:2];
+     354             : endmodule
+     355             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.func-sort-c.html new file mode 100644 index 0000000..1270bd4 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_33.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_33.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:313313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.func.html new file mode 100644 index 0000000..4d359fe --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_33.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_33.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:313313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.gcov.html new file mode 100644 index 0000000..13895a8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_33.sv.gcov.html @@ -0,0 +1,656 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_33.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_33.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:313313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module WrBypass_33(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61          29 :   input        io_wen,
+      62         131 :   input  [7:0] io_write_idx,
+      63        1012 :   input  [5:0] io_write_data_0,
+      64         979 :   input  [5:0] io_write_data_1,
+      65         179 :   input        io_write_way_mask_0,
+      66         179 :   input        io_write_way_mask_1,
+      67         248 :   output       io_hit,
+      68         191 :   output       io_hit_data_0_valid,
+      69        1213 :   output [5:0] io_hit_data_0_bits,
+      70         176 :   output       io_hit_data_1_valid,
+      71        1147 :   output [5:0] io_hit_data_1_bits
+      72             : );
+      73             : 
+      74             :   wire [11:0] _data_mem_ext_R0_data;
+      75             :   wire [11:0] _data_mem_ext_R1_data;
+      76             :   wire        _idx_tag_cam_io_r_resp_0_0;
+      77             :   wire        _idx_tag_cam_io_r_resp_0_1;
+      78             :   wire        _idx_tag_cam_io_r_resp_0_2;
+      79             :   wire        _idx_tag_cam_io_r_resp_0_3;
+      80             :   wire        _idx_tag_cam_io_r_resp_0_4;
+      81             :   wire        _idx_tag_cam_io_r_resp_0_5;
+      82             :   wire        _idx_tag_cam_io_r_resp_0_6;
+      83             :   wire        _idx_tag_cam_io_r_resp_0_7;
+      84             :   wire        _idx_tag_cam_io_r_resp_0_8;
+      85             :   wire        _idx_tag_cam_io_r_resp_0_9;
+      86             :   wire        _idx_tag_cam_io_r_resp_0_10;
+      87             :   wire        _idx_tag_cam_io_r_resp_0_11;
+      88             :   wire        _idx_tag_cam_io_r_resp_0_12;
+      89             :   wire        _idx_tag_cam_io_r_resp_0_13;
+      90             :   wire        _idx_tag_cam_io_r_resp_0_14;
+      91             :   wire        _idx_tag_cam_io_r_resp_0_15;
+      92         190 :   reg         valids_0_0;
+      93         181 :   reg         valids_0_1;
+      94         186 :   reg         valids_1_0;
+      95         204 :   reg         valids_1_1;
+      96         177 :   reg         valids_2_0;
+      97         170 :   reg         valids_2_1;
+      98         173 :   reg         valids_3_0;
+      99         188 :   reg         valids_3_1;
+     100         180 :   reg         valids_4_0;
+     101         172 :   reg         valids_4_1;
+     102         169 :   reg         valids_5_0;
+     103         182 :   reg         valids_5_1;
+     104         175 :   reg         valids_6_0;
+     105         199 :   reg         valids_6_1;
+     106         192 :   reg         valids_7_0;
+     107         190 :   reg         valids_7_1;
+     108         166 :   reg         valids_8_0;
+     109         177 :   reg         valids_8_1;
+     110         201 :   reg         valids_9_0;
+     111         191 :   reg         valids_9_1;
+     112         206 :   reg         valids_10_0;
+     113         187 :   reg         valids_10_1;
+     114         194 :   reg         valids_11_0;
+     115         200 :   reg         valids_11_1;
+     116         200 :   reg         valids_12_0;
+     117         184 :   reg         valids_12_1;
+     118         184 :   reg         valids_13_0;
+     119         200 :   reg         valids_13_1;
+     120         192 :   reg         valids_14_0;
+     121         172 :   reg         valids_14_1;
+     122         189 :   reg         valids_15_0;
+     123         203 :   reg         valids_15_1;
+     124         204 :   reg         ever_written_0;
+     125         192 :   reg         ever_written_1;
+     126         184 :   reg         ever_written_2;
+     127         188 :   reg         ever_written_3;
+     128         213 :   reg         ever_written_4;
+     129         192 :   reg         ever_written_5;
+     130         191 :   reg         ever_written_6;
+     131         194 :   reg         ever_written_7;
+     132         178 :   reg         ever_written_8;
+     133         203 :   reg         ever_written_9;
+     134         183 :   reg         ever_written_10;
+     135         184 :   reg         ever_written_11;
+     136         178 :   reg         ever_written_12;
+     137         192 :   reg         ever_written_13;
+     138         177 :   reg         ever_written_14;
+     139         193 :   reg         ever_written_15;
+     140         131 :   wire        hits_oh_0 = _idx_tag_cam_io_r_resp_0_0 & ever_written_0;
+     141         131 :   wire        hits_oh_1 = _idx_tag_cam_io_r_resp_0_1 & ever_written_1;
+     142         112 :   wire        hits_oh_2 = _idx_tag_cam_io_r_resp_0_2 & ever_written_2;
+     143         118 :   wire        hits_oh_3 = _idx_tag_cam_io_r_resp_0_3 & ever_written_3;
+     144         132 :   wire        hits_oh_4 = _idx_tag_cam_io_r_resp_0_4 & ever_written_4;
+     145         129 :   wire        hits_oh_5 = _idx_tag_cam_io_r_resp_0_5 & ever_written_5;
+     146         122 :   wire        hits_oh_6 = _idx_tag_cam_io_r_resp_0_6 & ever_written_6;
+     147         108 :   wire        hits_oh_7 = _idx_tag_cam_io_r_resp_0_7 & ever_written_7;
+     148         121 :   wire        hits_oh_8 = _idx_tag_cam_io_r_resp_0_8 & ever_written_8;
+     149         127 :   wire        hits_oh_9 = _idx_tag_cam_io_r_resp_0_9 & ever_written_9;
+     150         124 :   wire        hits_oh_10 = _idx_tag_cam_io_r_resp_0_10 & ever_written_10;
+     151         117 :   wire        hits_oh_11 = _idx_tag_cam_io_r_resp_0_11 & ever_written_11;
+     152         123 :   wire        hits_oh_12 = _idx_tag_cam_io_r_resp_0_12 & ever_written_12;
+     153         102 :   wire        hits_oh_13 = _idx_tag_cam_io_r_resp_0_13 & ever_written_13;
+     154         105 :   wire        hits_oh_14 = _idx_tag_cam_io_r_resp_0_14 & ever_written_14;
+     155         116 :   wire        hits_oh_15 = _idx_tag_cam_io_r_resp_0_15 & ever_written_15;
+     156             :   wire [6:0]  _hit_idx_T_2 =
+     157             :     {hits_oh_15, hits_oh_14, hits_oh_13, hits_oh_12, hits_oh_11, hits_oh_10, hits_oh_9}
+     158             :     | {hits_oh_7, hits_oh_6, hits_oh_5, hits_oh_4, hits_oh_3, hits_oh_2, hits_oh_1};
+     159             :   wire [2:0]  _hit_idx_T_4 = _hit_idx_T_2[6:4] | _hit_idx_T_2[2:0];
+     160         706 :   wire [3:0]  hit_idx =
+     161             :     {|{hits_oh_15,
+     162             :        hits_oh_14,
+     163             :        hits_oh_13,
+     164             :        hits_oh_12,
+     165             :        hits_oh_11,
+     166             :        hits_oh_10,
+     167             :        hits_oh_9,
+     168             :        hits_oh_8},
+     169             :      |(_hit_idx_T_2[6:3]),
+     170             :      |(_hit_idx_T_4[2:1]),
+     171             :      _hit_idx_T_4[2] | _hit_idx_T_4[0]};
+     172         248 :   wire        hit =
+     173             :     hits_oh_0 | hits_oh_1 | hits_oh_2 | hits_oh_3 | hits_oh_4 | hits_oh_5 | hits_oh_6
+     174             :     | hits_oh_7 | hits_oh_8 | hits_oh_9 | hits_oh_10 | hits_oh_11 | hits_oh_12
+     175             :     | hits_oh_13 | hits_oh_14 | hits_oh_15;
+     176        3028 :   reg  [14:0] state_reg;
+     177         926 :   wire [3:0]  enq_idx =
+     178             :     {state_reg[14],
+     179             :      state_reg[14]
+     180             :        ? {state_reg[13],
+     181             :           state_reg[13]
+     182             :             ? {state_reg[12], state_reg[12] ? state_reg[11] : state_reg[10]}
+     183             :             : {state_reg[9], state_reg[9] ? state_reg[8] : state_reg[7]}}
+     184             :        : {state_reg[6],
+     185             :           state_reg[6]
+     186             :             ? {state_reg[5], state_reg[5] ? state_reg[4] : state_reg[3]}
+     187             :             : {state_reg[2], state_reg[2] ? state_reg[1] : state_reg[0]}}};
+     188             :   wire        _GEN = hit_idx == 4'h0;
+     189             :   wire        _GEN_0 = hit_idx == 4'h1;
+     190             :   wire        _GEN_1 = hit_idx == 4'h2;
+     191             :   wire        _GEN_2 = hit_idx == 4'h3;
+     192             :   wire        _GEN_3 = hit_idx == 4'h4;
+     193             :   wire        _GEN_4 = hit_idx == 4'h5;
+     194             :   wire        _GEN_5 = hit_idx == 4'h6;
+     195             :   wire        _GEN_6 = hit_idx == 4'h7;
+     196             :   wire        _GEN_7 = hit_idx == 4'h8;
+     197             :   wire        _GEN_8 = hit_idx == 4'h9;
+     198             :   wire        _GEN_9 = hit_idx == 4'hA;
+     199             :   wire        _GEN_10 = hit_idx == 4'hB;
+     200             :   wire        _GEN_11 = hit_idx == 4'hC;
+     201             :   wire        _GEN_12 = hit_idx == 4'hD;
+     202             :   wire        _GEN_13 = hit_idx == 4'hE;
+     203         762 :   wire [3:0]  state_reg_touch_way_sized = hit ? hit_idx : enq_idx;
+     204             :   wire        _GEN_14 = enq_idx == 4'h0;
+     205             :   wire        _GEN_15 = enq_idx == 4'h1;
+     206             :   wire        _GEN_16 = enq_idx == 4'h2;
+     207             :   wire        _GEN_17 = enq_idx == 4'h3;
+     208             :   wire        _GEN_18 = enq_idx == 4'h4;
+     209             :   wire        _GEN_19 = enq_idx == 4'h5;
+     210             :   wire        _GEN_20 = enq_idx == 4'h6;
+     211             :   wire        _GEN_21 = enq_idx == 4'h7;
+     212             :   wire        _GEN_22 = enq_idx == 4'h8;
+     213             :   wire        _GEN_23 = enq_idx == 4'h9;
+     214             :   wire        _GEN_24 = enq_idx == 4'hA;
+     215             :   wire        _GEN_25 = enq_idx == 4'hB;
+     216             :   wire        _GEN_26 = enq_idx == 4'hC;
+     217             :   wire        _GEN_27 = enq_idx == 4'hD;
+     218             :   wire        _GEN_28 = enq_idx == 4'hE;
+     219     1021840 :   always @(posedge clock or posedge reset) begin
+     220        2176 :     if (reset) begin
+     221        1088 :       valids_0_0 <= 1'h0;
+     222        1088 :       valids_0_1 <= 1'h0;
+     223        1088 :       valids_1_0 <= 1'h0;
+     224        1088 :       valids_1_1 <= 1'h0;
+     225        1088 :       valids_2_0 <= 1'h0;
+     226        1088 :       valids_2_1 <= 1'h0;
+     227        1088 :       valids_3_0 <= 1'h0;
+     228        1088 :       valids_3_1 <= 1'h0;
+     229        1088 :       valids_4_0 <= 1'h0;
+     230        1088 :       valids_4_1 <= 1'h0;
+     231        1088 :       valids_5_0 <= 1'h0;
+     232        1088 :       valids_5_1 <= 1'h0;
+     233        1088 :       valids_6_0 <= 1'h0;
+     234        1088 :       valids_6_1 <= 1'h0;
+     235        1088 :       valids_7_0 <= 1'h0;
+     236        1088 :       valids_7_1 <= 1'h0;
+     237        1088 :       valids_8_0 <= 1'h0;
+     238        1088 :       valids_8_1 <= 1'h0;
+     239        1088 :       valids_9_0 <= 1'h0;
+     240        1088 :       valids_9_1 <= 1'h0;
+     241        1088 :       valids_10_0 <= 1'h0;
+     242        1088 :       valids_10_1 <= 1'h0;
+     243        1088 :       valids_11_0 <= 1'h0;
+     244        1088 :       valids_11_1 <= 1'h0;
+     245        1088 :       valids_12_0 <= 1'h0;
+     246        1088 :       valids_12_1 <= 1'h0;
+     247        1088 :       valids_13_0 <= 1'h0;
+     248        1088 :       valids_13_1 <= 1'h0;
+     249        1088 :       valids_14_0 <= 1'h0;
+     250        1088 :       valids_14_1 <= 1'h0;
+     251        1088 :       valids_15_0 <= 1'h0;
+     252        1088 :       valids_15_1 <= 1'h0;
+     253        1088 :       ever_written_0 <= 1'h0;
+     254        1088 :       ever_written_1 <= 1'h0;
+     255        1088 :       ever_written_2 <= 1'h0;
+     256        1088 :       ever_written_3 <= 1'h0;
+     257        1088 :       ever_written_4 <= 1'h0;
+     258        1088 :       ever_written_5 <= 1'h0;
+     259        1088 :       ever_written_6 <= 1'h0;
+     260        1088 :       ever_written_7 <= 1'h0;
+     261        1088 :       ever_written_8 <= 1'h0;
+     262        1088 :       ever_written_9 <= 1'h0;
+     263        1088 :       ever_written_10 <= 1'h0;
+     264        1088 :       ever_written_11 <= 1'h0;
+     265        1088 :       ever_written_12 <= 1'h0;
+     266        1088 :       ever_written_13 <= 1'h0;
+     267        1088 :       ever_written_14 <= 1'h0;
+     268        1088 :       ever_written_15 <= 1'h0;
+     269        1088 :       state_reg <= 15'h0;
+     270             :     end
+     271      509832 :     else begin
+     272         146 :       if (io_wen) begin
+     273           6 :         if (hit) begin
+     274           3 :           valids_0_0 <= io_write_way_mask_0 & _GEN | valids_0_0;
+     275           3 :           valids_0_1 <= io_write_way_mask_1 & _GEN | valids_0_1;
+     276           3 :           valids_1_0 <= io_write_way_mask_0 & _GEN_0 | valids_1_0;
+     277           3 :           valids_1_1 <= io_write_way_mask_1 & _GEN_0 | valids_1_1;
+     278           3 :           valids_2_0 <= io_write_way_mask_0 & _GEN_1 | valids_2_0;
+     279           3 :           valids_2_1 <= io_write_way_mask_1 & _GEN_1 | valids_2_1;
+     280           3 :           valids_3_0 <= io_write_way_mask_0 & _GEN_2 | valids_3_0;
+     281           3 :           valids_3_1 <= io_write_way_mask_1 & _GEN_2 | valids_3_1;
+     282           3 :           valids_4_0 <= io_write_way_mask_0 & _GEN_3 | valids_4_0;
+     283           3 :           valids_4_1 <= io_write_way_mask_1 & _GEN_3 | valids_4_1;
+     284           3 :           valids_5_0 <= io_write_way_mask_0 & _GEN_4 | valids_5_0;
+     285           3 :           valids_5_1 <= io_write_way_mask_1 & _GEN_4 | valids_5_1;
+     286           3 :           valids_6_0 <= io_write_way_mask_0 & _GEN_5 | valids_6_0;
+     287           3 :           valids_6_1 <= io_write_way_mask_1 & _GEN_5 | valids_6_1;
+     288           3 :           valids_7_0 <= io_write_way_mask_0 & _GEN_6 | valids_7_0;
+     289           3 :           valids_7_1 <= io_write_way_mask_1 & _GEN_6 | valids_7_1;
+     290           3 :           valids_8_0 <= io_write_way_mask_0 & _GEN_7 | valids_8_0;
+     291           3 :           valids_8_1 <= io_write_way_mask_1 & _GEN_7 | valids_8_1;
+     292           3 :           valids_9_0 <= io_write_way_mask_0 & _GEN_8 | valids_9_0;
+     293           3 :           valids_9_1 <= io_write_way_mask_1 & _GEN_8 | valids_9_1;
+     294           3 :           valids_10_0 <= io_write_way_mask_0 & _GEN_9 | valids_10_0;
+     295           3 :           valids_10_1 <= io_write_way_mask_1 & _GEN_9 | valids_10_1;
+     296           3 :           valids_11_0 <= io_write_way_mask_0 & _GEN_10 | valids_11_0;
+     297           3 :           valids_11_1 <= io_write_way_mask_1 & _GEN_10 | valids_11_1;
+     298           3 :           valids_12_0 <= io_write_way_mask_0 & _GEN_11 | valids_12_0;
+     299           3 :           valids_12_1 <= io_write_way_mask_1 & _GEN_11 | valids_12_1;
+     300           3 :           valids_13_0 <= io_write_way_mask_0 & _GEN_12 | valids_13_0;
+     301           3 :           valids_13_1 <= io_write_way_mask_1 & _GEN_12 | valids_13_1;
+     302           3 :           valids_14_0 <= io_write_way_mask_0 & _GEN_13 | valids_14_0;
+     303           3 :           valids_14_1 <= io_write_way_mask_1 & _GEN_13 | valids_14_1;
+     304           3 :           valids_15_0 <= io_write_way_mask_0 & (&hit_idx) | valids_15_0;
+     305           3 :           valids_15_1 <= io_write_way_mask_1 & (&hit_idx) | valids_15_1;
+     306             :         end
+     307          70 :         else begin
+     308          70 :           valids_0_0 <= io_write_way_mask_0 & _GEN_14 | ~_GEN_14 & valids_0_0;
+     309          70 :           valids_0_1 <= io_write_way_mask_1 & _GEN_14 | ~_GEN_14 & valids_0_1;
+     310          70 :           valids_1_0 <= io_write_way_mask_0 & _GEN_15 | ~_GEN_15 & valids_1_0;
+     311          70 :           valids_1_1 <= io_write_way_mask_1 & _GEN_15 | ~_GEN_15 & valids_1_1;
+     312          70 :           valids_2_0 <= io_write_way_mask_0 & _GEN_16 | ~_GEN_16 & valids_2_0;
+     313          70 :           valids_2_1 <= io_write_way_mask_1 & _GEN_16 | ~_GEN_16 & valids_2_1;
+     314          70 :           valids_3_0 <= io_write_way_mask_0 & _GEN_17 | ~_GEN_17 & valids_3_0;
+     315          70 :           valids_3_1 <= io_write_way_mask_1 & _GEN_17 | ~_GEN_17 & valids_3_1;
+     316          70 :           valids_4_0 <= io_write_way_mask_0 & _GEN_18 | ~_GEN_18 & valids_4_0;
+     317          70 :           valids_4_1 <= io_write_way_mask_1 & _GEN_18 | ~_GEN_18 & valids_4_1;
+     318          70 :           valids_5_0 <= io_write_way_mask_0 & _GEN_19 | ~_GEN_19 & valids_5_0;
+     319          70 :           valids_5_1 <= io_write_way_mask_1 & _GEN_19 | ~_GEN_19 & valids_5_1;
+     320          70 :           valids_6_0 <= io_write_way_mask_0 & _GEN_20 | ~_GEN_20 & valids_6_0;
+     321          70 :           valids_6_1 <= io_write_way_mask_1 & _GEN_20 | ~_GEN_20 & valids_6_1;
+     322          70 :           valids_7_0 <= io_write_way_mask_0 & _GEN_21 | ~_GEN_21 & valids_7_0;
+     323          70 :           valids_7_1 <= io_write_way_mask_1 & _GEN_21 | ~_GEN_21 & valids_7_1;
+     324          70 :           valids_8_0 <= io_write_way_mask_0 & _GEN_22 | ~_GEN_22 & valids_8_0;
+     325          70 :           valids_8_1 <= io_write_way_mask_1 & _GEN_22 | ~_GEN_22 & valids_8_1;
+     326          70 :           valids_9_0 <= io_write_way_mask_0 & _GEN_23 | ~_GEN_23 & valids_9_0;
+     327          70 :           valids_9_1 <= io_write_way_mask_1 & _GEN_23 | ~_GEN_23 & valids_9_1;
+     328          70 :           valids_10_0 <= io_write_way_mask_0 & _GEN_24 | ~_GEN_24 & valids_10_0;
+     329          70 :           valids_10_1 <= io_write_way_mask_1 & _GEN_24 | ~_GEN_24 & valids_10_1;
+     330          70 :           valids_11_0 <= io_write_way_mask_0 & _GEN_25 | ~_GEN_25 & valids_11_0;
+     331          70 :           valids_11_1 <= io_write_way_mask_1 & _GEN_25 | ~_GEN_25 & valids_11_1;
+     332          70 :           valids_12_0 <= io_write_way_mask_0 & _GEN_26 | ~_GEN_26 & valids_12_0;
+     333          70 :           valids_12_1 <= io_write_way_mask_1 & _GEN_26 | ~_GEN_26 & valids_12_1;
+     334          70 :           valids_13_0 <= io_write_way_mask_0 & _GEN_27 | ~_GEN_27 & valids_13_0;
+     335          70 :           valids_13_1 <= io_write_way_mask_1 & _GEN_27 | ~_GEN_27 & valids_13_1;
+     336          70 :           valids_14_0 <= io_write_way_mask_0 & _GEN_28 | ~_GEN_28 & valids_14_0;
+     337          70 :           valids_14_1 <= io_write_way_mask_1 & _GEN_28 | ~_GEN_28 & valids_14_1;
+     338          70 :           valids_15_0 <= io_write_way_mask_0 & (&enq_idx) | ~(&enq_idx) & valids_15_0;
+     339          70 :           valids_15_1 <= io_write_way_mask_1 & (&enq_idx) | ~(&enq_idx) & valids_15_1;
+     340             :         end
+     341          73 :         state_reg <=
+     342          73 :           {~(state_reg_touch_way_sized[3]),
+     343          73 :            state_reg_touch_way_sized[3]
+     344          73 :              ? {~(state_reg_touch_way_sized[2]),
+     345          73 :                 state_reg_touch_way_sized[2]
+     346          73 :                   ? {~(state_reg_touch_way_sized[1]),
+     347          73 :                      state_reg_touch_way_sized[1]
+     348          73 :                        ? ~(state_reg_touch_way_sized[0])
+     349          73 :                        : state_reg[11],
+     350          73 :                      state_reg_touch_way_sized[1]
+     351          73 :                        ? state_reg[10]
+     352          73 :                        : ~(state_reg_touch_way_sized[0])}
+     353          73 :                   : state_reg[12:10],
+     354          73 :                 state_reg_touch_way_sized[2]
+     355          73 :                   ? state_reg[9:7]
+     356          73 :                   : {~(state_reg_touch_way_sized[1]),
+     357          73 :                      state_reg_touch_way_sized[1]
+     358          73 :                        ? ~(state_reg_touch_way_sized[0])
+     359          73 :                        : state_reg[8],
+     360          73 :                      state_reg_touch_way_sized[1]
+     361          73 :                        ? state_reg[7]
+     362          73 :                        : ~(state_reg_touch_way_sized[0])}}
+     363          73 :              : state_reg[13:7],
+     364          73 :            state_reg_touch_way_sized[3]
+     365          73 :              ? state_reg[6:0]
+     366          73 :              : {~(state_reg_touch_way_sized[2]),
+     367          73 :                 state_reg_touch_way_sized[2]
+     368          73 :                   ? {~(state_reg_touch_way_sized[1]),
+     369          73 :                      state_reg_touch_way_sized[1]
+     370          73 :                        ? ~(state_reg_touch_way_sized[0])
+     371          73 :                        : state_reg[4],
+     372          73 :                      state_reg_touch_way_sized[1]
+     373          73 :                        ? state_reg[3]
+     374          73 :                        : ~(state_reg_touch_way_sized[0])}
+     375          73 :                   : state_reg[5:3],
+     376          73 :                 state_reg_touch_way_sized[2]
+     377          73 :                   ? state_reg[2:0]
+     378          73 :                   : {~(state_reg_touch_way_sized[1]),
+     379          73 :                      state_reg_touch_way_sized[1]
+     380          73 :                        ? ~(state_reg_touch_way_sized[0])
+     381          73 :                        : state_reg[1],
+     382          73 :                      state_reg_touch_way_sized[1]
+     383          73 :                        ? state_reg[0]
+     384          73 :                        : ~(state_reg_touch_way_sized[0])}}};
+     385             :       end
+     386      509832 :       ever_written_0 <= io_wen & ~hit & (_GEN_14 | io_wen & _GEN_14) | ever_written_0;
+     387      509832 :       ever_written_1 <= io_wen & ~hit & (_GEN_15 | io_wen & _GEN_15) | ever_written_1;
+     388      509832 :       ever_written_2 <= io_wen & ~hit & (_GEN_16 | io_wen & _GEN_16) | ever_written_2;
+     389      509832 :       ever_written_3 <= io_wen & ~hit & (_GEN_17 | io_wen & _GEN_17) | ever_written_3;
+     390      509832 :       ever_written_4 <= io_wen & ~hit & (_GEN_18 | io_wen & _GEN_18) | ever_written_4;
+     391      509832 :       ever_written_5 <= io_wen & ~hit & (_GEN_19 | io_wen & _GEN_19) | ever_written_5;
+     392      509832 :       ever_written_6 <= io_wen & ~hit & (_GEN_20 | io_wen & _GEN_20) | ever_written_6;
+     393      509832 :       ever_written_7 <= io_wen & ~hit & (_GEN_21 | io_wen & _GEN_21) | ever_written_7;
+     394      509832 :       ever_written_8 <= io_wen & ~hit & (_GEN_22 | io_wen & _GEN_22) | ever_written_8;
+     395      509832 :       ever_written_9 <= io_wen & ~hit & (_GEN_23 | io_wen & _GEN_23) | ever_written_9;
+     396      509832 :       ever_written_10 <= io_wen & ~hit & (_GEN_24 | io_wen & _GEN_24) | ever_written_10;
+     397      509832 :       ever_written_11 <= io_wen & ~hit & (_GEN_25 | io_wen & _GEN_25) | ever_written_11;
+     398      509832 :       ever_written_12 <= io_wen & ~hit & (_GEN_26 | io_wen & _GEN_26) | ever_written_12;
+     399      509832 :       ever_written_13 <= io_wen & ~hit & (_GEN_27 | io_wen & _GEN_27) | ever_written_13;
+     400      509832 :       ever_written_14 <= io_wen & ~hit & (_GEN_28 | io_wen & _GEN_28) | ever_written_14;
+     401      509832 :       ever_written_15 <=
+     402      509832 :         io_wen & ~hit & ((&enq_idx) | io_wen & (&enq_idx)) | ever_written_15;
+     403             :     end
+     404             :   end // always @(posedge, posedge)
+     405             :   `ifdef ENABLE_INITIAL_REG_
+     406             :     `ifdef FIRRTL_BEFORE_INITIAL
+     407             :       `FIRRTL_BEFORE_INITIAL
+     408             :     `endif // FIRRTL_BEFORE_INITIAL
+     409             :     logic [31:0] _RANDOM[0:1];
+     410         464 :     initial begin
+     411             :       `ifdef INIT_RANDOM_PROLOG_
+     412             :         `INIT_RANDOM_PROLOG_
+     413             :       `endif // INIT_RANDOM_PROLOG_
+     414             :       `ifdef RANDOMIZE_REG_INIT
+     415             :         for (logic [1:0] i = 2'h0; i < 2'h2; i += 2'h1) begin
+     416             :           _RANDOM[i[0]] = `RANDOM;
+     417             :         end
+     418             :         valids_0_0 = _RANDOM[1'h0][0];
+     419             :         valids_0_1 = _RANDOM[1'h0][1];
+     420             :         valids_1_0 = _RANDOM[1'h0][2];
+     421             :         valids_1_1 = _RANDOM[1'h0][3];
+     422             :         valids_2_0 = _RANDOM[1'h0][4];
+     423             :         valids_2_1 = _RANDOM[1'h0][5];
+     424             :         valids_3_0 = _RANDOM[1'h0][6];
+     425             :         valids_3_1 = _RANDOM[1'h0][7];
+     426             :         valids_4_0 = _RANDOM[1'h0][8];
+     427             :         valids_4_1 = _RANDOM[1'h0][9];
+     428             :         valids_5_0 = _RANDOM[1'h0][10];
+     429             :         valids_5_1 = _RANDOM[1'h0][11];
+     430             :         valids_6_0 = _RANDOM[1'h0][12];
+     431             :         valids_6_1 = _RANDOM[1'h0][13];
+     432             :         valids_7_0 = _RANDOM[1'h0][14];
+     433             :         valids_7_1 = _RANDOM[1'h0][15];
+     434             :         valids_8_0 = _RANDOM[1'h0][16];
+     435             :         valids_8_1 = _RANDOM[1'h0][17];
+     436             :         valids_9_0 = _RANDOM[1'h0][18];
+     437             :         valids_9_1 = _RANDOM[1'h0][19];
+     438             :         valids_10_0 = _RANDOM[1'h0][20];
+     439             :         valids_10_1 = _RANDOM[1'h0][21];
+     440             :         valids_11_0 = _RANDOM[1'h0][22];
+     441             :         valids_11_1 = _RANDOM[1'h0][23];
+     442             :         valids_12_0 = _RANDOM[1'h0][24];
+     443             :         valids_12_1 = _RANDOM[1'h0][25];
+     444             :         valids_13_0 = _RANDOM[1'h0][26];
+     445             :         valids_13_1 = _RANDOM[1'h0][27];
+     446             :         valids_14_0 = _RANDOM[1'h0][28];
+     447             :         valids_14_1 = _RANDOM[1'h0][29];
+     448             :         valids_15_0 = _RANDOM[1'h0][30];
+     449             :         valids_15_1 = _RANDOM[1'h0][31];
+     450             :         ever_written_0 = _RANDOM[1'h1][0];
+     451             :         ever_written_1 = _RANDOM[1'h1][1];
+     452             :         ever_written_2 = _RANDOM[1'h1][2];
+     453             :         ever_written_3 = _RANDOM[1'h1][3];
+     454             :         ever_written_4 = _RANDOM[1'h1][4];
+     455             :         ever_written_5 = _RANDOM[1'h1][5];
+     456             :         ever_written_6 = _RANDOM[1'h1][6];
+     457             :         ever_written_7 = _RANDOM[1'h1][7];
+     458             :         ever_written_8 = _RANDOM[1'h1][8];
+     459             :         ever_written_9 = _RANDOM[1'h1][9];
+     460             :         ever_written_10 = _RANDOM[1'h1][10];
+     461             :         ever_written_11 = _RANDOM[1'h1][11];
+     462             :         ever_written_12 = _RANDOM[1'h1][12];
+     463             :         ever_written_13 = _RANDOM[1'h1][13];
+     464             :         ever_written_14 = _RANDOM[1'h1][14];
+     465             :         ever_written_15 = _RANDOM[1'h1][15];
+     466             :         state_reg = _RANDOM[1'h1][30:16];
+     467             :       `endif // RANDOMIZE_REG_INIT
+     468         136 :       if (reset) begin
+     469          96 :         valids_0_0 = 1'h0;
+     470          96 :         valids_0_1 = 1'h0;
+     471          96 :         valids_1_0 = 1'h0;
+     472          96 :         valids_1_1 = 1'h0;
+     473          96 :         valids_2_0 = 1'h0;
+     474          96 :         valids_2_1 = 1'h0;
+     475          96 :         valids_3_0 = 1'h0;
+     476          96 :         valids_3_1 = 1'h0;
+     477          96 :         valids_4_0 = 1'h0;
+     478          96 :         valids_4_1 = 1'h0;
+     479          96 :         valids_5_0 = 1'h0;
+     480          96 :         valids_5_1 = 1'h0;
+     481          96 :         valids_6_0 = 1'h0;
+     482          96 :         valids_6_1 = 1'h0;
+     483          96 :         valids_7_0 = 1'h0;
+     484          96 :         valids_7_1 = 1'h0;
+     485          96 :         valids_8_0 = 1'h0;
+     486          96 :         valids_8_1 = 1'h0;
+     487          96 :         valids_9_0 = 1'h0;
+     488          96 :         valids_9_1 = 1'h0;
+     489          96 :         valids_10_0 = 1'h0;
+     490          96 :         valids_10_1 = 1'h0;
+     491          96 :         valids_11_0 = 1'h0;
+     492          96 :         valids_11_1 = 1'h0;
+     493          96 :         valids_12_0 = 1'h0;
+     494          96 :         valids_12_1 = 1'h0;
+     495          96 :         valids_13_0 = 1'h0;
+     496          96 :         valids_13_1 = 1'h0;
+     497          96 :         valids_14_0 = 1'h0;
+     498          96 :         valids_14_1 = 1'h0;
+     499          96 :         valids_15_0 = 1'h0;
+     500          96 :         valids_15_1 = 1'h0;
+     501          96 :         ever_written_0 = 1'h0;
+     502          96 :         ever_written_1 = 1'h0;
+     503          96 :         ever_written_2 = 1'h0;
+     504          96 :         ever_written_3 = 1'h0;
+     505          96 :         ever_written_4 = 1'h0;
+     506          96 :         ever_written_5 = 1'h0;
+     507          96 :         ever_written_6 = 1'h0;
+     508          96 :         ever_written_7 = 1'h0;
+     509          96 :         ever_written_8 = 1'h0;
+     510          96 :         ever_written_9 = 1'h0;
+     511          96 :         ever_written_10 = 1'h0;
+     512          96 :         ever_written_11 = 1'h0;
+     513          96 :         ever_written_12 = 1'h0;
+     514          96 :         ever_written_13 = 1'h0;
+     515          96 :         ever_written_14 = 1'h0;
+     516          96 :         ever_written_15 = 1'h0;
+     517          96 :         state_reg = 15'h0;
+     518             :       end
+     519             :     end // initial
+     520             :     `ifdef FIRRTL_AFTER_INITIAL
+     521             :       `FIRRTL_AFTER_INITIAL
+     522             :     `endif // FIRRTL_AFTER_INITIAL
+     523             :   `endif // ENABLE_INITIAL_REG_
+     524             :   CAMTemplate_33 idx_tag_cam (
+     525             :     .clock              (clock),
+     526             :     .io_r_req_0_idx     (io_write_idx),
+     527             :     .io_r_resp_0_0      (_idx_tag_cam_io_r_resp_0_0),
+     528             :     .io_r_resp_0_1      (_idx_tag_cam_io_r_resp_0_1),
+     529             :     .io_r_resp_0_2      (_idx_tag_cam_io_r_resp_0_2),
+     530             :     .io_r_resp_0_3      (_idx_tag_cam_io_r_resp_0_3),
+     531             :     .io_r_resp_0_4      (_idx_tag_cam_io_r_resp_0_4),
+     532             :     .io_r_resp_0_5      (_idx_tag_cam_io_r_resp_0_5),
+     533             :     .io_r_resp_0_6      (_idx_tag_cam_io_r_resp_0_6),
+     534             :     .io_r_resp_0_7      (_idx_tag_cam_io_r_resp_0_7),
+     535             :     .io_r_resp_0_8      (_idx_tag_cam_io_r_resp_0_8),
+     536             :     .io_r_resp_0_9      (_idx_tag_cam_io_r_resp_0_9),
+     537             :     .io_r_resp_0_10     (_idx_tag_cam_io_r_resp_0_10),
+     538             :     .io_r_resp_0_11     (_idx_tag_cam_io_r_resp_0_11),
+     539             :     .io_r_resp_0_12     (_idx_tag_cam_io_r_resp_0_12),
+     540             :     .io_r_resp_0_13     (_idx_tag_cam_io_r_resp_0_13),
+     541             :     .io_r_resp_0_14     (_idx_tag_cam_io_r_resp_0_14),
+     542             :     .io_r_resp_0_15     (_idx_tag_cam_io_r_resp_0_15),
+     543             :     .io_w_valid         (io_wen & ~hit),
+     544             :     .io_w_bits_data_idx (io_write_idx),
+     545             :     .io_w_bits_index    (enq_idx)
+     546             :   );
+     547             :   data_mem_16x12 data_mem_ext (
+     548             :     .R0_addr (hit_idx),
+     549             :     .R0_en   (1'h1),
+     550             :     .R0_clk  (clock),
+     551             :     .R0_data (_data_mem_ext_R0_data),
+     552             :     .R1_addr (hit_idx),
+     553             :     .R1_en   (1'h1),
+     554             :     .R1_clk  (clock),
+     555             :     .R1_data (_data_mem_ext_R1_data),
+     556             :     .W0_addr (hit ? hit_idx : enq_idx),
+     557             :     .W0_en   (io_wen),
+     558             :     .W0_clk  (clock),
+     559             :     .W0_data ({io_write_data_1, io_write_data_0}),
+     560             :     .W0_mask ({io_write_way_mask_1, io_write_way_mask_0})
+     561             :   );
+     562             :   assign io_hit = hit;
+     563             :   assign io_hit_data_0_valid =
+     564             :     hits_oh_0 & valids_0_0 | hits_oh_1 & valids_1_0 | hits_oh_2 & valids_2_0 | hits_oh_3
+     565             :     & valids_3_0 | hits_oh_4 & valids_4_0 | hits_oh_5 & valids_5_0 | hits_oh_6
+     566             :     & valids_6_0 | hits_oh_7 & valids_7_0 | hits_oh_8 & valids_8_0 | hits_oh_9
+     567             :     & valids_9_0 | hits_oh_10 & valids_10_0 | hits_oh_11 & valids_11_0 | hits_oh_12
+     568             :     & valids_12_0 | hits_oh_13 & valids_13_0 | hits_oh_14 & valids_14_0 | hits_oh_15
+     569             :     & valids_15_0;
+     570             :   assign io_hit_data_0_bits = _data_mem_ext_R1_data[5:0];
+     571             :   assign io_hit_data_1_valid =
+     572             :     hits_oh_0 & valids_0_1 | hits_oh_1 & valids_1_1 | hits_oh_2 & valids_2_1 | hits_oh_3
+     573             :     & valids_3_1 | hits_oh_4 & valids_4_1 | hits_oh_5 & valids_5_1 | hits_oh_6
+     574             :     & valids_6_1 | hits_oh_7 & valids_7_1 | hits_oh_8 & valids_8_1 | hits_oh_9
+     575             :     & valids_9_1 | hits_oh_10 & valids_10_1 | hits_oh_11 & valids_11_1 | hits_oh_12
+     576             :     & valids_12_1 | hits_oh_13 & valids_13_1 | hits_oh_14 & valids_14_1 | hits_oh_15
+     577             :     & valids_15_1;
+     578             :   assign io_hit_data_1_bits = _data_mem_ext_R0_data[11:6];
+     579             : endmodule
+     580             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.func-sort-c.html new file mode 100644 index 0000000..d25c99b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_41.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_41.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.func.html new file mode 100644 index 0000000..807a52d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_41.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_41.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.gcov.html new file mode 100644 index 0000000..f582f57 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_41.sv.gcov.html @@ -0,0 +1,233 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_41.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_41.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module WrBypass_41(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61          27 :   input        io_wen,
+      62         105 :   input  [7:0] io_write_idx,
+      63          36 :   input  [1:0] io_write_data_0,
+      64          48 :   output       io_hit,
+      65          94 :   output [1:0] io_hit_data_0_bits
+      66             : );
+      67             : 
+      68             :   wire       _idx_tag_cam_io_r_resp_0_0;
+      69             :   wire       _idx_tag_cam_io_r_resp_0_1;
+      70             :   wire       _idx_tag_cam_io_r_resp_0_2;
+      71             :   wire       _idx_tag_cam_io_r_resp_0_3;
+      72          54 :   reg        ever_written_0;
+      73          51 :   reg        ever_written_1;
+      74          50 :   reg        ever_written_2;
+      75          48 :   reg        ever_written_3;
+      76          32 :   wire       hits_oh_1 = _idx_tag_cam_io_r_resp_0_1 & ever_written_1;
+      77          31 :   wire       hits_oh_2 = _idx_tag_cam_io_r_resp_0_2 & ever_written_2;
+      78          37 :   wire       hits_oh_3 = _idx_tag_cam_io_r_resp_0_3 & ever_written_3;
+      79          75 :   wire [1:0] hit_idx = {|{hits_oh_3, hits_oh_2}, hits_oh_3 | hits_oh_1};
+      80          48 :   wire       hit =
+      81             :     _idx_tag_cam_io_r_resp_0_0 & ever_written_0 | hits_oh_1 | hits_oh_2 | hits_oh_3;
+      82         171 :   reg  [2:0] state_reg;
+      83         118 :   wire [1:0] enq_idx = {state_reg[2], state_reg[2] ? state_reg[1] : state_reg[0]};
+      84          90 :   wire [1:0] state_reg_touch_way_sized = hit ? hit_idx : enq_idx;
+      85      255460 :   always @(posedge clock or posedge reset) begin
+      86         544 :     if (reset) begin
+      87         272 :       ever_written_0 <= 1'h0;
+      88         272 :       ever_written_1 <= 1'h0;
+      89         272 :       ever_written_2 <= 1'h0;
+      90         272 :       ever_written_3 <= 1'h0;
+      91         272 :       state_reg <= 3'h0;
+      92             :     end
+      93      127458 :     else begin
+      94      127458 :       ever_written_0 <= io_wen & ~hit & enq_idx == 2'h0 | ever_written_0;
+      95      127458 :       ever_written_1 <= io_wen & ~hit & enq_idx == 2'h1 | ever_written_1;
+      96      127458 :       ever_written_2 <= io_wen & ~hit & enq_idx == 2'h2 | ever_written_2;
+      97      127458 :       ever_written_3 <= io_wen & ~hit & (&enq_idx) | ever_written_3;
+      98          26 :       if (io_wen)
+      99          13 :         state_reg <=
+     100          13 :           {~(state_reg_touch_way_sized[1]),
+     101          13 :            state_reg_touch_way_sized[1] ? ~(state_reg_touch_way_sized[0]) : state_reg[1],
+     102          13 :            state_reg_touch_way_sized[1] ? state_reg[0] : ~(state_reg_touch_way_sized[0])};
+     103             :     end
+     104             :   end // always @(posedge, posedge)
+     105             :   `ifdef ENABLE_INITIAL_REG_
+     106             :     `ifdef FIRRTL_BEFORE_INITIAL
+     107             :       `FIRRTL_BEFORE_INITIAL
+     108             :     `endif // FIRRTL_BEFORE_INITIAL
+     109             :     logic [31:0] _RANDOM[0:0];
+     110         116 :     initial begin
+     111             :       `ifdef INIT_RANDOM_PROLOG_
+     112             :         `INIT_RANDOM_PROLOG_
+     113             :       `endif // INIT_RANDOM_PROLOG_
+     114             :       `ifdef RANDOMIZE_REG_INIT
+     115             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     116             :         ever_written_0 = _RANDOM[/*Zero width*/ 1'b0][4];
+     117             :         ever_written_1 = _RANDOM[/*Zero width*/ 1'b0][5];
+     118             :         ever_written_2 = _RANDOM[/*Zero width*/ 1'b0][6];
+     119             :         ever_written_3 = _RANDOM[/*Zero width*/ 1'b0][7];
+     120             :         state_reg = _RANDOM[/*Zero width*/ 1'b0][10:8];
+     121             :       `endif // RANDOMIZE_REG_INIT
+     122          34 :       if (reset) begin
+     123          24 :         ever_written_0 = 1'h0;
+     124          24 :         ever_written_1 = 1'h0;
+     125          24 :         ever_written_2 = 1'h0;
+     126          24 :         ever_written_3 = 1'h0;
+     127          24 :         state_reg = 3'h0;
+     128             :       end
+     129             :     end // initial
+     130             :     `ifdef FIRRTL_AFTER_INITIAL
+     131             :       `FIRRTL_AFTER_INITIAL
+     132             :     `endif // FIRRTL_AFTER_INITIAL
+     133             :   `endif // ENABLE_INITIAL_REG_
+     134             :   CAMTemplate_41 idx_tag_cam (
+     135             :     .clock              (clock),
+     136             :     .io_r_req_0_idx     (io_write_idx),
+     137             :     .io_r_resp_0_0      (_idx_tag_cam_io_r_resp_0_0),
+     138             :     .io_r_resp_0_1      (_idx_tag_cam_io_r_resp_0_1),
+     139             :     .io_r_resp_0_2      (_idx_tag_cam_io_r_resp_0_2),
+     140             :     .io_r_resp_0_3      (_idx_tag_cam_io_r_resp_0_3),
+     141             :     .io_w_valid         (io_wen & ~hit),
+     142             :     .io_w_bits_data_idx (io_write_idx),
+     143             :     .io_w_bits_index    (enq_idx)
+     144             :   );
+     145             :   data_mem_0_4x2 data_mem_0_ext (
+     146             :     .R0_addr (hit_idx),
+     147             :     .R0_en   (1'h1),
+     148             :     .R0_clk  (clock),
+     149             :     .R0_data (io_hit_data_0_bits),
+     150             :     .W0_addr (hit ? hit_idx : enq_idx),
+     151             :     .W0_en   (io_wen),
+     152             :     .W0_clk  (clock),
+     153             :     .W0_data (io_write_data_0)
+     154             :   );
+     155             :   assign io_hit = hit;
+     156             : endmodule
+     157             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.func-sort-c.html new file mode 100644 index 0000000..3c5890a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_43.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.func.html new file mode 100644 index 0000000..cc2749d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_43.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.gcov.html new file mode 100644 index 0000000..05d1eb2 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/WrBypass_43.sv.gcov.html @@ -0,0 +1,233 @@ + + + + + + + LCOV - merged.info - BPUTop/WrBypass_43.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - WrBypass_43.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:4343100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module WrBypass_43(
+      59      127786 :   input        clock,
+      60          62 :   input        reset,
+      61          24 :   input        io_wen,
+      62         125 :   input  [8:0] io_write_idx,
+      63          33 :   input  [1:0] io_write_data_0,
+      64          91 :   output       io_hit,
+      65         144 :   output [1:0] io_hit_data_0_bits
+      66             : );
+      67             : 
+      68             :   wire       _idx_tag_cam_io_r_resp_0_0;
+      69             :   wire       _idx_tag_cam_io_r_resp_0_1;
+      70             :   wire       _idx_tag_cam_io_r_resp_0_2;
+      71             :   wire       _idx_tag_cam_io_r_resp_0_3;
+      72          81 :   reg        ever_written_0;
+      73          70 :   reg        ever_written_1;
+      74          76 :   reg        ever_written_2;
+      75          67 :   reg        ever_written_3;
+      76          48 :   wire       hits_oh_1 = _idx_tag_cam_io_r_resp_0_1 & ever_written_1;
+      77          58 :   wire       hits_oh_2 = _idx_tag_cam_io_r_resp_0_2 & ever_written_2;
+      78          50 :   wire       hits_oh_3 = _idx_tag_cam_io_r_resp_0_3 & ever_written_3;
+      79         119 :   wire [1:0] hit_idx = {|{hits_oh_3, hits_oh_2}, hits_oh_3 | hits_oh_1};
+      80          91 :   wire       hit =
+      81             :     _idx_tag_cam_io_r_resp_0_0 & ever_written_0 | hits_oh_1 | hits_oh_2 | hits_oh_3;
+      82         259 :   reg  [2:0] state_reg;
+      83         180 :   wire [1:0] enq_idx = {state_reg[2], state_reg[2] ? state_reg[1] : state_reg[0]};
+      84         143 :   wire [1:0] state_reg_touch_way_sized = hit ? hit_idx : enq_idx;
+      85      383190 :   always @(posedge clock or posedge reset) begin
+      86         816 :     if (reset) begin
+      87         408 :       ever_written_0 <= 1'h0;
+      88         408 :       ever_written_1 <= 1'h0;
+      89         408 :       ever_written_2 <= 1'h0;
+      90         408 :       ever_written_3 <= 1'h0;
+      91         408 :       state_reg <= 3'h0;
+      92             :     end
+      93      191187 :     else begin
+      94      191187 :       ever_written_0 <= io_wen & ~hit & enq_idx == 2'h0 | ever_written_0;
+      95      191187 :       ever_written_1 <= io_wen & ~hit & enq_idx == 2'h1 | ever_written_1;
+      96      191187 :       ever_written_2 <= io_wen & ~hit & enq_idx == 2'h2 | ever_written_2;
+      97      191187 :       ever_written_3 <= io_wen & ~hit & (&enq_idx) | ever_written_3;
+      98          42 :       if (io_wen)
+      99          21 :         state_reg <=
+     100          21 :           {~(state_reg_touch_way_sized[1]),
+     101          21 :            state_reg_touch_way_sized[1] ? ~(state_reg_touch_way_sized[0]) : state_reg[1],
+     102          21 :            state_reg_touch_way_sized[1] ? state_reg[0] : ~(state_reg_touch_way_sized[0])};
+     103             :     end
+     104             :   end // always @(posedge, posedge)
+     105             :   `ifdef ENABLE_INITIAL_REG_
+     106             :     `ifdef FIRRTL_BEFORE_INITIAL
+     107             :       `FIRRTL_BEFORE_INITIAL
+     108             :     `endif // FIRRTL_BEFORE_INITIAL
+     109             :     logic [31:0] _RANDOM[0:0];
+     110         174 :     initial begin
+     111             :       `ifdef INIT_RANDOM_PROLOG_
+     112             :         `INIT_RANDOM_PROLOG_
+     113             :       `endif // INIT_RANDOM_PROLOG_
+     114             :       `ifdef RANDOMIZE_REG_INIT
+     115             :         _RANDOM[/*Zero width*/ 1'b0] = `RANDOM;
+     116             :         ever_written_0 = _RANDOM[/*Zero width*/ 1'b0][4];
+     117             :         ever_written_1 = _RANDOM[/*Zero width*/ 1'b0][5];
+     118             :         ever_written_2 = _RANDOM[/*Zero width*/ 1'b0][6];
+     119             :         ever_written_3 = _RANDOM[/*Zero width*/ 1'b0][7];
+     120             :         state_reg = _RANDOM[/*Zero width*/ 1'b0][10:8];
+     121             :       `endif // RANDOMIZE_REG_INIT
+     122          51 :       if (reset) begin
+     123          36 :         ever_written_0 = 1'h0;
+     124          36 :         ever_written_1 = 1'h0;
+     125          36 :         ever_written_2 = 1'h0;
+     126          36 :         ever_written_3 = 1'h0;
+     127          36 :         state_reg = 3'h0;
+     128             :       end
+     129             :     end // initial
+     130             :     `ifdef FIRRTL_AFTER_INITIAL
+     131             :       `FIRRTL_AFTER_INITIAL
+     132             :     `endif // FIRRTL_AFTER_INITIAL
+     133             :   `endif // ENABLE_INITIAL_REG_
+     134             :   CAMTemplate_43 idx_tag_cam (
+     135             :     .clock              (clock),
+     136             :     .io_r_req_0_idx     (io_write_idx),
+     137             :     .io_r_resp_0_0      (_idx_tag_cam_io_r_resp_0_0),
+     138             :     .io_r_resp_0_1      (_idx_tag_cam_io_r_resp_0_1),
+     139             :     .io_r_resp_0_2      (_idx_tag_cam_io_r_resp_0_2),
+     140             :     .io_r_resp_0_3      (_idx_tag_cam_io_r_resp_0_3),
+     141             :     .io_w_valid         (io_wen & ~hit),
+     142             :     .io_w_bits_data_idx (io_write_idx),
+     143             :     .io_w_bits_index    (enq_idx)
+     144             :   );
+     145             :   data_mem_0_4x2 data_mem_0_ext (
+     146             :     .R0_addr (hit_idx),
+     147             :     .R0_en   (1'h1),
+     148             :     .R0_clk  (clock),
+     149             :     .R0_data (io_hit_data_0_bits),
+     150             :     .W0_addr (hit ? hit_idx : enq_idx),
+     151             :     .W0_en   (io_wen),
+     152             :     .W0_clk  (clock),
+     153             :     .W0_data (io_write_data_0)
+     154             :   );
+     155             :   assign io_hit = hit;
+     156             : endmodule
+     157             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.func-sort-c.html new file mode 100644 index 0000000..4ac5752 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_0_0.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_0_0.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:66100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.func.html new file mode 100644 index 0000000..b41aa04 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_0_0.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_0_0.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:66100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.gcov.html new file mode 100644 index 0000000..086255e --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0.sv.gcov.html @@ -0,0 +1,152 @@ + + + + + + + LCOV - merged.info - BPUTop/array_0_0.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_0_0.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:66100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module array_0_0(
+      59       62194 :   input  [6:0]  RW0_addr,
+      60         416 :   input         RW0_en,
+      61      127786 :   input         RW0_clk,
+      62         209 :   input         RW0_wmode,
+      63        7156 :   input  [52:0] RW0_wdata,
+      64        6175 :   output [52:0] RW0_rdata
+      65             : );
+      66             : 
+      67             :   array_0_0_ext array_0_0_ext (
+      68             :     .RW0_addr  (RW0_addr),
+      69             :     .RW0_en    (RW0_en),
+      70             :     .RW0_clk   (RW0_clk),
+      71             :     .RW0_wmode (RW0_wmode),
+      72             :     .RW0_wdata (RW0_wdata),
+      73             :     .RW0_rdata (RW0_rdata)
+      74             :   );
+      75             : endmodule
+      76             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.func-sort-c.html new file mode 100644 index 0000000..52f7a8c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_0_0_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_0_0_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.func.html new file mode 100644 index 0000000..a5901a8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_0_0_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_0_0_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.gcov.html new file mode 100644 index 0000000..903698f --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_0_0_ext.v.gcov.html @@ -0,0 +1,125 @@ + + + + + + + LCOV - merged.info - BPUTop/array_0_0_ext.v + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_0_0_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : 
+       2             : module array_0_0_ext(
+       3      127786 :   input RW0_clk,
+       4       62194 :   input [6:0] RW0_addr,
+       5         416 :   input RW0_en,
+       6         209 :   input RW0_wmode,
+       7        7156 :   input [52:0] RW0_wdata,
+       8        6175 :   output [52:0] RW0_rdata
+       9             : );
+      10             : 
+      11         302 :   reg reg_RW0_ren;
+      12       16174 :   reg [6:0] reg_RW0_addr;
+      13             :   reg [52:0] ram [127:0];
+      14             :   `ifdef RANDOMIZE_MEM_INIT
+      15             :     integer initvar;
+      16             :     initial begin
+      17             :       #`RANDOMIZE_DELAY begin end
+      18             :       for (initvar = 0; initvar < 128; initvar = initvar+1)
+      19             :         ram[initvar] = {2 {$random}};
+      20             :       reg_RW0_addr = {1 {$random}};
+      21             :     end
+      22             :   `endif
+      23             :   integer i;
+      24      510776 :   always @(posedge RW0_clk)
+      25      255388 :     reg_RW0_ren <= RW0_en && !RW0_wmode;
+      26      510776 :   always @(posedge RW0_clk)
+      27       16700 :     if (RW0_en && !RW0_wmode) reg_RW0_addr <= RW0_addr;
+      28      510776 :   always @(posedge RW0_clk)
+      29       31498 :     if (RW0_en && RW0_wmode) begin
+      30       15749 :       for (i=0;i<1;i=i+1) begin
+      31       15749 :         ram[RW0_addr][i*53 +: 53] <= RW0_wdata[i*53 +: 53];
+      32             :       end
+      33             :     end
+      34             :   `ifdef RANDOMIZE_GARBAGE_ASSIGN
+      35             :   reg [63:0] RW0_random;
+      36             :   `ifdef RANDOMIZE_MEM_INIT
+      37             :     initial begin
+      38             :       #`RANDOMIZE_DELAY begin end
+      39             :       RW0_random = {$random, $random};
+      40             :       reg_RW0_ren = RW0_random[0];
+      41             :     end
+      42             :   `endif
+      43             :   always @(posedge RW0_clk) RW0_random <= {$random, $random};
+      44             :   assign RW0_rdata = reg_RW0_ren ? ram[reg_RW0_addr] : RW0_random[52:0];
+      45             :   `else
+      46             :   assign RW0_rdata = ram[reg_RW0_addr];
+      47             :   `endif
+      48             : 
+      49             : endmodule
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.func-sort-c.html new file mode 100644 index 0000000..1e82008 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:55100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.func.html new file mode 100644 index 0000000..37feacf --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:55100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.gcov.html new file mode 100644 index 0000000..2d0a9c3 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3.sv.gcov.html @@ -0,0 +1,154 @@ + + + + + + + LCOV - merged.info - BPUTop/array_3.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:55100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module array_3(
+      59       38293 :   input  [8:0]   RW0_addr,
+      60         112 :   input          RW0_en,
+      61      127786 :   input          RW0_clk,
+      62          89 :   input          RW0_wmode,
+      63             :   input  [319:0] RW0_wdata,
+      64             :   output [319:0] RW0_rdata,
+      65         278 :   input  [3:0]   RW0_wmask
+      66             : );
+      67             : 
+      68             :   array_3_ext array_3_ext (
+      69             :     .RW0_addr  (RW0_addr),
+      70             :     .RW0_en    (RW0_en),
+      71             :     .RW0_clk   (RW0_clk),
+      72             :     .RW0_wmode (RW0_wmode),
+      73             :     .RW0_wdata (RW0_wdata),
+      74             :     .RW0_rdata (RW0_rdata),
+      75             :     .RW0_wmask (RW0_wmask)
+      76             :   );
+      77             : endmodule
+      78             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.func-sort-c.html new file mode 100644 index 0000000..10faa54 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_3_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_3_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.func.html new file mode 100644 index 0000000..a7c78e4 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_3_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_3_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.gcov.html new file mode 100644 index 0000000..462f080 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_3_ext.v.gcov.html @@ -0,0 +1,128 @@ + + + + + + + LCOV - merged.info - BPUTop/array_3_ext.v + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_3_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : 
+       2             : module array_3_ext(
+       3      127786 :   input RW0_clk,
+       4       38293 :   input [8:0] RW0_addr,
+       5         112 :   input RW0_en,
+       6          89 :   input RW0_wmode,
+       7         278 :   input [3:0] RW0_wmask,
+       8             :   input [319:0] RW0_wdata,
+       9             :   output [319:0] RW0_rdata
+      10             : );
+      11             : 
+      12          87 :   reg reg_RW0_ren;
+      13        8335 :   reg [8:0] reg_RW0_addr;
+      14             :   reg [319:0] ram [511:0];
+      15             :   `ifdef RANDOMIZE_MEM_INIT
+      16             :     integer initvar;
+      17             :     initial begin
+      18             :       #`RANDOMIZE_DELAY begin end
+      19             :       for (initvar = 0; initvar < 512; initvar = initvar+1)
+      20             :         ram[initvar] = {10 {$random}};
+      21             :       reg_RW0_addr = {1 {$random}};
+      22             :     end
+      23             :   `endif
+      24             :   integer i;
+      25      127694 :   always @(posedge RW0_clk)
+      26       63847 :     reg_RW0_ren <= RW0_en && !RW0_wmode;
+      27      127694 :   always @(posedge RW0_clk)
+      28        8384 :     if (RW0_en && !RW0_wmode) reg_RW0_addr <= RW0_addr;
+      29      127694 :   always @(posedge RW0_clk)
+      30       30186 :     if (RW0_en && RW0_wmode) begin
+      31       15093 :       for (i=0;i<4;i=i+1) begin
+      32          63 :         if (RW0_wmask[i]) begin
+      33       60309 :           ram[RW0_addr][i*80 +: 80] <= RW0_wdata[i*80 +: 80];
+      34             :         end
+      35             :       end
+      36             :     end
+      37             :   `ifdef RANDOMIZE_GARBAGE_ASSIGN
+      38             :   reg [319:0] RW0_random;
+      39             :   `ifdef RANDOMIZE_MEM_INIT
+      40             :     initial begin
+      41             :       #`RANDOMIZE_DELAY begin end
+      42             :       RW0_random = {$random, $random, $random, $random, $random, $random, $random, $random, $random, $random};
+      43             :       reg_RW0_ren = RW0_random[0];
+      44             :     end
+      45             :   `endif
+      46             :   always @(posedge RW0_clk) RW0_random <= {$random, $random, $random, $random, $random, $random, $random, $random, $random, $random};
+      47             :   assign RW0_rdata = reg_RW0_ren ? ram[reg_RW0_addr] : RW0_random[319:0];
+      48             :   `else
+      49             :   assign RW0_rdata = ram[reg_RW0_addr];
+      50             :   `endif
+      51             : 
+      52             : endmodule
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.func-sort-c.html new file mode 100644 index 0000000..e73e315 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.func.html new file mode 100644 index 0000000..9e1299b --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.gcov.html new file mode 100644 index 0000000..ea7f3e4 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4.sv.gcov.html @@ -0,0 +1,154 @@ + + + + + + + LCOV - merged.info - BPUTop/array_4.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module array_4(
+      59       93556 :   input  [7:0]  RW0_addr,
+      60         444 :   input         RW0_en,
+      61      127786 :   input         RW0_clk,
+      62         195 :   input         RW0_wmode,
+      63        2110 :   input  [15:0] RW0_wdata,
+      64        1829 :   output [15:0] RW0_rdata,
+      65        3103 :   input  [15:0] RW0_wmask
+      66             : );
+      67             : 
+      68             :   array_4_ext array_4_ext (
+      69             :     .RW0_addr  (RW0_addr),
+      70             :     .RW0_en    (RW0_en),
+      71             :     .RW0_clk   (RW0_clk),
+      72             :     .RW0_wmode (RW0_wmode),
+      73             :     .RW0_wdata (RW0_wdata),
+      74             :     .RW0_rdata (RW0_rdata),
+      75             :     .RW0_wmask (RW0_wmask)
+      76             :   );
+      77             : endmodule
+      78             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.func-sort-c.html new file mode 100644 index 0000000..579402a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_4_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_4_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.func.html new file mode 100644 index 0000000..f121c69 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_4_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_4_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.gcov.html new file mode 100644 index 0000000..d7a3666 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_4_ext.v.gcov.html @@ -0,0 +1,124 @@ + + + + + + + LCOV - merged.info - BPUTop/array_4_ext.v + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_4_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1616100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : 
+       2             : module array_4_ext(
+       3      127786 :   input RW0_clk,
+       4       93556 :   input [7:0] RW0_addr,
+       5         444 :   input RW0_en,
+       6         195 :   input RW0_wmode,
+       7        3103 :   input [15:0] RW0_wmask,
+       8        2110 :   input [15:0] RW0_wdata,
+       9        1829 :   output [15:0] RW0_rdata
+      10             : );
+      11             : 
+      12         364 :   reg reg_RW0_ren;
+      13       33561 :   reg [7:0] reg_RW0_addr;
+      14             :   reg [15:0] ram [255:0];
+      15             :   `ifdef RANDOMIZE_MEM_INIT
+      16             :     integer initvar;
+      17             :     initial begin
+      18             :       #`RANDOMIZE_DELAY begin end
+      19             :       for (initvar = 0; initvar < 256; initvar = initvar+1)
+      20             :         ram[initvar] = {1 {$random}};
+      21             :       reg_RW0_addr = {1 {$random}};
+      22             :     end
+      23             :   `endif
+      24             :   integer i;
+      25      510776 :   always @(posedge RW0_clk)
+      26      255388 :     reg_RW0_ren <= RW0_en && !RW0_wmode;
+      27      510776 :   always @(posedge RW0_clk)
+      28       33400 :     if (RW0_en && !RW0_wmode) reg_RW0_addr <= RW0_addr;
+      29      510776 :   always @(posedge RW0_clk)
+      30       61228 :     if (RW0_en && RW0_wmode) begin
+      31       30614 :         ram[RW0_addr] <= (RW0_wmask & RW0_wdata) | (~RW0_wmask & ram[RW0_addr]);
+      32             :     end
+      33             :   `ifdef RANDOMIZE_GARBAGE_ASSIGN
+      34             :   reg [31:0] RW0_random;
+      35             :   `ifdef RANDOMIZE_MEM_INIT
+      36             :     initial begin
+      37             :       #`RANDOMIZE_DELAY begin end
+      38             :       RW0_random = {$random};
+      39             :       reg_RW0_ren = RW0_random[0];
+      40             :     end
+      41             :   `endif
+      42             :   always @(posedge RW0_clk) RW0_random <= {$random};
+      43             :   assign RW0_rdata = reg_RW0_ren ? ram[reg_RW0_addr] : RW0_random[15:0];
+      44             :   `else
+      45             :   assign RW0_rdata = ram[reg_RW0_addr];
+      46             :   `endif
+      47             : 
+      48             : endmodule
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.func-sort-c.html new file mode 100644 index 0000000..0f20196 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_5.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_5.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.func.html new file mode 100644 index 0000000..892b7a3 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_5.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_5.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.gcov.html new file mode 100644 index 0000000..917ff2c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5.sv.gcov.html @@ -0,0 +1,154 @@ + + + + + + + LCOV - merged.info - BPUTop/array_5.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_5.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module array_5(
+      59      612354 :   input  [8:0]  RW0_addr,
+      60        1280 :   input         RW0_en,
+      61      127786 :   input         RW0_clk,
+      62         872 :   input         RW0_wmode,
+      63       13928 :   input  [23:0] RW0_wdata,
+      64       11006 :   output [23:0] RW0_rdata,
+      65        1813 :   input  [1:0]  RW0_wmask
+      66             : );
+      67             : 
+      68             :   array_5_ext array_5_ext (
+      69             :     .RW0_addr  (RW0_addr),
+      70             :     .RW0_en    (RW0_en),
+      71             :     .RW0_clk   (RW0_clk),
+      72             :     .RW0_wmode (RW0_wmode),
+      73             :     .RW0_wdata (RW0_wdata),
+      74             :     .RW0_rdata (RW0_rdata),
+      75             :     .RW0_wmask (RW0_wmask)
+      76             :   );
+      77             : endmodule
+      78             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.func-sort-c.html new file mode 100644 index 0000000..167076a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_5_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_5_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.func.html new file mode 100644 index 0000000..0bfca60 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_5_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_5_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.gcov.html new file mode 100644 index 0000000..24de4ef --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_5_ext.v.gcov.html @@ -0,0 +1,128 @@ + + + + + + + LCOV - merged.info - BPUTop/array_5_ext.v + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_5_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : 
+       2             : module array_5_ext(
+       3      127786 :   input RW0_clk,
+       4      612354 :   input [8:0] RW0_addr,
+       5        1280 :   input RW0_en,
+       6         872 :   input RW0_wmode,
+       7        1813 :   input [1:0] RW0_wmask,
+       8       13928 :   input [23:0] RW0_wdata,
+       9       11006 :   output [23:0] RW0_rdata
+      10             : );
+      11             : 
+      12         862 :   reg reg_RW0_ren;
+      13       35354 :   reg [8:0] reg_RW0_addr;
+      14             :   reg [23:0] ram [511:0];
+      15             :   `ifdef RANDOMIZE_MEM_INIT
+      16             :     integer initvar;
+      17             :     initial begin
+      18             :       #`RANDOMIZE_DELAY begin end
+      19             :       for (initvar = 0; initvar < 512; initvar = initvar+1)
+      20             :         ram[initvar] = {1 {$random}};
+      21             :       reg_RW0_addr = {1 {$random}};
+      22             :     end
+      23             :   `endif
+      24             :   integer i;
+      25     2043104 :   always @(posedge RW0_clk)
+      26     1021552 :     reg_RW0_ren <= RW0_en && !RW0_wmode;
+      27     2043104 :   always @(posedge RW0_clk)
+      28       33400 :     if (RW0_en && !RW0_wmode) reg_RW0_addr <= RW0_addr;
+      29     2043104 :   always @(posedge RW0_clk)
+      30      482350 :     if (RW0_en && RW0_wmode) begin
+      31      241175 :       for (i=0;i<2;i=i+1) begin
+      32          20 :         if (RW0_wmask[i]) begin
+      33      482330 :           ram[RW0_addr][i*12 +: 12] <= RW0_wdata[i*12 +: 12];
+      34             :         end
+      35             :       end
+      36             :     end
+      37             :   `ifdef RANDOMIZE_GARBAGE_ASSIGN
+      38             :   reg [31:0] RW0_random;
+      39             :   `ifdef RANDOMIZE_MEM_INIT
+      40             :     initial begin
+      41             :       #`RANDOMIZE_DELAY begin end
+      42             :       RW0_random = {$random};
+      43             :       reg_RW0_ren = RW0_random[0];
+      44             :     end
+      45             :   `endif
+      46             :   always @(posedge RW0_clk) RW0_random <= {$random};
+      47             :   assign RW0_rdata = reg_RW0_ren ? ram[reg_RW0_addr] : RW0_random[23:0];
+      48             :   `else
+      49             :   assign RW0_rdata = ram[reg_RW0_addr];
+      50             :   `endif
+      51             : 
+      52             : endmodule
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.func-sort-c.html new file mode 100644 index 0000000..32f0c77 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_6.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_6.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.func.html new file mode 100644 index 0000000..f5aac93 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_6.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_6.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.gcov.html new file mode 100644 index 0000000..d488081 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6.sv.gcov.html @@ -0,0 +1,158 @@ + + + + + + + LCOV - merged.info - BPUTop/array_6.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_6.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module array_6(
+      59        8636 :   input  [8:0]  R0_addr,
+      60          76 :   input         R0_en,
+      61      127786 :   input         R0_clk,
+      62         460 :   output [15:0] R0_data,
+      63       29781 :   input  [8:0]  W0_addr,
+      64          59 :   input         W0_en,
+      65      127786 :   input         W0_clk,
+      66         575 :   input  [15:0] W0_data,
+      67      238101 :   input  [7:0]  W0_mask
+      68             : );
+      69             : 
+      70             :   array_6_ext array_6_ext (
+      71             :     .R0_addr (R0_addr),
+      72             :     .R0_en   (R0_en),
+      73             :     .R0_clk  (R0_clk),
+      74             :     .R0_data (R0_data),
+      75             :     .W0_addr (W0_addr),
+      76             :     .W0_en   (W0_en),
+      77             :     .W0_clk  (W0_clk),
+      78             :     .W0_data (W0_data),
+      79             :     .W0_mask (W0_mask)
+      80             :   );
+      81             : endmodule
+      82             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.func-sort-c.html new file mode 100644 index 0000000..e130875 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_6_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_6_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:2525100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.func.html new file mode 100644 index 0000000..af762f2 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_6_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_6_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:2525100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.gcov.html new file mode 100644 index 0000000..7bef556 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_6_ext.v.gcov.html @@ -0,0 +1,133 @@ + + + + + + + LCOV - merged.info - BPUTop/array_6_ext.v + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_6_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:2525100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : 
+       2             : module array_6_ext(
+       3      127786 :   input W0_clk,
+       4       29781 :   input [8:0] W0_addr,
+       5          59 :   input W0_en,
+       6         575 :   input [15:0] W0_data,
+       7      238101 :   input [7:0] W0_mask,
+       8      127786 :   input R0_clk,
+       9        8636 :   input [8:0] R0_addr,
+      10          76 :   input R0_en,
+      11         460 :   output [15:0] R0_data
+      12             : );
+      13             : 
+      14          95 :   reg reg_R0_ren;
+      15        8411 :   reg [8:0] reg_R0_addr;
+      16             :   reg [15:0] ram [511:0];
+      17             :   `ifdef RANDOMIZE_MEM_INIT
+      18             :     integer initvar;
+      19             :     initial begin
+      20             :       #`RANDOMIZE_DELAY begin end
+      21             :       for (initvar = 0; initvar < 512; initvar = initvar+1)
+      22             :         ram[initvar] = {1 {$random}};
+      23             :       reg_R0_addr = {1 {$random}};
+      24             :     end
+      25             :   `endif
+      26             :   integer i;
+      27      127694 :   always @(posedge R0_clk)
+      28       63847 :     reg_R0_ren <= R0_en;
+      29      127694 :   always @(posedge R0_clk)
+      30        8350 :     if (R0_en) reg_R0_addr <= R0_addr;
+      31      127694 :   always @(posedge W0_clk)
+      32        4225 :     if (W0_en) begin
+      33       29988 :       if (W0_mask[0]) ram[W0_addr][1:0] <= W0_data[1:0];
+      34       29988 :       if (W0_mask[1]) ram[W0_addr][3:2] <= W0_data[3:2];
+      35       29750 :       if (W0_mask[2]) ram[W0_addr][5:4] <= W0_data[5:4];
+      36       29746 :       if (W0_mask[3]) ram[W0_addr][7:6] <= W0_data[7:6];
+      37       29748 :       if (W0_mask[4]) ram[W0_addr][9:8] <= W0_data[9:8];
+      38       29748 :       if (W0_mask[5]) ram[W0_addr][11:10] <= W0_data[11:10];
+      39       29756 :       if (W0_mask[6]) ram[W0_addr][13:12] <= W0_data[13:12];
+      40       29754 :       if (W0_mask[7]) ram[W0_addr][15:14] <= W0_data[15:14];
+      41             :     end
+      42             :   `ifdef RANDOMIZE_GARBAGE_ASSIGN
+      43             :   reg [31:0] R0_random;
+      44             :   `ifdef RANDOMIZE_MEM_INIT
+      45             :     initial begin
+      46             :       #`RANDOMIZE_DELAY begin end
+      47             :       R0_random = {$random};
+      48             :       reg_R0_ren = R0_random[0];
+      49             :     end
+      50             :   `endif
+      51             :   always @(posedge R0_clk) R0_random <= {$random};
+      52             :   assign R0_data = reg_R0_ren ? ram[reg_R0_addr] : R0_random[15:0];
+      53             :   `else
+      54             :   assign R0_data = ram[reg_R0_addr];
+      55             :   `endif
+      56             : 
+      57             : endmodule
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.func-sort-c.html new file mode 100644 index 0000000..8c65cd7 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_7.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_7.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.func.html new file mode 100644 index 0000000..d514db3 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_7.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_7.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.gcov.html new file mode 100644 index 0000000..86dc45f --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7.sv.gcov.html @@ -0,0 +1,158 @@ + + + + + + + LCOV - merged.info - BPUTop/array_7.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_7.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:99100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module array_7(
+      59       32986 :   input  [7:0]  R0_addr,
+      60          73 :   input         R0_en,
+      61      127786 :   input         R0_clk,
+      62        2778 :   output [23:0] R0_data,
+      63       60329 :   input  [7:0]  W0_addr,
+      64         220 :   input         W0_en,
+      65      127786 :   input         W0_clk,
+      66        3331 :   input  [23:0] W0_data,
+      67         870 :   input  [3:0]  W0_mask
+      68             : );
+      69             : 
+      70             :   array_7_ext array_7_ext (
+      71             :     .R0_addr (R0_addr),
+      72             :     .R0_en   (R0_en),
+      73             :     .R0_clk  (R0_clk),
+      74             :     .R0_data (R0_data),
+      75             :     .W0_addr (W0_addr),
+      76             :     .W0_en   (W0_en),
+      77             :     .W0_clk  (W0_clk),
+      78             :     .W0_data (W0_data),
+      79             :     .W0_mask (W0_mask)
+      80             :   );
+      81             : endmodule
+      82             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.func-sort-c.html new file mode 100644 index 0000000..135d292 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_7_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_7_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:2121100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.func.html new file mode 100644 index 0000000..9864d95 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_7_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_7_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:2121100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.gcov.html new file mode 100644 index 0000000..0101245 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_7_ext.v.gcov.html @@ -0,0 +1,129 @@ + + + + + + + LCOV - merged.info - BPUTop/array_7_ext.v + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_7_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:2121100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : 
+       2             : module array_7_ext(
+       3      127786 :   input W0_clk,
+       4       60329 :   input [7:0] W0_addr,
+       5         220 :   input W0_en,
+       6        3331 :   input [23:0] W0_data,
+       7         870 :   input [3:0] W0_mask,
+       8      127786 :   input R0_clk,
+       9       32986 :   input [7:0] R0_addr,
+      10          73 :   input R0_en,
+      11        2778 :   output [23:0] R0_data
+      12             : );
+      13             : 
+      14         364 :   reg reg_R0_ren;
+      15       31999 :   reg [7:0] reg_R0_addr;
+      16             :   reg [23:0] ram [255:0];
+      17             :   `ifdef RANDOMIZE_MEM_INIT
+      18             :     integer initvar;
+      19             :     initial begin
+      20             :       #`RANDOMIZE_DELAY begin end
+      21             :       for (initvar = 0; initvar < 256; initvar = initvar+1)
+      22             :         ram[initvar] = {1 {$random}};
+      23             :       reg_R0_addr = {1 {$random}};
+      24             :     end
+      25             :   `endif
+      26             :   integer i;
+      27      510776 :   always @(posedge R0_clk)
+      28      255388 :     reg_R0_ren <= R0_en;
+      29      510776 :   always @(posedge R0_clk)
+      30       33400 :     if (R0_en) reg_R0_addr <= R0_addr;
+      31      510776 :   always @(posedge W0_clk)
+      32       61240 :     if (W0_en) begin
+      33          15 :       if (W0_mask[0]) ram[W0_addr][5:0] <= W0_data[5:0];
+      34          18 :       if (W0_mask[1]) ram[W0_addr][11:6] <= W0_data[11:6];
+      35          18 :       if (W0_mask[2]) ram[W0_addr][17:12] <= W0_data[17:12];
+      36          15 :       if (W0_mask[3]) ram[W0_addr][23:18] <= W0_data[23:18];
+      37             :     end
+      38             :   `ifdef RANDOMIZE_GARBAGE_ASSIGN
+      39             :   reg [31:0] R0_random;
+      40             :   `ifdef RANDOMIZE_MEM_INIT
+      41             :     initial begin
+      42             :       #`RANDOMIZE_DELAY begin end
+      43             :       R0_random = {$random};
+      44             :       reg_R0_ren = R0_random[0];
+      45             :     end
+      46             :   `endif
+      47             :   always @(posedge R0_clk) R0_random <= {$random};
+      48             :   assign R0_data = reg_R0_ren ? ram[reg_R0_addr] : R0_random[23:0];
+      49             :   `else
+      50             :   assign R0_data = ram[reg_R0_addr];
+      51             :   `endif
+      52             : 
+      53             : endmodule
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.func-sort-c.html new file mode 100644 index 0000000..d70befd --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_8.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_8.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.func.html new file mode 100644 index 0000000..5e8b619 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_8.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_8.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.gcov.html new file mode 100644 index 0000000..adb8d82 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8.sv.gcov.html @@ -0,0 +1,154 @@ + + + + + + + LCOV - merged.info - BPUTop/array_8.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_8.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:77100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : module array_8(
+      59       94757 :   input  [6:0]   RW0_addr,
+      60         639 :   input          RW0_en,
+      61      127786 :   input          RW0_clk,
+      62         327 :   input          RW0_wmode,
+      63       22033 :   input  [105:0] RW0_wdata,
+      64       18523 :   output [105:0] RW0_rdata,
+      65         399 :   input  [1:0]   RW0_wmask
+      66             : );
+      67             : 
+      68             :   array_8_ext array_8_ext (
+      69             :     .RW0_addr  (RW0_addr),
+      70             :     .RW0_en    (RW0_en),
+      71             :     .RW0_clk   (RW0_clk),
+      72             :     .RW0_wmode (RW0_wmode),
+      73             :     .RW0_wdata (RW0_wdata),
+      74             :     .RW0_rdata (RW0_rdata),
+      75             :     .RW0_wmask (RW0_wmask)
+      76             :   );
+      77             : endmodule
+      78             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.func-sort-c.html new file mode 100644 index 0000000..7ef7e55 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_8_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_8_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.func.html new file mode 100644 index 0000000..252524a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/array_8_ext.v - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_8_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.gcov.html new file mode 100644 index 0000000..f85b41f --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/array_8_ext.v.gcov.html @@ -0,0 +1,128 @@ + + + + + + + LCOV - merged.info - BPUTop/array_8_ext.v + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - array_8_ext.v (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : 
+       2             : module array_8_ext(
+       3      127786 :   input RW0_clk,
+       4       94757 :   input [6:0] RW0_addr,
+       5         639 :   input RW0_en,
+       6         327 :   input RW0_wmode,
+       7         399 :   input [1:0] RW0_wmask,
+       8       22033 :   input [105:0] RW0_wdata,
+       9       18523 :   output [105:0] RW0_rdata
+      10             : );
+      11             : 
+      12         458 :   reg reg_RW0_ren;
+      13       24955 :   reg [6:0] reg_RW0_addr;
+      14             :   reg [105:0] ram [127:0];
+      15             :   `ifdef RANDOMIZE_MEM_INIT
+      16             :     integer initvar;
+      17             :     initial begin
+      18             :       #`RANDOMIZE_DELAY begin end
+      19             :       for (initvar = 0; initvar < 128; initvar = initvar+1)
+      20             :         ram[initvar] = {4 {$random}};
+      21             :       reg_RW0_addr = {1 {$random}};
+      22             :     end
+      23             :   `endif
+      24             :   integer i;
+      25      766164 :   always @(posedge RW0_clk)
+      26      383082 :     reg_RW0_ren <= RW0_en && !RW0_wmode;
+      27      766164 :   always @(posedge RW0_clk)
+      28       25050 :     if (RW0_en && !RW0_wmode) reg_RW0_addr <= RW0_addr;
+      29      766164 :   always @(posedge RW0_clk)
+      30       47242 :     if (RW0_en && RW0_wmode) begin
+      31       23621 :       for (i=0;i<2;i=i+1) begin
+      32           9 :         if (RW0_wmask[i]) begin
+      33       47233 :           ram[RW0_addr][i*53 +: 53] <= RW0_wdata[i*53 +: 53];
+      34             :         end
+      35             :       end
+      36             :     end
+      37             :   `ifdef RANDOMIZE_GARBAGE_ASSIGN
+      38             :   reg [127:0] RW0_random;
+      39             :   `ifdef RANDOMIZE_MEM_INIT
+      40             :     initial begin
+      41             :       #`RANDOMIZE_DELAY begin end
+      42             :       RW0_random = {$random, $random, $random, $random};
+      43             :       reg_RW0_ren = RW0_random[0];
+      44             :     end
+      45             :   `endif
+      46             :   always @(posedge RW0_clk) RW0_random <= {$random, $random, $random, $random};
+      47             :   assign RW0_rdata = reg_RW0_ren ? ram[reg_RW0_addr] : RW0_random[105:0];
+      48             :   `else
+      49             :   assign RW0_rdata = ram[reg_RW0_addr];
+      50             :   `endif
+      51             : 
+      52             : endmodule
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.func-sort-c.html new file mode 100644 index 0000000..8d58826 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_16x16.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_16x16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1919100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.func.html new file mode 100644 index 0000000..17a05bc --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_16x16.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_16x16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1919100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.gcov.html new file mode 100644 index 0000000..5f63240 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_16x16.sv.gcov.html @@ -0,0 +1,171 @@ + + + + + + + LCOV - merged.info - BPUTop/data_16x16.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_16x16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1919100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : // VCS coverage exclude_file
+      59             : module data_16x16(
+      60       15694 :   input  [3:0]  R0_addr,
+      61          19 :   input         R0_en,
+      62      127786 :   input         R0_clk,
+      63        5022 :   output [15:0] R0_data,
+      64          51 :   input  [3:0]  W0_addr,
+      65          69 :   input         W0_en,
+      66      127786 :   input         W0_clk,
+      67         224 :   input  [15:0] W0_data,
+      68        2019 :   input  [3:0]  W1_addr,
+      69         113 :   input         W1_en,
+      70      127786 :   input         W1_clk,
+      71     2044576 :   input  [15:0] W1_data
+      72             : );
+      73             : 
+      74       15235 :   reg [15:0] Memory[0:15];
+      75      255388 :   always @(posedge W0_clk) begin
+      76       64436 :     if (W0_en & 1'h1)
+      77       63258 :       Memory[W0_addr] <= W0_data;
+      78        2428 :     if (W1_en & 1'h1)
+      79        1214 :       Memory[W1_addr] <= W1_data;
+      80             :   end // always @(posedge)
+      81             :   `ifdef ENABLE_INITIAL_MEM_
+      82             :     reg [31:0] _RANDOM_MEM;
+      83         116 :     initial begin
+      84             :       `INIT_RANDOM_PROLOG_
+      85             :       `ifdef RANDOMIZE_MEM_INIT
+      86             :         for (logic [4:0] i = 5'h0; i < 5'h10; i += 5'h1) begin
+      87             :           _RANDOM_MEM = `RANDOM;
+      88             :           Memory[i[3:0]] = _RANDOM_MEM[15:0];
+      89             :         end
+      90             :       `endif // RANDOMIZE_MEM_INIT
+      91             :     end // initial
+      92             :   `endif // ENABLE_INITIAL_MEM_
+      93             :   assign R0_data = R0_en ? Memory[R0_addr] : 16'bx;
+      94             : endmodule
+      95             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.func-sort-c.html new file mode 100644 index 0000000..e4c3133 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_32x16.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_32x16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.func.html new file mode 100644 index 0000000..acf4b0d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_32x16.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_32x16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.gcov.html new file mode 100644 index 0000000..036c062 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_32x16.sv.gcov.html @@ -0,0 +1,171 @@ + + + + + + + LCOV - merged.info - BPUTop/data_32x16.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_32x16.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1818100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : // VCS coverage exclude_file
+      59             : module data_32x16(
+      60       24363 :   input  [4:0]  R0_addr,
+      61          19 :   input         R0_en,
+      62      127786 :   input         R0_clk,
+      63        4003 :   output [15:0] R0_data,
+      64          74 :   input  [4:0]  W0_addr,
+      65         111 :   input         W0_en,
+      66      127786 :   input         W0_clk,
+      67         320 :   input  [15:0] W0_data,
+      68        5949 :   input  [4:0]  W1_addr,
+      69         176 :   input         W1_en,
+      70      127786 :   input         W1_clk,
+      71     2044576 :   input  [15:0] W1_data
+      72             : );
+      73             : 
+      74             :   reg [15:0] Memory[0:31];
+      75      383082 :   always @(posedge W0_clk) begin
+      76      102839 :     if (W0_en & 1'h1)
+      77       88702 :       Memory[W0_addr] <= W0_data;
+      78        6508 :     if (W1_en & 1'h1)
+      79        3254 :       Memory[W1_addr] <= W1_data;
+      80             :   end // always @(posedge)
+      81             :   `ifdef ENABLE_INITIAL_MEM_
+      82             :     reg [31:0] _RANDOM_MEM;
+      83         174 :     initial begin
+      84             :       `INIT_RANDOM_PROLOG_
+      85             :       `ifdef RANDOMIZE_MEM_INIT
+      86             :         for (logic [5:0] i = 6'h0; i < 6'h20; i += 6'h1) begin
+      87             :           _RANDOM_MEM = `RANDOM;
+      88             :           Memory[i[4:0]] = _RANDOM_MEM[15:0];
+      89             :         end
+      90             :       `endif // RANDOMIZE_MEM_INIT
+      91             :     end // initial
+      92             :   `endif // ENABLE_INITIAL_MEM_
+      93             :   assign R0_data = R0_en ? Memory[R0_addr] : 16'bx;
+      94             : endmodule
+      95             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.func-sort-c.html new file mode 100644 index 0000000..5ea4576 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_0_4x2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_0_4x2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.func.html new file mode 100644 index 0000000..005f19c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_0_4x2.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_0_4x2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.gcov.html new file mode 100644 index 0000000..82388b9 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_4x2.sv.gcov.html @@ -0,0 +1,165 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_0_4x2.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_0_4x2.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : // VCS coverage exclude_file
+      59             : module data_mem_0_4x2(
+      60         194 :   input  [1:0] R0_addr,
+      61          38 :   input        R0_en,
+      62      255572 :   input        R0_clk,
+      63         238 :   output [1:0] R0_data,
+      64         215 :   input  [1:0] W0_addr,
+      65          51 :   input        W0_en,
+      66      255572 :   input        W0_clk,
+      67          69 :   input  [1:0] W0_data
+      68             : );
+      69             : 
+      70         660 :   reg [1:0] Memory[0:3];
+      71      638470 :   always @(posedge W0_clk) begin
+      72         126 :     if (W0_en & 1'h1)
+      73          63 :       Memory[W0_addr] <= W0_data;
+      74             :   end // always @(posedge)
+      75             :   `ifdef ENABLE_INITIAL_MEM_
+      76             :     reg [31:0] _RANDOM_MEM;
+      77         290 :     initial begin
+      78             :       `INIT_RANDOM_PROLOG_
+      79             :       `ifdef RANDOMIZE_MEM_INIT
+      80             :         for (logic [2:0] i = 3'h0; i < 3'h4; i += 3'h1) begin
+      81             :           _RANDOM_MEM = `RANDOM;
+      82             :           Memory[i[1:0]] = _RANDOM_MEM[1:0];
+      83             :         end
+      84             :       `endif // RANDOMIZE_MEM_INIT
+      85             :     end // initial
+      86             :   `endif // ENABLE_INITIAL_MEM_
+      87             :   assign R0_data = R0_en ? Memory[R0_addr] : 2'bx;
+      88             : endmodule
+      89             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.func-sort-c.html new file mode 100644 index 0000000..c0a14b4 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_0_8x3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_0_8x3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.func.html new file mode 100644 index 0000000..1aead66 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_0_8x3.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_0_8x3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.gcov.html new file mode 100644 index 0000000..6490866 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_0_8x3.sv.gcov.html @@ -0,0 +1,165 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_0_8x3.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_0_8x3.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:1313100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : // VCS coverage exclude_file
+      59             : module data_mem_0_8x3(
+      60        1583 :   input  [2:0] R0_addr,
+      61          19 :   input        R0_en,
+      62      127786 :   input        R0_clk,
+      63        1716 :   output [2:0] R0_data,
+      64        2213 :   input  [2:0] W0_addr,
+      65         598 :   input        W0_en,
+      66      127786 :   input        W0_clk,
+      67        1513 :   input  [2:0] W0_data
+      68             : );
+      69             : 
+      70       11282 :   reg [2:0] Memory[0:7];
+      71     4086208 :   always @(posedge W0_clk) begin
+      72         276 :     if (W0_en & 1'h1)
+      73         138 :       Memory[W0_addr] <= W0_data;
+      74             :   end // always @(posedge)
+      75             :   `ifdef ENABLE_INITIAL_MEM_
+      76             :     reg [31:0] _RANDOM_MEM;
+      77        1856 :     initial begin
+      78             :       `INIT_RANDOM_PROLOG_
+      79             :       `ifdef RANDOMIZE_MEM_INIT
+      80             :         for (logic [3:0] i = 4'h0; i < 4'h8; i += 4'h1) begin
+      81             :           _RANDOM_MEM = `RANDOM;
+      82             :           Memory[i[2:0]] = _RANDOM_MEM[2:0];
+      83             :         end
+      84             :       `endif // RANDOMIZE_MEM_INIT
+      85             :     end // initial
+      86             :   `endif // ENABLE_INITIAL_MEM_
+      87             :   assign R0_data = R0_en ? Memory[R0_addr] : 3'bx;
+      88             : endmodule
+      89             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.func-sort-c.html new file mode 100644 index 0000000..adff9c8 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_16x12.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_16x12.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.func.html new file mode 100644 index 0000000..135b7ef --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_16x12.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_16x12.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.gcov.html new file mode 100644 index 0000000..0588563 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_16x12.sv.gcov.html @@ -0,0 +1,173 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_16x12.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_16x12.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : // VCS coverage exclude_file
+      59             : module data_mem_16x12(
+      60         706 :   input  [3:0]  R0_addr,
+      61          19 :   input         R0_en,
+      62      127786 :   input         R0_clk,
+      63        2319 :   output [11:0] R0_data,
+      64         706 :   input  [3:0]  R1_addr,
+      65          19 :   input         R1_en,
+      66      127786 :   input         R1_clk,
+      67        2340 :   output [11:0] R1_data,
+      68         740 :   input  [3:0]  W0_addr,
+      69          29 :   input         W0_en,
+      70      127786 :   input         W0_clk,
+      71        2016 :   input  [11:0] W0_data,
+      72         382 :   input  [1:0]  W0_mask
+      73             : );
+      74             : 
+      75       22524 :   reg [11:0] Memory[0:15];
+      76     1021552 :   always @(posedge W0_clk) begin
+      77         138 :     if (W0_en & W0_mask[0])
+      78          69 :       Memory[W0_addr][32'h0 +: 6] <= W0_data[5:0];
+      79         122 :     if (W0_en & W0_mask[1])
+      80          61 :       Memory[W0_addr][32'h6 +: 6] <= W0_data[11:6];
+      81             :   end // always @(posedge)
+      82             :   `ifdef ENABLE_INITIAL_MEM_
+      83             :     reg [31:0] _RANDOM_MEM;
+      84         464 :     initial begin
+      85             :       `INIT_RANDOM_PROLOG_
+      86             :       `ifdef RANDOMIZE_MEM_INIT
+      87             :         for (logic [4:0] i = 5'h0; i < 5'h10; i += 5'h1) begin
+      88             :           _RANDOM_MEM = `RANDOM;
+      89             :           Memory[i[3:0]] = _RANDOM_MEM[11:0];
+      90             :         end
+      91             :       `endif // RANDOMIZE_MEM_INIT
+      92             :     end // initial
+      93             :   `endif // ENABLE_INITIAL_MEM_
+      94             :   assign R0_data = R0_en ? Memory[R0_addr] : 12'bx;
+      95             :   assign R1_data = R1_en ? Memory[R1_addr] : 12'bx;
+      96             : endmodule
+      97             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.func-sort-c.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.func-sort-c.html new file mode 100644 index 0000000..2cb133c --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.func-sort-c.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_8x4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_8x4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.func.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.func.html new file mode 100644 index 0000000..7349626 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.func.html @@ -0,0 +1,72 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_8x4.sv - functions + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_8x4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + +

Function Name Sort by function nameHit count Sort by hit count
+
+
+ + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.gcov.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.gcov.html new file mode 100644 index 0000000..b995e1a --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/data_mem_8x4.sv.gcov.html @@ -0,0 +1,173 @@ + + + + + + + LCOV - merged.info - BPUTop/data_mem_8x4.sv + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTop - data_mem_8x4.sv (source / functions)HitTotalCoverage
Test:merged.infoLines:2020100.0 %
Date:2024-10-27 20:24:07Functions:00-
+
+ + + + + + + + +

+
          Line data    Source code
+
+       1             : // Generated by CIRCT firtool-1.62.0
+       2             : // Standard header to adapt well known macros for register randomization.
+       3             : `ifndef RANDOMIZE
+       4             :   `ifdef RANDOMIZE_MEM_INIT
+       5             :     `define RANDOMIZE
+       6             :   `endif // RANDOMIZE_MEM_INIT
+       7             : `endif // not def RANDOMIZE
+       8             : `ifndef RANDOMIZE
+       9             :   `ifdef RANDOMIZE_REG_INIT
+      10             :     `define RANDOMIZE
+      11             :   `endif // RANDOMIZE_REG_INIT
+      12             : `endif // not def RANDOMIZE
+      13             : 
+      14             : // RANDOM may be set to an expression that produces a 32-bit random unsigned value.
+      15             : `ifndef RANDOM
+      16             :   `define RANDOM $random
+      17             : `endif // not def RANDOM
+      18             : 
+      19             : // Users can define INIT_RANDOM as general code that gets injected into the
+      20             : // initializer block for modules with registers.
+      21             : `ifndef INIT_RANDOM
+      22             :   `define INIT_RANDOM
+      23             : `endif // not def INIT_RANDOM
+      24             : 
+      25             : // If using random initialization, you can also define RANDOMIZE_DELAY to
+      26             : // customize the delay used, otherwise 0.002 is used.
+      27             : `ifndef RANDOMIZE_DELAY
+      28             :   `define RANDOMIZE_DELAY 0.002
+      29             : `endif // not def RANDOMIZE_DELAY
+      30             : 
+      31             : // Define INIT_RANDOM_PROLOG_ for use in our modules below.
+      32             : `ifndef INIT_RANDOM_PROLOG_
+      33             :   `ifdef RANDOMIZE
+      34             :     `ifdef VERILATOR
+      35             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM
+      36             :     `else  // VERILATOR
+      37             :       `define INIT_RANDOM_PROLOG_ `INIT_RANDOM #`RANDOMIZE_DELAY begin end
+      38             :     `endif // VERILATOR
+      39             :   `else  // RANDOMIZE
+      40             :     `define INIT_RANDOM_PROLOG_
+      41             :   `endif // RANDOMIZE
+      42             : `endif // not def INIT_RANDOM_PROLOG_
+      43             : 
+      44             : // Include register initializers in init blocks unless synthesis is set
+      45             : `ifndef SYNTHESIS
+      46             :   `ifndef ENABLE_INITIAL_REG_
+      47             :     `define ENABLE_INITIAL_REG_
+      48             :   `endif // not def ENABLE_INITIAL_REG_
+      49             : `endif // not def SYNTHESIS
+      50             : 
+      51             : // Include rmemory initializers in init blocks unless synthesis is set
+      52             : `ifndef SYNTHESIS
+      53             :   `ifndef ENABLE_INITIAL_MEM_
+      54             :     `define ENABLE_INITIAL_MEM_
+      55             :   `endif // not def ENABLE_INITIAL_MEM_
+      56             : `endif // not def SYNTHESIS
+      57             : 
+      58             : // VCS coverage exclude_file
+      59             : module data_mem_8x4(
+      60          68 :   input  [2:0] R0_addr,
+      61          19 :   input        R0_en,
+      62      127786 :   input        R0_clk,
+      63         111 :   output [3:0] R0_data,
+      64          68 :   input  [2:0] R1_addr,
+      65          19 :   input        R1_en,
+      66      127786 :   input        R1_clk,
+      67         112 :   output [3:0] R1_data,
+      68          73 :   input  [2:0] W0_addr,
+      69          35 :   input        W0_en,
+      70      127786 :   input        W0_clk,
+      71          76 :   input  [3:0] W0_data,
+      72          65 :   input  [1:0] W0_mask
+      73             : );
+      74             : 
+      75         509 :   reg [3:0] Memory[0:7];
+      76      127694 :   always @(posedge W0_clk) begin
+      77          32 :     if (W0_en & W0_mask[0])
+      78          16 :       Memory[W0_addr][32'h0 +: 2] <= W0_data[1:0];
+      79          30 :     if (W0_en & W0_mask[1])
+      80          15 :       Memory[W0_addr][32'h2 +: 2] <= W0_data[3:2];
+      81             :   end // always @(posedge)
+      82             :   `ifdef ENABLE_INITIAL_MEM_
+      83             :     reg [31:0] _RANDOM_MEM;
+      84          58 :     initial begin
+      85             :       `INIT_RANDOM_PROLOG_
+      86             :       `ifdef RANDOMIZE_MEM_INIT
+      87             :         for (logic [3:0] i = 4'h0; i < 4'h8; i += 4'h1) begin
+      88             :           _RANDOM_MEM = `RANDOM;
+      89             :           Memory[i[2:0]] = _RANDOM_MEM[3:0];
+      90             :         end
+      91             :       `endif // RANDOMIZE_MEM_INIT
+      92             :     end // initial
+      93             :   `endif // ENABLE_INITIAL_MEM_
+      94             :   assign R0_data = R0_en ? Memory[R0_addr] : 4'bx;
+      95             :   assign R1_data = R1_en ? Memory[R1_addr] : 4'bx;
+      96             : endmodule
+      97             : 
+
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index-sort-f.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index-sort-f.html new file mode 100644 index 0000000..28405d7 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index-sort-f.html @@ -0,0 +1,863 @@ + + + + + + + LCOV - merged.info - BPUTop + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTopHitTotalCoverage
Test:merged.infoLines:100471562664.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Filename Sort by nameLine Coverage Sort by line coverageFunctions Sort by function coverage
SRAMTemplate_13.sv +
100.0%
+
100.0 %183 / 183-0 / 0
DelayN_2.sv +
100.0%
+
100.0 %15 / 15-0 / 0
PriorityMuxModule_4.sv +
100.0%
+
100.0 %112 / 112-0 / 0
SRAMTemplate_14.sv +
100.0%
+
100.0 %95 / 95-0 / 0
PriorityMuxModule_8.sv +
100.0%
+
100.0 %16 / 16-0 / 0
SRAMTemplate_34.sv +
85.6%85.6%
+
85.6 %77 / 90-0 / 0
SCTable_2.sv +
100.0%
+
100.0 %37 / 37-0 / 0
FauFTBWay.sv +
100.0%
+
100.0 %72 / 72-0 / 0
ITTageTable_2.sv +
100.0%
+
100.0 %44 / 44-0 / 0
PriorityMuxModule_16.sv +
100.0%
+
100.0 %10 / 10-0 / 0
ITTage.sv +
85.6%85.6%
+
85.6 %495 / 578-0 / 0
WrBypass.sv +
92.7%92.7%
+
92.7 %114 / 123-0 / 0
CAMTemplate.sv +
100.0%
+
100.0 %39 / 39-0 / 0
ITTageTable.sv +
100.0%
+
100.0 %44 / 44-0 / 0
array_0_0.sv +
100.0%
+
100.0 %6 / 6-0 / 0
data_mem_8x4.sv +
100.0%
+
100.0 %20 / 20-0 / 0
ITTageTable_3.sv +
100.0%
+
100.0 %44 / 44-0 / 0
CAMTemplate_41.sv +
100.0%
+
100.0 %23 / 23-0 / 0
DelayN_1.sv +
100.0%
+
100.0 %23 / 23-0 / 0
data_16x16.sv +
100.0%
+
100.0 %19 / 19-0 / 0
ITTageTable_1.sv +
100.0%
+
100.0 %43 / 43-0 / 0
array_4.sv +
100.0%
+
100.0 %7 / 7-0 / 0
ITTageTable_4.sv +
100.0%
+
100.0 %44 / 44-0 / 0
CAMTemplate_33.sv +
100.0%
+
100.0 %71 / 71-0 / 0
RASStack.sv +
65.6%65.6%
+
65.6 %790 / 1205-0 / 0
CAMTemplate_43.sv +
91.3%91.3%
+
91.3 %21 / 23-0 / 0
array_7.sv +
100.0%
+
100.0 %9 / 9-0 / 0
PriorityMuxModule.sv +
100.0%
+
100.0 %10 / 10-0 / 0
array_3_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
data_mem_0_4x2.sv +
100.0%
+
100.0 %13 / 13-0 / 0
PriorityMuxModule_20.sv +
100.0%
+
100.0 %8 / 8-0 / 0
array_3.sv +
100.0%
+
100.0 %5 / 5-0 / 0
FoldedSRAMTemplate_20.sv +
100.0%
+
100.0 %38 / 38-0 / 0
FoldedSRAMTemplate_25.sv +
100.0%
+
100.0 %30 / 30-0 / 0
array_7_ext.v +
100.0%
+
100.0 %21 / 21-0 / 0
data_32x16.sv +
100.0%
+
100.0 %18 / 18-0 / 0
array_4_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
SRAMTemplate_43.sv +
100.0%
+
100.0 %47 / 47-0 / 0
TageBTable.sv +
100.0%
+
100.0 %35 / 35-0 / 0
DelayN_4.sv +
100.0%
+
100.0 %9 / 9-0 / 0
TageTable.sv +
100.0%
+
100.0 %102 / 102-0 / 0
SCTable_3.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SRAMTemplate_39.sv +
100.0%
+
100.0 %37 / 37-0 / 0
WrBypass_32.sv +
89.9%89.9%
+
89.9 %152 / 169-0 / 0
CAMTemplate_32.sv +
84.6%84.6%
+
84.6 %33 / 39-0 / 0
SCTable_1.sv +
100.0%
+
100.0 %37 / 37-0 / 0
RAS.sv +
100.0%
+
100.0 %401 / 401-0 / 0
DelayNWithValid.sv +
100.0%
+
100.0 %20 / 20-0 / 0
WrBypass_33.sv +
100.0%
+
100.0 %313 / 313-0 / 0
array_0_0_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
Folded1WDataModuleTemplate.sv +
100.0%
+
100.0 %27 / 27-0 / 0
SCTable.sv +
100.0%
+
100.0 %34 / 34-0 / 0
FTBBank.sv +
43.8%43.8%
+
43.8 %1935 / 4420-0 / 0
Composer.sv +
100.0%
+
100.0 %320 / 320-0 / 0
array_5_ext.v +
100.0%
+
100.0 %18 / 18-0 / 0
FauFTB.sv +
61.2%61.2%
+
61.2 %648 / 1058-0 / 0
data_mem_16x12.sv +
100.0%
+
100.0 %20 / 20-0 / 0
array_6.sv +
100.0%
+
100.0 %9 / 9-0 / 0
PriorityMuxModule_12.sv +
100.0%
+
100.0 %148 / 148-0 / 0
SRAMTemplate_35.sv +
87.0%87.0%
+
87.0 %60 / 69-0 / 0
SRAMTemplate_15.sv +
100.0%
+
100.0 %44 / 44-0 / 0
FoldedSRAMTemplate_1.sv +
100.0%
+
100.0 %18 / 18-0 / 0
WrBypass_41.sv +
100.0%
+
100.0 %43 / 43-0 / 0
WrBypass_43.sv +
100.0%
+
100.0 %43 / 43-0 / 0
TageTable_3.sv +
100.0%
+
100.0 %107 / 107-0 / 0
TageTable_1.sv +
100.0%
+
100.0 %107 / 107-0 / 0
data_mem_0_8x3.sv +
100.0%
+
100.0 %13 / 13-0 / 0
array_8_ext.v +
100.0%
+
100.0 %18 / 18-0 / 0
TageTable_2.sv +
100.0%
+
100.0 %107 / 107-0 / 0
FTB.sv +
100.0%
+
100.0 %703 / 703-0 / 0
Folded1WDataModuleTemplate_2.sv +
100.0%
+
100.0 %27 / 27-0 / 0
array_8.sv +
100.0%
+
100.0 %7 / 7-0 / 0
FoldedSRAMTemplate_21.sv +
100.0%
+
100.0 %13 / 13-0 / 0
Tage_SC.sv +
41.2%41.2%
+
41.2 %1491 / 3621-0 / 0
array_5.sv +
100.0%
+
100.0 %7 / 7-0 / 0
FoldedSRAMTemplate.sv +
100.0%
+
100.0 %40 / 40-0 / 0
DelayNWithValid_1.sv +
100.0%
+
100.0 %128 / 128-0 / 0
array_6_ext.v +
100.0%
+
100.0 %25 / 25-0 / 0
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index-sort-l.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index-sort-l.html new file mode 100644 index 0000000..21b78e5 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index-sort-l.html @@ -0,0 +1,863 @@ + + + + + + + LCOV - merged.info - BPUTop + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTopHitTotalCoverage
Test:merged.infoLines:100471562664.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Filename Sort by nameLine Coverage Sort by line coverageFunctions Sort by function coverage
Tage_SC.sv +
41.2%41.2%
+
41.2 %1491 / 3621-0 / 0
FTBBank.sv +
43.8%43.8%
+
43.8 %1935 / 4420-0 / 0
FauFTB.sv +
61.2%61.2%
+
61.2 %648 / 1058-0 / 0
RASStack.sv +
65.6%65.6%
+
65.6 %790 / 1205-0 / 0
CAMTemplate_32.sv +
84.6%84.6%
+
84.6 %33 / 39-0 / 0
SRAMTemplate_34.sv +
85.6%85.6%
+
85.6 %77 / 90-0 / 0
ITTage.sv +
85.6%85.6%
+
85.6 %495 / 578-0 / 0
SRAMTemplate_35.sv +
87.0%87.0%
+
87.0 %60 / 69-0 / 0
WrBypass_32.sv +
89.9%89.9%
+
89.9 %152 / 169-0 / 0
CAMTemplate_43.sv +
91.3%91.3%
+
91.3 %21 / 23-0 / 0
WrBypass.sv +
92.7%92.7%
+
92.7 %114 / 123-0 / 0
array_3.sv +
100.0%
+
100.0 %5 / 5-0 / 0
array_0_0.sv +
100.0%
+
100.0 %6 / 6-0 / 0
array_4.sv +
100.0%
+
100.0 %7 / 7-0 / 0
array_8.sv +
100.0%
+
100.0 %7 / 7-0 / 0
array_5.sv +
100.0%
+
100.0 %7 / 7-0 / 0
PriorityMuxModule_20.sv +
100.0%
+
100.0 %8 / 8-0 / 0
array_7.sv +
100.0%
+
100.0 %9 / 9-0 / 0
DelayN_4.sv +
100.0%
+
100.0 %9 / 9-0 / 0
array_6.sv +
100.0%
+
100.0 %9 / 9-0 / 0
PriorityMuxModule_16.sv +
100.0%
+
100.0 %10 / 10-0 / 0
PriorityMuxModule.sv +
100.0%
+
100.0 %10 / 10-0 / 0
data_mem_0_4x2.sv +
100.0%
+
100.0 %13 / 13-0 / 0
data_mem_0_8x3.sv +
100.0%
+
100.0 %13 / 13-0 / 0
FoldedSRAMTemplate_21.sv +
100.0%
+
100.0 %13 / 13-0 / 0
DelayN_2.sv +
100.0%
+
100.0 %15 / 15-0 / 0
PriorityMuxModule_8.sv +
100.0%
+
100.0 %16 / 16-0 / 0
array_3_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
array_4_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
array_0_0_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
data_32x16.sv +
100.0%
+
100.0 %18 / 18-0 / 0
array_5_ext.v +
100.0%
+
100.0 %18 / 18-0 / 0
FoldedSRAMTemplate_1.sv +
100.0%
+
100.0 %18 / 18-0 / 0
array_8_ext.v +
100.0%
+
100.0 %18 / 18-0 / 0
data_16x16.sv +
100.0%
+
100.0 %19 / 19-0 / 0
data_mem_8x4.sv +
100.0%
+
100.0 %20 / 20-0 / 0
DelayNWithValid.sv +
100.0%
+
100.0 %20 / 20-0 / 0
data_mem_16x12.sv +
100.0%
+
100.0 %20 / 20-0 / 0
array_7_ext.v +
100.0%
+
100.0 %21 / 21-0 / 0
CAMTemplate_41.sv +
100.0%
+
100.0 %23 / 23-0 / 0
DelayN_1.sv +
100.0%
+
100.0 %23 / 23-0 / 0
array_6_ext.v +
100.0%
+
100.0 %25 / 25-0 / 0
Folded1WDataModuleTemplate.sv +
100.0%
+
100.0 %27 / 27-0 / 0
Folded1WDataModuleTemplate_2.sv +
100.0%
+
100.0 %27 / 27-0 / 0
FoldedSRAMTemplate_25.sv +
100.0%
+
100.0 %30 / 30-0 / 0
SCTable.sv +
100.0%
+
100.0 %34 / 34-0 / 0
TageBTable.sv +
100.0%
+
100.0 %35 / 35-0 / 0
SCTable_2.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SCTable_3.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SRAMTemplate_39.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SCTable_1.sv +
100.0%
+
100.0 %37 / 37-0 / 0
FoldedSRAMTemplate_20.sv +
100.0%
+
100.0 %38 / 38-0 / 0
CAMTemplate.sv +
100.0%
+
100.0 %39 / 39-0 / 0
FoldedSRAMTemplate.sv +
100.0%
+
100.0 %40 / 40-0 / 0
ITTageTable_1.sv +
100.0%
+
100.0 %43 / 43-0 / 0
WrBypass_41.sv +
100.0%
+
100.0 %43 / 43-0 / 0
WrBypass_43.sv +
100.0%
+
100.0 %43 / 43-0 / 0
ITTageTable_2.sv +
100.0%
+
100.0 %44 / 44-0 / 0
ITTageTable.sv +
100.0%
+
100.0 %44 / 44-0 / 0
ITTageTable_3.sv +
100.0%
+
100.0 %44 / 44-0 / 0
ITTageTable_4.sv +
100.0%
+
100.0 %44 / 44-0 / 0
SRAMTemplate_15.sv +
100.0%
+
100.0 %44 / 44-0 / 0
SRAMTemplate_43.sv +
100.0%
+
100.0 %47 / 47-0 / 0
CAMTemplate_33.sv +
100.0%
+
100.0 %71 / 71-0 / 0
FauFTBWay.sv +
100.0%
+
100.0 %72 / 72-0 / 0
SRAMTemplate_14.sv +
100.0%
+
100.0 %95 / 95-0 / 0
TageTable.sv +
100.0%
+
100.0 %102 / 102-0 / 0
TageTable_3.sv +
100.0%
+
100.0 %107 / 107-0 / 0
TageTable_1.sv +
100.0%
+
100.0 %107 / 107-0 / 0
TageTable_2.sv +
100.0%
+
100.0 %107 / 107-0 / 0
PriorityMuxModule_4.sv +
100.0%
+
100.0 %112 / 112-0 / 0
DelayNWithValid_1.sv +
100.0%
+
100.0 %128 / 128-0 / 0
PriorityMuxModule_12.sv +
100.0%
+
100.0 %148 / 148-0 / 0
SRAMTemplate_13.sv +
100.0%
+
100.0 %183 / 183-0 / 0
WrBypass_33.sv +
100.0%
+
100.0 %313 / 313-0 / 0
Composer.sv +
100.0%
+
100.0 %320 / 320-0 / 0
RAS.sv +
100.0%
+
100.0 %401 / 401-0 / 0
FTB.sv +
100.0%
+
100.0 %703 / 703-0 / 0
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index.html b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index.html new file mode 100644 index 0000000..b1262d6 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/BPUTop/index.html @@ -0,0 +1,863 @@ + + + + + + + LCOV - merged.info - BPUTop + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top level - BPUTopHitTotalCoverage
Test:merged.infoLines:100471562664.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Filename Sort by nameLine Coverage Sort by line coverageFunctions Sort by function coverage
CAMTemplate.sv +
100.0%
+
100.0 %39 / 39-0 / 0
CAMTemplate_32.sv +
84.6%84.6%
+
84.6 %33 / 39-0 / 0
CAMTemplate_33.sv +
100.0%
+
100.0 %71 / 71-0 / 0
CAMTemplate_41.sv +
100.0%
+
100.0 %23 / 23-0 / 0
CAMTemplate_43.sv +
91.3%91.3%
+
91.3 %21 / 23-0 / 0
Composer.sv +
100.0%
+
100.0 %320 / 320-0 / 0
DelayNWithValid.sv +
100.0%
+
100.0 %20 / 20-0 / 0
DelayNWithValid_1.sv +
100.0%
+
100.0 %128 / 128-0 / 0
DelayN_1.sv +
100.0%
+
100.0 %23 / 23-0 / 0
DelayN_2.sv +
100.0%
+
100.0 %15 / 15-0 / 0
DelayN_4.sv +
100.0%
+
100.0 %9 / 9-0 / 0
FTB.sv +
100.0%
+
100.0 %703 / 703-0 / 0
FTBBank.sv +
43.8%43.8%
+
43.8 %1935 / 4420-0 / 0
FauFTB.sv +
61.2%61.2%
+
61.2 %648 / 1058-0 / 0
FauFTBWay.sv +
100.0%
+
100.0 %72 / 72-0 / 0
Folded1WDataModuleTemplate.sv +
100.0%
+
100.0 %27 / 27-0 / 0
Folded1WDataModuleTemplate_2.sv +
100.0%
+
100.0 %27 / 27-0 / 0
FoldedSRAMTemplate.sv +
100.0%
+
100.0 %40 / 40-0 / 0
FoldedSRAMTemplate_1.sv +
100.0%
+
100.0 %18 / 18-0 / 0
FoldedSRAMTemplate_20.sv +
100.0%
+
100.0 %38 / 38-0 / 0
FoldedSRAMTemplate_21.sv +
100.0%
+
100.0 %13 / 13-0 / 0
FoldedSRAMTemplate_25.sv +
100.0%
+
100.0 %30 / 30-0 / 0
ITTage.sv +
85.6%85.6%
+
85.6 %495 / 578-0 / 0
ITTageTable.sv +
100.0%
+
100.0 %44 / 44-0 / 0
ITTageTable_1.sv +
100.0%
+
100.0 %43 / 43-0 / 0
ITTageTable_2.sv +
100.0%
+
100.0 %44 / 44-0 / 0
ITTageTable_3.sv +
100.0%
+
100.0 %44 / 44-0 / 0
ITTageTable_4.sv +
100.0%
+
100.0 %44 / 44-0 / 0
PriorityMuxModule.sv +
100.0%
+
100.0 %10 / 10-0 / 0
PriorityMuxModule_12.sv +
100.0%
+
100.0 %148 / 148-0 / 0
PriorityMuxModule_16.sv +
100.0%
+
100.0 %10 / 10-0 / 0
PriorityMuxModule_20.sv +
100.0%
+
100.0 %8 / 8-0 / 0
PriorityMuxModule_4.sv +
100.0%
+
100.0 %112 / 112-0 / 0
PriorityMuxModule_8.sv +
100.0%
+
100.0 %16 / 16-0 / 0
RAS.sv +
100.0%
+
100.0 %401 / 401-0 / 0
RASStack.sv +
65.6%65.6%
+
65.6 %790 / 1205-0 / 0
SCTable.sv +
100.0%
+
100.0 %34 / 34-0 / 0
SCTable_1.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SCTable_2.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SCTable_3.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SRAMTemplate_13.sv +
100.0%
+
100.0 %183 / 183-0 / 0
SRAMTemplate_14.sv +
100.0%
+
100.0 %95 / 95-0 / 0
SRAMTemplate_15.sv +
100.0%
+
100.0 %44 / 44-0 / 0
SRAMTemplate_34.sv +
85.6%85.6%
+
85.6 %77 / 90-0 / 0
SRAMTemplate_35.sv +
87.0%87.0%
+
87.0 %60 / 69-0 / 0
SRAMTemplate_39.sv +
100.0%
+
100.0 %37 / 37-0 / 0
SRAMTemplate_43.sv +
100.0%
+
100.0 %47 / 47-0 / 0
TageBTable.sv +
100.0%
+
100.0 %35 / 35-0 / 0
TageTable.sv +
100.0%
+
100.0 %102 / 102-0 / 0
TageTable_1.sv +
100.0%
+
100.0 %107 / 107-0 / 0
TageTable_2.sv +
100.0%
+
100.0 %107 / 107-0 / 0
TageTable_3.sv +
100.0%
+
100.0 %107 / 107-0 / 0
Tage_SC.sv +
41.2%41.2%
+
41.2 %1491 / 3621-0 / 0
WrBypass.sv +
92.7%92.7%
+
92.7 %114 / 123-0 / 0
WrBypass_32.sv +
89.9%89.9%
+
89.9 %152 / 169-0 / 0
WrBypass_33.sv +
100.0%
+
100.0 %313 / 313-0 / 0
WrBypass_41.sv +
100.0%
+
100.0 %43 / 43-0 / 0
WrBypass_43.sv +
100.0%
+
100.0 %43 / 43-0 / 0
array_0_0.sv +
100.0%
+
100.0 %6 / 6-0 / 0
array_0_0_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
array_3.sv +
100.0%
+
100.0 %5 / 5-0 / 0
array_3_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
array_4.sv +
100.0%
+
100.0 %7 / 7-0 / 0
array_4_ext.v +
100.0%
+
100.0 %16 / 16-0 / 0
array_5.sv +
100.0%
+
100.0 %7 / 7-0 / 0
array_5_ext.v +
100.0%
+
100.0 %18 / 18-0 / 0
array_6.sv +
100.0%
+
100.0 %9 / 9-0 / 0
array_6_ext.v +
100.0%
+
100.0 %25 / 25-0 / 0
array_7.sv +
100.0%
+
100.0 %9 / 9-0 / 0
array_7_ext.v +
100.0%
+
100.0 %21 / 21-0 / 0
array_8.sv +
100.0%
+
100.0 %7 / 7-0 / 0
array_8_ext.v +
100.0%
+
100.0 %18 / 18-0 / 0
data_16x16.sv +
100.0%
+
100.0 %19 / 19-0 / 0
data_32x16.sv +
100.0%
+
100.0 %18 / 18-0 / 0
data_mem_0_4x2.sv +
100.0%
+
100.0 %13 / 13-0 / 0
data_mem_0_8x3.sv +
100.0%
+
100.0 %13 / 13-0 / 0
data_mem_16x12.sv +
100.0%
+
100.0 %20 / 20-0 / 0
data_mem_8x4.sv +
100.0%
+
100.0 %20 / 20-0 / 0
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/amber.png b/tests/bpu_top/reports/report-20241027201927/line_dat/amber.png new file mode 100644 index 0000000..2cab170 Binary files /dev/null and b/tests/bpu_top/reports/report-20241027201927/line_dat/amber.png differ diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/emerald.png b/tests/bpu_top/reports/report-20241027201927/line_dat/emerald.png new file mode 100644 index 0000000..38ad4f4 Binary files /dev/null and b/tests/bpu_top/reports/report-20241027201927/line_dat/emerald.png differ diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/gcov.css b/tests/bpu_top/reports/report-20241027201927/line_dat/gcov.css new file mode 100644 index 0000000..bfd0a83 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/gcov.css @@ -0,0 +1,519 @@ +/* All views: initial background and text color */ +body +{ + color: #000000; + background-color: #FFFFFF; +} + +/* All views: standard link format*/ +a:link +{ + color: #284FA8; + text-decoration: underline; +} + +/* All views: standard link - visited format */ +a:visited +{ + color: #00CB40; + text-decoration: underline; +} + +/* All views: standard link - activated format */ +a:active +{ + color: #FF0040; + text-decoration: underline; +} + +/* All views: main title format */ +td.title +{ + text-align: center; + padding-bottom: 10px; + font-family: sans-serif; + font-size: 20pt; + font-style: italic; + font-weight: bold; +} + +/* All views: header item format */ +td.headerItem +{ + text-align: right; + padding-right: 6px; + font-family: sans-serif; + font-weight: bold; + vertical-align: top; + white-space: nowrap; +} + +/* All views: header item value format */ +td.headerValue +{ + text-align: left; + color: #284FA8; + font-family: sans-serif; + font-weight: bold; + white-space: nowrap; +} + +/* All views: header item coverage table heading */ +td.headerCovTableHead +{ + text-align: center; + padding-right: 6px; + padding-left: 6px; + padding-bottom: 0px; + font-family: sans-serif; + font-size: 80%; + white-space: nowrap; +} + +/* All views: header item coverage table entry */ +td.headerCovTableEntry +{ + text-align: right; + color: #284FA8; + font-family: sans-serif; + font-weight: bold; + white-space: nowrap; + padding-left: 12px; + padding-right: 4px; + background-color: #DAE7FE; +} + +/* All views: header item coverage table entry for high coverage rate */ +td.headerCovTableEntryHi +{ + text-align: right; + color: #000000; + font-family: sans-serif; + font-weight: bold; + white-space: nowrap; + padding-left: 12px; + padding-right: 4px; + background-color: #A7FC9D; +} + +/* All views: header item coverage table entry for medium coverage rate */ +td.headerCovTableEntryMed +{ + text-align: right; + color: #000000; + font-family: sans-serif; + font-weight: bold; + white-space: nowrap; + padding-left: 12px; + padding-right: 4px; + background-color: #FFEA20; +} + +/* All views: header item coverage table entry for ow coverage rate */ +td.headerCovTableEntryLo +{ + text-align: right; + color: #000000; + font-family: sans-serif; + font-weight: bold; + white-space: nowrap; + padding-left: 12px; + padding-right: 4px; + background-color: #FF0000; +} + +/* All views: header legend value for legend entry */ +td.headerValueLeg +{ + text-align: left; + color: #000000; + font-family: sans-serif; + font-size: 80%; + white-space: nowrap; + padding-top: 4px; +} + +/* All views: color of horizontal ruler */ +td.ruler +{ + background-color: #6688D4; +} + +/* All views: version string format */ +td.versionInfo +{ + text-align: center; + padding-top: 2px; + font-family: sans-serif; + font-style: italic; +} + +/* Directory view/File view (all)/Test case descriptions: + table headline format */ +td.tableHead +{ + text-align: center; + color: #FFFFFF; + background-color: #6688D4; + font-family: sans-serif; + font-size: 120%; + font-weight: bold; + white-space: nowrap; + padding-left: 4px; + padding-right: 4px; +} + +span.tableHeadSort +{ + padding-right: 4px; +} + +/* Directory view/File view (all): filename entry format */ +td.coverFile +{ + text-align: left; + padding-left: 10px; + padding-right: 20px; + color: #284FA8; + background-color: #DAE7FE; + font-family: monospace; +} + +/* Directory view/File view (all): bar-graph entry format*/ +td.coverBar +{ + padding-left: 10px; + padding-right: 10px; + background-color: #DAE7FE; +} + +/* Directory view/File view (all): bar-graph outline color */ +td.coverBarOutline +{ + background-color: #000000; +} + +/* Directory view/File view (all): percentage entry for files with + high coverage rate */ +td.coverPerHi +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #A7FC9D; + font-weight: bold; + font-family: sans-serif; +} + +/* Directory view/File view (all): line count entry for files with + high coverage rate */ +td.coverNumHi +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #A7FC9D; + white-space: nowrap; + font-family: sans-serif; +} + +/* Directory view/File view (all): percentage entry for files with + medium coverage rate */ +td.coverPerMed +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #FFEA20; + font-weight: bold; + font-family: sans-serif; +} + +/* Directory view/File view (all): line count entry for files with + medium coverage rate */ +td.coverNumMed +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #FFEA20; + white-space: nowrap; + font-family: sans-serif; +} + +/* Directory view/File view (all): percentage entry for files with + low coverage rate */ +td.coverPerLo +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #FF0000; + font-weight: bold; + font-family: sans-serif; +} + +/* Directory view/File view (all): line count entry for files with + low coverage rate */ +td.coverNumLo +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #FF0000; + white-space: nowrap; + font-family: sans-serif; +} + +/* File view (all): "show/hide details" link format */ +a.detail:link +{ + color: #B8D0FF; + font-size:80%; +} + +/* File view (all): "show/hide details" link - visited format */ +a.detail:visited +{ + color: #B8D0FF; + font-size:80%; +} + +/* File view (all): "show/hide details" link - activated format */ +a.detail:active +{ + color: #FFFFFF; + font-size:80%; +} + +/* File view (detail): test name entry */ +td.testName +{ + text-align: right; + padding-right: 10px; + background-color: #DAE7FE; + font-family: sans-serif; +} + +/* File view (detail): test percentage entry */ +td.testPer +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #DAE7FE; + font-family: sans-serif; +} + +/* File view (detail): test lines count entry */ +td.testNum +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #DAE7FE; + font-family: sans-serif; +} + +/* Test case descriptions: test name format*/ +dt +{ + font-family: sans-serif; + font-weight: bold; +} + +/* Test case descriptions: description table body */ +td.testDescription +{ + padding-top: 10px; + padding-left: 30px; + padding-bottom: 10px; + padding-right: 30px; + background-color: #DAE7FE; +} + +/* Source code view: function entry */ +td.coverFn +{ + text-align: left; + padding-left: 10px; + padding-right: 20px; + color: #284FA8; + background-color: #DAE7FE; + font-family: monospace; +} + +/* Source code view: function entry zero count*/ +td.coverFnLo +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #FF0000; + font-weight: bold; + font-family: sans-serif; +} + +/* Source code view: function entry nonzero count*/ +td.coverFnHi +{ + text-align: right; + padding-left: 10px; + padding-right: 10px; + background-color: #DAE7FE; + font-weight: bold; + font-family: sans-serif; +} + +/* Source code view: source code format */ +pre.source +{ + font-family: monospace; + white-space: pre; + margin-top: 2px; +} + +/* Source code view: line number format */ +span.lineNum +{ + background-color: #EFE383; +} + +/* Source code view: format for lines which were executed */ +td.lineCov, +span.lineCov +{ + background-color: #CAD7FE; +} + +/* Source code view: format for Cov legend */ +span.coverLegendCov +{ + padding-left: 10px; + padding-right: 10px; + padding-bottom: 2px; + background-color: #CAD7FE; +} + +/* Source code view: format for lines which were not executed */ +td.lineNoCov, +span.lineNoCov +{ + background-color: #FF6230; +} + +/* Source code view: format for NoCov legend */ +span.coverLegendNoCov +{ + padding-left: 10px; + padding-right: 10px; + padding-bottom: 2px; + background-color: #FF6230; +} + +/* Source code view (function table): standard link - visited format */ +td.lineNoCov > a:visited, +td.lineCov > a:visited +{ + color: black; + text-decoration: underline; +} + +/* Source code view: format for lines which were executed only in a + previous version */ +span.lineDiffCov +{ + background-color: #B5F7AF; +} + +/* Source code view: format for branches which were executed + * and taken */ +span.branchCov +{ + background-color: #CAD7FE; +} + +/* Source code view: format for branches which were executed + * but not taken */ +span.branchNoCov +{ + background-color: #FF6230; +} + +/* Source code view: format for branches which were not executed */ +span.branchNoExec +{ + background-color: #FF6230; +} + +/* Source code view: format for the source code heading line */ +pre.sourceHeading +{ + white-space: pre; + font-family: monospace; + font-weight: bold; + margin: 0px; +} + +/* All views: header legend value for low rate */ +td.headerValueLegL +{ + font-family: sans-serif; + text-align: center; + white-space: nowrap; + padding-left: 4px; + padding-right: 2px; + background-color: #FF0000; + font-size: 80%; +} + +/* All views: header legend value for med rate */ +td.headerValueLegM +{ + font-family: sans-serif; + text-align: center; + white-space: nowrap; + padding-left: 2px; + padding-right: 2px; + background-color: #FFEA20; + font-size: 80%; +} + +/* All views: header legend value for hi rate */ +td.headerValueLegH +{ + font-family: sans-serif; + text-align: center; + white-space: nowrap; + padding-left: 2px; + padding-right: 4px; + background-color: #A7FC9D; + font-size: 80%; +} + +/* All views except source code view: legend format for low coverage */ +span.coverLegendCovLo +{ + padding-left: 10px; + padding-right: 10px; + padding-top: 2px; + background-color: #FF0000; +} + +/* All views except source code view: legend format for med coverage */ +span.coverLegendCovMed +{ + padding-left: 10px; + padding-right: 10px; + padding-top: 2px; + background-color: #FFEA20; +} + +/* All views except source code view: legend format for hi coverage */ +span.coverLegendCovHi +{ + padding-left: 10px; + padding-right: 10px; + padding-top: 2px; + background-color: #A7FC9D; +} diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/glass.png b/tests/bpu_top/reports/report-20241027201927/line_dat/glass.png new file mode 100644 index 0000000..e1abc00 Binary files /dev/null and b/tests/bpu_top/reports/report-20241027201927/line_dat/glass.png differ diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/index-sort-f.html b/tests/bpu_top/reports/report-20241027201927/line_dat/index-sort-f.html new file mode 100644 index 0000000..cc2b125 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/index-sort-f.html @@ -0,0 +1,103 @@ + + + + + + + LCOV - merged.info + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top levelHitTotalCoverage
Test:merged.infoLines:156432194871.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Directory Sort by nameLine Coverage Sort by line coverageFunctions Sort by function coverage
BPUTop +
64.3%64.3%
+
64.3 %10047 / 15626-0 / 0
/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/out/picker_out_BPUTop +
88.5%88.5%
+
88.5 %5596 / 6322-0 / 0
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/index-sort-l.html b/tests/bpu_top/reports/report-20241027201927/line_dat/index-sort-l.html new file mode 100644 index 0000000..8468510 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/index-sort-l.html @@ -0,0 +1,103 @@ + + + + + + + LCOV - merged.info + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top levelHitTotalCoverage
Test:merged.infoLines:156432194871.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Directory Sort by nameLine Coverage Sort by line coverageFunctions Sort by function coverage
BPUTop +
64.3%64.3%
+
64.3 %10047 / 15626-0 / 0
/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/out/picker_out_BPUTop +
88.5%88.5%
+
88.5 %5596 / 6322-0 / 0
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/index.html b/tests/bpu_top/reports/report-20241027201927/line_dat/index.html new file mode 100644 index 0000000..b8c0dd5 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/index.html @@ -0,0 +1,103 @@ + + + + + + + LCOV - merged.info + + + + + + + + + + + + + + +
LCOV - code coverage report
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Current view:top levelHitTotalCoverage
Test:merged.infoLines:156432194871.3 %
Date:2024-10-27 20:24:07Functions:00-
+
+ +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Directory Sort by nameLine Coverage Sort by line coverageFunctions Sort by function coverage
/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/out/picker_out_BPUTop +
88.5%88.5%
+
88.5 %5596 / 6322-0 / 0
BPUTop +
64.3%64.3%
+
64.3 %10047 / 15626-0 / 0
+
+
+ + + + +
Generated by: LCOV version 1.14
+
+ + + diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/merged.info b/tests/bpu_top/reports/report-20241027201927/line_dat/merged.info new file mode 100644 index 0000000..6d4a2b2 --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/line_dat/merged.info @@ -0,0 +1,22109 @@ +TN:verilator_coverage +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/CAMTemplate.sv +DA:59,127786 +DA:60,261 +DA:61,539 +DA:62,466 +DA:63,490 +DA:64,455 +DA:65,493 +DA:66,472 +DA:67,439 +DA:68,473 +DA:69,612 +DA:70,261 +DA:71,2358 +DA:74,4484 +DA:75,4158 +DA:76,4152 +DA:77,4135 +DA:78,4321 +DA:79,4222 +DA:80,4201 +DA:81,4284 +DA:82,4086208 +DA:83,152 +DA:84,76 +DA:85,22 +DA:86,11 +DA:87,14 +DA:88,7 +DA:89,10 +DA:90,5 +DA:91,30 +DA:92,15 +DA:93,18 +DA:94,9 +DA:95,10 +DA:96,5 +DA:97,20 +DA:98,10 +DA:105,1856 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/CAMTemplate_32.sv +DA:59,127786 +DA:60,189 +DA:61,25 +DA:62,13 +DA:63,19 +DA:64,25 +DA:65,16 +DA:66,17 +DA:67,15 +DA:68,14 +DA:69,40 +DA:70,189 +DA:71,94 +DA:74,227 +DA:75,157 +DA:76,184 +DA:77,179 +DA:78,153 +DA:79,162 +DA:80,151 +DA:81,158 +DA:82,127694 +DA:83,28 +DA:84,14 +DA:85,2 +DA:86,1 +DA:87,8 +DA:88,4 +DA:89,6 +DA:90,3 +DA:91,0 +DA:92,0 +DA:93,4 +DA:94,2 +DA:95,0 +DA:96,0 +DA:97,0 +DA:98,0 +DA:105,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/CAMTemplate_33.sv +DA:59,127786 +DA:60,131 +DA:61,188 +DA:62,124 +DA:63,118 +DA:64,127 +DA:65,122 +DA:66,139 +DA:67,113 +DA:68,129 +DA:69,115 +DA:70,136 +DA:71,121 +DA:72,128 +DA:73,123 +DA:74,121 +DA:75,108 +DA:76,121 +DA:77,251 +DA:78,131 +DA:79,926 +DA:82,1172 +DA:83,949 +DA:84,951 +DA:85,983 +DA:86,917 +DA:87,965 +DA:88,949 +DA:89,939 +DA:90,942 +DA:91,922 +DA:92,931 +DA:93,962 +DA:94,946 +DA:95,931 +DA:96,960 +DA:97,978 +DA:98,1021552 +DA:99,138 +DA:100,69 +DA:101,10 +DA:102,5 +DA:103,8 +DA:104,4 +DA:105,12 +DA:106,6 +DA:107,10 +DA:108,5 +DA:109,10 +DA:110,5 +DA:111,6 +DA:112,3 +DA:113,6 +DA:114,3 +DA:115,4 +DA:116,2 +DA:117,8 +DA:118,4 +DA:119,4 +DA:120,2 +DA:121,10 +DA:122,5 +DA:123,8 +DA:124,4 +DA:125,6 +DA:126,3 +DA:127,6 +DA:128,3 +DA:129,8 +DA:130,4 +DA:137,464 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/CAMTemplate_41.sv +DA:59,127786 +DA:60,105 +DA:61,43 +DA:62,32 +DA:63,30 +DA:64,30 +DA:65,49 +DA:66,105 +DA:67,118 +DA:70,286 +DA:71,233 +DA:72,252 +DA:73,242 +DA:74,255388 +DA:75,28 +DA:76,14 +DA:77,4 +DA:78,2 +DA:79,8 +DA:80,4 +DA:81,6 +DA:82,3 +DA:89,116 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/CAMTemplate_43.sv +DA:59,127786 +DA:60,125 +DA:61,73 +DA:62,41 +DA:63,50 +DA:64,51 +DA:65,82 +DA:66,125 +DA:67,180 +DA:70,509 +DA:71,392 +DA:72,440 +DA:73,407 +DA:74,383082 +DA:75,54 +DA:76,27 +DA:77,0 +DA:78,0 +DA:79,18 +DA:80,9 +DA:81,8 +DA:82,4 +DA:89,174 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/Composer.sv +DA:59,127786 +DA:60,62 +DA:61,1105 +DA:62,10337 +DA:63,10224 +DA:64,10251 +DA:65,35066 +DA:66,1182 +DA:67,1102 +DA:68,828 +DA:69,295 +DA:70,844 +DA:71,930 +DA:72,760 +DA:73,711 +DA:74,300 +DA:75,263 +DA:76,1122 +DA:77,564 +DA:78,989 +DA:79,474 +DA:80,858 +DA:81,998 +DA:82,987 +DA:83,602 +DA:84,517 +DA:85,811 +DA:86,9878 +DA:87,9918 +DA:88,9857 +DA:89,9933 +DA:90,15 +DA:91,17 +DA:92,12 +DA:93,9 +DA:94,615 +DA:95,574 +DA:96,57 +DA:97,64 +DA:98,596 +DA:99,14 +DA:100,14 +DA:101,15 +DA:102,17 +DA:103,12 +DA:104,9 +DA:105,615 +DA:106,574 +DA:107,57 +DA:108,64 +DA:109,596 +DA:110,14 +DA:111,14 +DA:112,15 +DA:113,17 +DA:114,12 +DA:115,9 +DA:116,615 +DA:117,574 +DA:118,57 +DA:119,64 +DA:120,596 +DA:121,14 +DA:122,14 +DA:123,15 +DA:124,17 +DA:125,12 +DA:126,9 +DA:127,615 +DA:128,574 +DA:129,57 +DA:130,64 +DA:131,596 +DA:132,10 +DA:133,14 +DA:134,14 +DA:135,9399 +DA:136,9353 +DA:137,9356 +DA:138,9388 +DA:139,79 +DA:140,69 +DA:141,31 +DA:142,30 +DA:143,1189 +DA:144,1454 +DA:145,116 +DA:146,107 +DA:147,9456 +DA:148,31 +DA:149,18 +DA:150,59 +DA:151,71 +DA:152,24 +DA:153,24 +DA:154,1278 +DA:155,1345 +DA:156,108 +DA:157,119 +DA:158,9458 +DA:159,17 +DA:160,25 +DA:161,72 +DA:162,58 +DA:163,31 +DA:164,28 +DA:165,1211 +DA:166,1384 +DA:167,114 +DA:168,120 +DA:169,9409 +DA:170,23 +DA:171,29 +DA:172,79 +DA:173,76 +DA:174,27 +DA:175,30 +DA:176,1252 +DA:177,1433 +DA:178,119 +DA:179,105 +DA:180,9476 +DA:181,41 +DA:182,22 +DA:183,18 +DA:184,9337 +DA:185,9352 +DA:186,9331 +DA:187,9336 +DA:188,82 +DA:189,70 +DA:190,30 +DA:191,33 +DA:192,1241 +DA:193,1451 +DA:194,9423 +DA:195,39 +DA:196,26 +DA:197,24 +DA:198,80 +DA:199,75 +DA:200,30 +DA:201,39 +DA:202,1262 +DA:203,1497 +DA:204,9377 +DA:205,35 +DA:206,24 +DA:207,33 +DA:208,86 +DA:209,70 +DA:210,26 +DA:211,30 +DA:212,1286 +DA:213,1563 +DA:214,9424 +DA:215,35 +DA:216,21 +DA:217,29 +DA:218,75 +DA:219,76 +DA:220,41 +DA:221,42 +DA:222,1231 +DA:223,1446 +DA:224,136 +DA:225,131 +DA:226,9391 +DA:227,41 +DA:228,30 +DA:229,27 +DA:230,11660 +DA:231,149 +DA:232,80 +DA:233,41 +DA:234,183 +DA:235,40 +DA:236,214 +DA:237,31 +DA:238,160 +DA:239,1348 +DA:240,33 +DA:241,119 +DA:242,411 +DA:243,59 +DA:244,34 +DA:245,29 +DA:246,125 +DA:247,676 +DA:248,70 +DA:249,30 +DA:250,31 +DA:251,140 +DA:252,33 +DA:253,35 +DA:254,29 +DA:255,40 +DA:256,36 +DA:257,27 +DA:258,32 +DA:259,67 +DA:260,65 +DA:261,63 +DA:262,75 +DA:263,69 +DA:264,75 +DA:265,76 +DA:266,76 +DA:267,73 +DA:268,133 +DA:269,131 +DA:270,135 +DA:271,133 +DA:272,127 +DA:273,127 +DA:274,127 +DA:275,127 +DA:276,127 +DA:277,20 +DA:278,78 +DA:279,105 +DA:280,1143 +DA:281,310 +DA:282,322 +DA:283,196 +DA:284,243 +DA:285,250 +DA:286,108 +DA:287,240 +DA:288,282 +DA:289,197 +DA:290,244 +DA:291,217 +DA:292,285 +DA:293,202 +DA:294,219 +DA:295,233 +DA:296,231 +DA:297,339 +DA:298,33 +DA:299,122 +DA:300,337 +DA:301,65 +DA:302,33 +DA:303,35 +DA:304,137 +DA:305,552 +DA:306,63 +DA:307,33 +DA:308,28 +DA:309,152 +DA:310,34 +DA:311,39 +DA:312,36 +DA:313,37 +DA:314,41 +DA:315,32 +DA:316,33 +DA:317,22 +DA:318,155 +DA:319,24 +DA:320,40 +DA:321,40 +DA:322,45 +DA:323,30 +DA:324,42 +DA:325,46 +DA:326,6212 +DA:327,1185 +DA:328,84 +DA:329,28 +DA:330,1207 +DA:331,27 +DA:332,37 +DA:333,37 +DA:334,115 +DA:335,73 +DA:336,43 +DA:337,147 +DA:338,42 +DA:339,138 +DA:340,40 +DA:341,150 +DA:342,301 +DA:343,389 +DA:344,341 +DA:345,331 +DA:346,308 +DA:347,296 +DA:348,352 +DA:628,194 +DA:629,301 +DA:630,291 +DA:631,389 +DA:632,266 +DA:633,341 +DA:634,232 +DA:635,331 +DA:636,220 +DA:637,308 +DA:638,212 +DA:639,296 +DA:640,261 +DA:641,352 +DA:642,127694 +DA:643,63847 +DA:644,63847 +DA:645,63847 +DA:646,63847 +DA:647,63847 +DA:648,63847 +DA:649,63847 +DA:650,63847 +DA:651,63847 +DA:652,63847 +DA:653,63847 +DA:654,63847 +DA:655,63847 +DA:656,63847 +DA:663,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/DelayNWithValid.sv +DA:59,127786 +DA:60,62 +DA:61,1143 +DA:62,57 +DA:63,1057 +DA:66,58 +DA:67,968 +DA:68,1057 +DA:69,127730 +DA:70,272 +DA:71,136 +DA:73,63729 +DA:75,127694 +DA:76,44 +DA:77,22 +DA:78,50 +DA:79,25 +DA:86,58 +DA:98,17 +DA:99,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/DelayNWithValid_1.sv +DA:59,127786 +DA:60,62 +DA:61,33 +DA:62,122 +DA:63,337 +DA:64,65 +DA:65,33 +DA:66,35 +DA:67,137 +DA:68,552 +DA:69,63 +DA:70,33 +DA:71,28 +DA:72,152 +DA:73,34 +DA:74,39 +DA:75,36 +DA:76,37 +DA:77,41 +DA:78,32 +DA:79,33 +DA:80,57 +DA:81,27 +DA:82,90 +DA:83,291 +DA:84,53 +DA:85,26 +DA:86,25 +DA:87,97 +DA:88,508 +DA:89,42 +DA:90,24 +DA:91,21 +DA:92,101 +DA:93,31 +DA:94,25 +DA:95,21 +DA:96,25 +DA:97,24 +DA:98,21 +DA:99,26 +DA:102,59 +DA:103,30 +DA:104,91 +DA:105,294 +DA:106,48 +DA:107,21 +DA:108,27 +DA:109,100 +DA:110,470 +DA:111,43 +DA:112,20 +DA:113,26 +DA:114,87 +DA:115,24 +DA:116,25 +DA:117,13 +DA:118,24 +DA:119,23 +DA:120,22 +DA:121,24 +DA:122,27 +DA:123,90 +DA:124,291 +DA:125,53 +DA:126,26 +DA:127,25 +DA:128,97 +DA:129,508 +DA:130,42 +DA:131,24 +DA:132,21 +DA:133,101 +DA:134,31 +DA:135,25 +DA:136,21 +DA:137,25 +DA:138,24 +DA:139,21 +DA:140,26 +DA:141,127730 +DA:142,272 +DA:143,136 +DA:145,63729 +DA:147,127694 +DA:148,44 +DA:149,22 +DA:150,22 +DA:151,22 +DA:152,22 +DA:153,22 +DA:154,22 +DA:155,22 +DA:156,22 +DA:157,22 +DA:158,22 +DA:159,22 +DA:160,22 +DA:161,22 +DA:162,22 +DA:163,22 +DA:164,22 +DA:165,22 +DA:166,22 +DA:167,22 +DA:169,50 +DA:170,25 +DA:171,25 +DA:172,25 +DA:173,25 +DA:174,25 +DA:175,25 +DA:176,25 +DA:177,25 +DA:178,25 +DA:179,25 +DA:180,25 +DA:181,25 +DA:182,25 +DA:183,25 +DA:184,25 +DA:185,25 +DA:186,25 +DA:187,25 +DA:188,25 +DA:196,58 +DA:244,17 +DA:245,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/DelayN_1.sv +DA:59,638930 +DA:60,325 +DA:61,315 +DA:62,307 +DA:63,356 +DA:64,328 +DA:65,386 +DA:66,398 +DA:67,378 +DA:68,422 +DA:69,412 +DA:72,386 +DA:73,398 +DA:74,378 +DA:75,422 +DA:76,412 +DA:77,638470 +DA:78,319235 +DA:79,319235 +DA:80,319235 +DA:81,319235 +DA:82,319235 +DA:89,290 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/DelayN_2.sv +DA:59,127786 +DA:60,1105 +DA:61,18419 +DA:64,8059 +DA:65,10571 +DA:66,13265 +DA:67,15817 +DA:68,18419 +DA:69,638470 +DA:70,319235 +DA:71,319235 +DA:72,319235 +DA:73,319235 +DA:74,319235 +DA:81,290 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/DelayN_4.sv +DA:59,127786 +DA:60,50 +DA:61,72 +DA:64,60 +DA:65,72 +DA:66,127694 +DA:67,63847 +DA:68,63847 +DA:75,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FTB.sv +DA:59,127786 +DA:60,62 +DA:61,1105 +DA:62,10337 +DA:63,10224 +DA:64,10251 +DA:65,35066 +DA:66,75 +DA:67,68 +DA:68,61 +DA:69,64 +DA:70,64 +DA:71,55 +DA:72,75 +DA:73,67 +DA:74,75 +DA:75,64 +DA:76,82 +DA:77,62 +DA:78,84 +DA:79,66 +DA:80,70 +DA:81,69 +DA:82,79 +DA:83,69 +DA:84,31 +DA:85,30 +DA:86,1189 +DA:87,1202 +DA:88,1202 +DA:89,116 +DA:90,107 +DA:91,9456 +DA:92,31 +DA:93,18 +DA:94,59 +DA:95,71 +DA:96,24 +DA:97,24 +DA:98,1278 +DA:99,1114 +DA:100,1114 +DA:101,108 +DA:102,119 +DA:103,9458 +DA:104,17 +DA:105,25 +DA:106,72 +DA:107,58 +DA:108,31 +DA:109,28 +DA:110,1211 +DA:111,1198 +DA:112,1198 +DA:113,114 +DA:114,120 +DA:115,9409 +DA:116,23 +DA:117,21 +DA:118,26 +DA:119,25 +DA:120,23 +DA:121,29 +DA:122,79 +DA:123,76 +DA:124,27 +DA:125,30 +DA:126,1252 +DA:127,1179 +DA:128,1179 +DA:129,119 +DA:130,105 +DA:131,9476 +DA:132,33 +DA:133,22 +DA:134,18 +DA:135,82 +DA:136,70 +DA:137,30 +DA:138,33 +DA:139,1241 +DA:140,1247 +DA:141,1247 +DA:142,9423 +DA:143,39 +DA:144,26 +DA:145,24 +DA:146,80 +DA:147,75 +DA:148,30 +DA:149,39 +DA:150,1262 +DA:151,1289 +DA:152,1289 +DA:153,9377 +DA:154,35 +DA:155,24 +DA:156,33 +DA:157,86 +DA:158,70 +DA:159,26 +DA:160,30 +DA:161,1286 +DA:162,1331 +DA:163,1331 +DA:164,9424 +DA:165,35 +DA:166,23 +DA:167,23 +DA:168,24 +DA:169,21 +DA:170,29 +DA:171,75 +DA:172,76 +DA:173,41 +DA:174,42 +DA:175,1231 +DA:176,1247 +DA:177,1247 +DA:178,128 +DA:179,137 +DA:180,9391 +DA:181,41 +DA:182,30 +DA:183,27 +DA:184,3313 +DA:185,29 +DA:186,126 +DA:187,409 +DA:188,65 +DA:189,32 +DA:190,30 +DA:191,116 +DA:192,705 +DA:193,65 +DA:194,37 +DA:195,33 +DA:196,142 +DA:197,35 +DA:198,34 +DA:199,33 +DA:200,36 +DA:201,31 +DA:202,27 +DA:203,33 +DA:204,86 +DA:205,75 +DA:206,76 +DA:207,76 +DA:208,73 +DA:209,133 +DA:210,131 +DA:211,135 +DA:212,133 +DA:213,127 +DA:214,127 +DA:215,127 +DA:216,127 +DA:217,88 +DA:218,105 +DA:219,1143 +DA:220,33 +DA:221,122 +DA:222,337 +DA:223,65 +DA:224,33 +DA:225,35 +DA:226,137 +DA:227,552 +DA:228,63 +DA:229,33 +DA:230,28 +DA:231,152 +DA:232,34 +DA:233,39 +DA:234,36 +DA:235,37 +DA:236,41 +DA:237,32 +DA:238,33 +DA:239,46 +DA:240,4526 +DA:241,129 +DA:242,190 +DA:291,9933 +DA:292,9916 +DA:293,9909 +DA:294,9952 +DA:295,9396 +DA:296,9392 +DA:297,9346 +DA:298,9373 +DA:299,9364 +DA:300,9352 +DA:301,9331 +DA:302,9345 +DA:303,77 +DA:304,91 +DA:305,25 +DA:306,116 +DA:307,349 +DA:308,61 +DA:309,31 +DA:310,31 +DA:311,107 +DA:312,564 +DA:313,62 +DA:314,31 +DA:315,30 +DA:316,120 +DA:317,21 +DA:318,28 +DA:319,30 +DA:320,32 +DA:321,34 +DA:322,33 +DA:323,23 +DA:324,108 +DA:325,324 +DA:326,58 +DA:327,24 +DA:328,119 +DA:329,561 +DA:330,54 +DA:331,31 +DA:332,24 +DA:333,118 +DA:334,27 +DA:335,27 +DA:336,30 +DA:337,114 +DA:338,357 +DA:339,53 +DA:340,31 +DA:341,120 +DA:342,574 +DA:343,55 +DA:344,22 +DA:345,28 +DA:346,127 +DA:347,33 +DA:348,30 +DA:349,39 +DA:350,21 +DA:351,25 +DA:352,27 +DA:353,26 +DA:354,119 +DA:355,367 +DA:356,52 +DA:357,27 +DA:358,105 +DA:359,602 +DA:360,59 +DA:361,31 +DA:362,30 +DA:363,120 +DA:364,32 +DA:365,25 +DA:366,32 +DA:367,29 +DA:368,126 +DA:369,409 +DA:370,65 +DA:371,32 +DA:372,30 +DA:373,116 +DA:374,705 +DA:375,65 +DA:376,37 +DA:377,33 +DA:378,142 +DA:379,35 +DA:380,34 +DA:381,33 +DA:382,36 +DA:383,31 +DA:384,27 +DA:385,33 +DA:386,388 +DA:387,64 +DA:388,30 +DA:389,632 +DA:390,63 +DA:391,31 +DA:392,39 +DA:393,142 +DA:394,33 +DA:395,26 +DA:396,37 +DA:397,379 +DA:398,65 +DA:399,26 +DA:400,670 +DA:401,66 +DA:402,24 +DA:403,30 +DA:404,142 +DA:405,38 +DA:406,27 +DA:407,28 +DA:408,38 +DA:409,33 +DA:410,29 +DA:411,128 +DA:412,385 +DA:413,73 +DA:414,41 +DA:415,137 +DA:416,624 +DA:417,68 +DA:418,37 +DA:419,42 +DA:420,126 +DA:421,34 +DA:422,34 +DA:423,36 +DA:424,15 +DA:425,18 +DA:426,25 +DA:427,29 +DA:428,18 +DA:429,24 +DA:430,33 +DA:431,29 +DA:432,27 +DA:433,903 +DA:434,874 +DA:435,1216 +DA:436,935 +DA:437,921 +DA:438,1200 +DA:442,630 +DA:443,657 +DA:444,622 +DA:445,1202 +DA:456,28 +DA:457,913 +DA:458,913 +DA:459,1213 +DA:460,935 +DA:461,937 +DA:462,1221 +DA:466,638 +DA:467,617 +DA:468,648 +DA:469,1114 +DA:480,31 +DA:481,916 +DA:482,927 +DA:483,1229 +DA:484,906 +DA:485,940 +DA:486,1237 +DA:490,620 +DA:491,635 +DA:492,632 +DA:493,1198 +DA:504,36 +DA:505,885 +DA:506,946 +DA:507,1185 +DA:508,900 +DA:509,929 +DA:510,1232 +DA:514,619 +DA:515,609 +DA:516,589 +DA:517,1179 +DA:528,33 +DA:530,31 +DA:531,934 +DA:532,964 +DA:533,1245 +DA:534,912 +DA:535,975 +DA:536,1270 +DA:540,633 +DA:541,652 +DA:542,664 +DA:543,1247 +DA:554,39 +DA:556,935 +DA:557,918 +DA:558,1240 +DA:559,924 +DA:560,937 +DA:561,1268 +DA:565,622 +DA:566,660 +DA:567,670 +DA:568,1289 +DA:579,35 +DA:581,941 +DA:582,943 +DA:583,1281 +DA:584,945 +DA:585,954 +DA:586,1233 +DA:590,632 +DA:591,631 +DA:592,629 +DA:593,1331 +DA:604,35 +DA:606,948 +DA:607,971 +DA:608,1271 +DA:609,950 +DA:610,945 +DA:611,1268 +DA:615,678 +DA:616,644 +DA:617,684 +DA:618,1247 +DA:629,41 +DA:631,104 +DA:632,107 +DA:633,57 +DA:634,27 +DA:635,49 +DA:636,62 +DA:639,55 +DA:640,37 +DA:641,41 +DA:642,52 +DA:643,110 +DA:644,117 +DA:646,127694 +DA:647,102 +DA:648,51 +DA:649,51 +DA:650,51 +DA:651,51 +DA:653,63796 +DA:654,8350 +DA:655,4175 +DA:656,8350 +DA:657,4175 +DA:658,8350 +DA:659,4175 +DA:660,8350 +DA:661,4175 +DA:663,8252 +DA:664,4126 +DA:665,4126 +DA:666,4126 +DA:667,4126 +DA:668,4126 +DA:669,4126 +DA:670,4126 +DA:671,4126 +DA:672,4126 +DA:673,4126 +DA:674,4126 +DA:675,4126 +DA:676,4126 +DA:677,4126 +DA:678,4126 +DA:679,4126 +DA:680,4126 +DA:681,4126 +DA:682,4126 +DA:683,4126 +DA:684,4126 +DA:685,4126 +DA:686,4126 +DA:687,4126 +DA:688,4126 +DA:689,4126 +DA:690,4126 +DA:691,4126 +DA:692,4126 +DA:693,4126 +DA:694,4126 +DA:695,4126 +DA:697,8252 +DA:698,4126 +DA:699,4126 +DA:700,4126 +DA:701,4126 +DA:702,4126 +DA:703,4126 +DA:704,4126 +DA:705,4126 +DA:706,4126 +DA:707,4126 +DA:708,4126 +DA:709,4126 +DA:710,4126 +DA:711,4126 +DA:712,4126 +DA:713,4126 +DA:714,4126 +DA:715,4126 +DA:716,4126 +DA:717,4126 +DA:718,4126 +DA:719,4126 +DA:720,4126 +DA:721,4126 +DA:723,8252 +DA:724,4126 +DA:725,4126 +DA:726,4126 +DA:727,4126 +DA:728,4126 +DA:729,4126 +DA:730,4126 +DA:731,4126 +DA:732,4126 +DA:733,4126 +DA:734,4126 +DA:735,4126 +DA:736,4126 +DA:737,4126 +DA:738,4126 +DA:739,4126 +DA:740,4126 +DA:741,4126 +DA:742,4126 +DA:743,4126 +DA:744,4126 +DA:745,4126 +DA:746,4126 +DA:747,4126 +DA:748,4126 +DA:749,4126 +DA:750,4126 +DA:751,4126 +DA:752,4126 +DA:754,8252 +DA:755,4126 +DA:756,4126 +DA:757,4126 +DA:758,4126 +DA:759,4126 +DA:760,4126 +DA:761,4126 +DA:762,4126 +DA:763,4126 +DA:764,4126 +DA:765,4126 +DA:766,4126 +DA:767,4126 +DA:768,4126 +DA:769,4126 +DA:770,4126 +DA:771,4126 +DA:772,4126 +DA:773,4126 +DA:774,4126 +DA:775,4126 +DA:776,4126 +DA:777,4126 +DA:778,4126 +DA:780,8150 +DA:781,4075 +DA:782,4075 +DA:783,4075 +DA:784,4075 +DA:785,4075 +DA:786,4075 +DA:787,4075 +DA:788,4075 +DA:789,4075 +DA:790,4075 +DA:791,4075 +DA:792,4075 +DA:793,4075 +DA:794,4075 +DA:795,4075 +DA:796,4075 +DA:797,4075 +DA:798,4075 +DA:799,4075 +DA:800,4075 +DA:801,4075 +DA:802,4075 +DA:803,4075 +DA:804,4075 +DA:805,4075 +DA:806,4075 +DA:807,4075 +DA:808,4075 +DA:809,4075 +DA:810,4075 +DA:812,8150 +DA:813,4075 +DA:814,4075 +DA:815,4075 +DA:816,4075 +DA:817,4075 +DA:818,4075 +DA:819,4075 +DA:820,4075 +DA:821,4075 +DA:822,4075 +DA:823,4075 +DA:824,4075 +DA:825,4075 +DA:826,4075 +DA:827,4075 +DA:828,4075 +DA:829,4075 +DA:830,4075 +DA:831,4075 +DA:832,4075 +DA:833,4075 +DA:835,8150 +DA:836,4075 +DA:837,4075 +DA:838,4075 +DA:839,4075 +DA:840,4075 +DA:841,4075 +DA:842,4075 +DA:843,4075 +DA:844,4075 +DA:845,4075 +DA:846,4075 +DA:847,4075 +DA:848,4075 +DA:849,4075 +DA:850,4075 +DA:851,4075 +DA:852,4075 +DA:853,4075 +DA:854,4075 +DA:855,4075 +DA:856,4075 +DA:857,4075 +DA:858,4075 +DA:859,4075 +DA:861,8150 +DA:862,4075 +DA:863,4075 +DA:864,4075 +DA:865,4075 +DA:866,4075 +DA:867,4075 +DA:868,4075 +DA:869,4075 +DA:870,4075 +DA:871,4075 +DA:872,4075 +DA:873,4075 +DA:874,4075 +DA:875,4075 +DA:876,4075 +DA:877,4075 +DA:878,4075 +DA:879,4075 +DA:880,4075 +DA:881,4075 +DA:882,4075 +DA:883,4075 +DA:884,4075 +DA:886,63847 +DA:887,63847 +DA:888,63847 +DA:889,63847 +DA:890,63847 +DA:891,63847 +DA:892,63847 +DA:893,63847 +DA:894,63847 +DA:896,127730 +DA:897,272 +DA:898,136 +DA:899,136 +DA:900,136 +DA:901,136 +DA:902,136 +DA:903,136 +DA:904,136 +DA:905,136 +DA:907,63729 +DA:908,8252 +DA:909,4126 +DA:910,8252 +DA:911,4126 +DA:912,8252 +DA:913,4126 +DA:914,8252 +DA:915,4126 +DA:916,8150 +DA:917,4075 +DA:918,8150 +DA:919,4075 +DA:920,8150 +DA:921,4075 +DA:922,8150 +DA:923,4075 +DA:931,58 +DA:1166,17 +DA:1167,12 +DA:1168,12 +DA:1169,12 +DA:1170,12 +DA:1171,12 +DA:1172,12 +DA:1173,12 +DA:1174,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FTBBank.sv +DA:59,127786 +DA:60,62 +DA:61,133 +DA:62,90 +DA:63,75 +DA:64,10337 +DA:65,16 +DA:66,55 +DA:67,166 +DA:68,31 +DA:69,14 +DA:70,12 +DA:71,57 +DA:72,311 +DA:73,30 +DA:74,14 +DA:75,16 +DA:76,61 +DA:77,12 +DA:78,18 +DA:79,10 +DA:80,10 +DA:81,15 +DA:82,13 +DA:83,19 +DA:84,19 +DA:85,30 +DA:86,49 +DA:87,1143 +DA:88,24 +DA:89,29 +DA:90,48 +DA:91,1167 +DA:92,78 +DA:93,27 +DA:94,110 +DA:95,363 +DA:96,49 +DA:97,28 +DA:98,30 +DA:99,107 +DA:100,576 +DA:101,54 +DA:102,32 +DA:103,31 +DA:104,118 +DA:105,25 +DA:106,31 +DA:107,26 +DA:108,24 +DA:109,28 +DA:110,28 +DA:111,31 +DA:112,566 +DA:113,62 +DA:114,41 +DA:197,90 +DA:198,51 +DA:199,157 +DA:200,433 +DA:201,89 +DA:202,51 +DA:203,55 +DA:204,232 +DA:205,766 +DA:206,116 +DA:207,51 +DA:208,63 +DA:209,218 +DA:210,57 +DA:211,57 +DA:212,58 +DA:213,54 +DA:214,54 +DA:215,55 +DA:216,53 +DA:217,764 +DA:218,35 +DA:219,139 +DA:220,456 +DA:221,65 +DA:222,38 +DA:223,35 +DA:224,146 +DA:225,729 +DA:226,70 +DA:227,29 +DA:228,35 +DA:229,142 +DA:230,34 +DA:231,30 +DA:232,40 +DA:233,36 +DA:234,44 +DA:235,34 +DA:236,38 +DA:237,717 +DA:238,24 +DA:239,152 +DA:240,411 +DA:241,71 +DA:242,38 +DA:243,40 +DA:244,155 +DA:245,703 +DA:246,69 +DA:247,38 +DA:248,33 +DA:249,134 +DA:250,29 +DA:251,36 +DA:252,39 +DA:253,34 +DA:254,30 +DA:255,37 +DA:256,32 +DA:257,727 +DA:258,35 +DA:259,150 +DA:260,415 +DA:261,68 +DA:262,36 +DA:263,27 +DA:264,151 +DA:265,745 +DA:266,74 +DA:267,32 +DA:268,36 +DA:269,136 +DA:270,28 +DA:271,36 +DA:272,40 +DA:273,37 +DA:274,28 +DA:275,43 +DA:276,37 +DA:277,722 +DA:278,42 +DA:282,30 +DA:286,23 +DA:290,30 +DA:294,763 +DA:295,8237 +DA:296,431 +DA:297,15 +DA:300,11 +DA:303,17 +DA:306,10 +DA:309,19 +DA:310,30 +DA:312,61 +DA:313,66 +DA:314,15 +DA:317,61 +DA:318,18 +DA:321,63 +DA:322,17 +DA:325,75 +DA:326,67 +DA:327,68 +DA:328,70 +DA:329,76 +DA:330,72 +DA:331,82 +DA:332,67 +DA:333,75 +DA:334,75 +DA:335,70 +DA:336,75 +DA:337,78 +DA:338,62 +DA:339,64 +DA:340,57 +DA:341,77 +DA:342,69 +DA:343,58 +DA:344,63 +DA:345,76 +DA:346,78 +DA:347,69 +DA:348,66 +DA:349,69 +DA:350,67 +DA:351,79 +DA:352,61 +DA:353,74 +DA:354,66 +DA:355,68 +DA:356,71 +DA:357,74 +DA:358,73 +DA:359,73 +DA:360,70 +DA:361,71 +DA:362,70 +DA:363,69 +DA:364,66 +DA:365,68 +DA:366,66 +DA:367,68 +DA:368,70 +DA:369,68 +DA:370,78 +DA:371,69 +DA:372,75 +DA:373,63 +DA:374,71 +DA:375,67 +DA:376,65 +DA:377,75 +DA:378,75 +DA:379,70 +DA:380,60 +DA:381,63 +DA:382,69 +DA:383,69 +DA:384,81 +DA:385,63 +DA:386,60 +DA:387,77 +DA:388,71 +DA:389,86 +DA:390,67 +DA:391,72 +DA:392,68 +DA:393,68 +DA:394,71 +DA:395,60 +DA:396,72 +DA:397,70 +DA:398,71 +DA:399,72 +DA:400,78 +DA:401,66 +DA:402,66 +DA:403,64 +DA:404,73 +DA:405,83 +DA:406,76 +DA:407,75 +DA:408,71 +DA:409,66 +DA:410,74 +DA:411,80 +DA:412,74 +DA:413,77 +DA:414,66 +DA:415,59 +DA:416,64 +DA:417,58 +DA:418,65 +DA:419,71 +DA:420,73 +DA:421,70 +DA:422,73 +DA:423,70 +DA:424,70 +DA:425,68 +DA:426,66 +DA:427,70 +DA:428,64 +DA:429,74 +DA:430,79 +DA:431,74 +DA:432,77 +DA:433,59 +DA:434,62 +DA:435,67 +DA:436,57 +DA:437,71 +DA:438,56 +DA:439,74 +DA:440,66 +DA:441,74 +DA:442,81 +DA:443,72 +DA:444,71 +DA:445,70 +DA:446,59 +DA:447,66 +DA:448,71 +DA:449,65 +DA:450,68 +DA:451,74 +DA:452,67 +DA:453,73 +DA:454,74 +DA:455,61 +DA:456,63 +DA:457,73 +DA:458,62 +DA:459,70 +DA:460,81 +DA:461,64 +DA:462,71 +DA:463,62 +DA:464,64 +DA:465,73 +DA:466,68 +DA:467,76 +DA:468,69 +DA:469,67 +DA:470,69 +DA:471,69 +DA:472,70 +DA:473,65 +DA:474,69 +DA:475,73 +DA:476,60 +DA:477,62 +DA:478,56 +DA:479,78 +DA:480,78 +DA:481,63 +DA:482,65 +DA:483,72 +DA:484,68 +DA:485,62 +DA:486,69 +DA:487,69 +DA:488,68 +DA:489,64 +DA:490,72 +DA:491,75 +DA:492,76 +DA:493,65 +DA:494,60 +DA:495,68 +DA:496,70 +DA:497,73 +DA:498,70 +DA:499,73 +DA:500,70 +DA:501,65 +DA:502,75 +DA:503,67 +DA:504,72 +DA:505,67 +DA:506,66 +DA:507,64 +DA:508,73 +DA:509,80 +DA:510,73 +DA:511,74 +DA:512,64 +DA:513,63 +DA:514,78 +DA:515,71 +DA:516,62 +DA:517,68 +DA:518,71 +DA:519,64 +DA:520,74 +DA:521,79 +DA:522,71 +DA:523,64 +DA:524,68 +DA:525,65 +DA:526,68 +DA:527,72 +DA:528,68 +DA:529,57 +DA:530,73 +DA:531,60 +DA:532,65 +DA:533,72 +DA:534,69 +DA:535,78 +DA:536,75 +DA:537,67 +DA:538,69 +DA:539,69 +DA:540,70 +DA:541,63 +DA:542,74 +DA:543,71 +DA:544,68 +DA:545,62 +DA:546,70 +DA:547,68 +DA:548,66 +DA:549,67 +DA:550,66 +DA:551,63 +DA:552,65 +DA:553,67 +DA:554,66 +DA:555,59 +DA:556,63 +DA:557,60 +DA:558,71 +DA:559,72 +DA:560,75 +DA:561,65 +DA:562,71 +DA:563,68 +DA:564,78 +DA:565,66 +DA:566,74 +DA:567,65 +DA:568,67 +DA:569,74 +DA:570,68 +DA:571,75 +DA:572,64 +DA:573,76 +DA:574,69 +DA:575,74 +DA:576,78 +DA:577,70 +DA:578,64 +DA:579,68 +DA:580,69 +DA:581,65 +DA:582,74 +DA:583,65 +DA:584,72 +DA:585,72 +DA:586,70 +DA:587,77 +DA:588,71 +DA:589,77 +DA:590,76 +DA:591,72 +DA:592,69 +DA:593,63 +DA:594,71 +DA:595,71 +DA:596,78 +DA:597,69 +DA:598,63 +DA:599,65 +DA:600,77 +DA:601,73 +DA:602,75 +DA:603,74 +DA:604,64 +DA:605,67 +DA:606,66 +DA:607,71 +DA:608,62 +DA:609,67 +DA:610,62 +DA:611,67 +DA:612,78 +DA:613,72 +DA:614,75 +DA:615,63 +DA:616,72 +DA:617,78 +DA:618,65 +DA:619,66 +DA:620,68 +DA:621,67 +DA:622,75 +DA:623,75 +DA:624,73 +DA:625,66 +DA:626,72 +DA:627,81 +DA:628,70 +DA:629,74 +DA:630,71 +DA:631,70 +DA:632,74 +DA:633,66 +DA:634,71 +DA:635,63 +DA:636,56 +DA:637,71 +DA:638,63 +DA:639,66 +DA:640,71 +DA:641,72 +DA:642,84 +DA:643,69 +DA:644,65 +DA:645,70 +DA:646,78 +DA:647,72 +DA:648,63 +DA:649,62 +DA:650,81 +DA:651,62 +DA:652,70 +DA:653,74 +DA:654,72 +DA:655,73 +DA:656,66 +DA:657,67 +DA:658,74 +DA:659,69 +DA:660,65 +DA:661,68 +DA:662,74 +DA:663,62 +DA:664,74 +DA:665,68 +DA:666,68 +DA:667,60 +DA:668,76 +DA:669,61 +DA:670,70 +DA:671,66 +DA:672,74 +DA:673,68 +DA:674,68 +DA:675,73 +DA:676,62 +DA:677,65 +DA:678,74 +DA:679,69 +DA:680,67 +DA:681,61 +DA:682,60 +DA:683,72 +DA:684,75 +DA:685,65 +DA:686,61 +DA:687,74 +DA:688,54 +DA:689,61 +DA:690,59 +DA:691,62 +DA:692,69 +DA:693,73 +DA:694,68 +DA:695,74 +DA:696,72 +DA:697,82 +DA:698,73 +DA:699,70 +DA:700,70 +DA:701,59 +DA:702,58 +DA:703,67 +DA:704,72 +DA:705,72 +DA:706,68 +DA:707,62 +DA:708,61 +DA:709,71 +DA:710,70 +DA:711,81 +DA:712,82 +DA:713,80 +DA:714,76 +DA:715,67 +DA:716,72 +DA:717,65 +DA:718,67 +DA:719,68 +DA:720,66 +DA:721,69 +DA:722,65 +DA:723,82 +DA:724,55 +DA:725,70 +DA:726,70 +DA:727,72 +DA:728,72 +DA:729,71 +DA:730,75 +DA:731,76 +DA:732,60 +DA:733,62 +DA:734,81 +DA:735,72 +DA:736,73 +DA:737,66 +DA:738,74 +DA:739,70 +DA:740,81 +DA:741,77 +DA:742,81 +DA:743,58 +DA:744,68 +DA:745,74 +DA:746,69 +DA:747,75 +DA:748,64 +DA:749,70 +DA:750,66 +DA:751,73 +DA:752,73 +DA:753,76 +DA:754,67 +DA:755,60 +DA:756,77 +DA:757,68 +DA:758,73 +DA:759,59 +DA:760,72 +DA:761,66 +DA:762,75 +DA:763,77 +DA:764,69 +DA:765,68 +DA:766,73 +DA:767,72 +DA:768,67 +DA:769,63 +DA:770,65 +DA:771,73 +DA:772,73 +DA:773,75 +DA:774,72 +DA:775,62 +DA:776,65 +DA:777,75 +DA:778,64 +DA:779,68 +DA:780,73 +DA:781,73 +DA:782,69 +DA:783,82 +DA:784,71 +DA:785,69 +DA:786,71 +DA:787,66 +DA:788,72 +DA:789,61 +DA:790,71 +DA:791,68 +DA:792,68 +DA:793,81 +DA:794,65 +DA:795,69 +DA:796,75 +DA:797,73 +DA:798,68 +DA:799,73 +DA:800,75 +DA:801,64 +DA:802,66 +DA:803,72 +DA:804,62 +DA:805,62 +DA:806,84 +DA:807,84 +DA:808,77 +DA:809,72 +DA:810,71 +DA:811,66 +DA:812,72 +DA:813,78 +DA:814,70 +DA:815,66 +DA:816,60 +DA:817,71 +DA:818,68 +DA:819,68 +DA:820,67 +DA:821,67 +DA:822,65 +DA:823,61 +DA:824,67 +DA:825,62 +DA:826,69 +DA:827,72 +DA:828,72 +DA:829,63 +DA:830,75 +DA:831,71 +DA:832,68 +DA:833,65 +DA:834,66 +DA:835,75 +DA:836,61 +DA:837,8297 +DA:838,29 +DA:839,66 +DA:840,88 +DA:841,40 +DA:842,41 +DA:843,52 +DA:1360,127 +DA:1371,127694 +DA:1372,63847 +DA:1373,8320 +DA:1374,4160 +DA:1375,4160 +DA:1376,4160 +DA:1377,4160 +DA:1378,4160 +DA:1379,4160 +DA:1380,4160 +DA:1381,4160 +DA:1382,4160 +DA:1383,4160 +DA:1384,4160 +DA:1385,4160 +DA:1386,4160 +DA:1387,4160 +DA:1388,4160 +DA:1389,4160 +DA:1390,4160 +DA:1391,4160 +DA:1392,4160 +DA:1393,4160 +DA:1394,4160 +DA:1395,4160 +DA:1396,4160 +DA:1397,4160 +DA:1398,4160 +DA:1399,4160 +DA:1400,4160 +DA:1401,4160 +DA:1402,4160 +DA:1403,4160 +DA:1404,4160 +DA:1405,4160 +DA:1406,4160 +DA:1407,4160 +DA:1408,4160 +DA:1409,4160 +DA:1410,4160 +DA:1411,4160 +DA:1412,4160 +DA:1413,4160 +DA:1414,4160 +DA:1415,4160 +DA:1416,4160 +DA:1417,4160 +DA:1418,4160 +DA:1419,4160 +DA:1420,4160 +DA:1421,4160 +DA:1422,4160 +DA:1423,4160 +DA:1424,4160 +DA:1425,4160 +DA:1426,4160 +DA:1427,4160 +DA:1428,4160 +DA:1429,4160 +DA:1430,4160 +DA:1431,4160 +DA:1432,4160 +DA:1433,4160 +DA:1434,4160 +DA:1435,4160 +DA:1436,4160 +DA:1437,4160 +DA:1438,4160 +DA:1439,4160 +DA:1440,4160 +DA:1441,4160 +DA:1442,4160 +DA:1443,4160 +DA:1444,4160 +DA:1445,4160 +DA:1446,4160 +DA:1447,4160 +DA:1448,4160 +DA:1449,4160 +DA:1450,4160 +DA:1451,4160 +DA:1452,4160 +DA:1453,4160 +DA:1454,4160 +DA:1455,4160 +DA:1456,4160 +DA:1457,4160 +DA:1458,4160 +DA:1459,4160 +DA:1460,4160 +DA:1461,4160 +DA:1462,4160 +DA:1463,4160 +DA:1464,4160 +DA:1465,4160 +DA:1466,4160 +DA:1467,4160 +DA:1468,4160 +DA:1469,4160 +DA:1470,4160 +DA:1471,4160 +DA:1472,4160 +DA:1473,4160 +DA:1474,4160 +DA:1475,4160 +DA:1476,4160 +DA:1477,4160 +DA:1478,4160 +DA:1479,4160 +DA:1480,4160 +DA:1481,4160 +DA:1482,4160 +DA:1483,4160 +DA:1484,4160 +DA:1485,4160 +DA:1486,4160 +DA:1487,4160 +DA:1488,4160 +DA:1489,4160 +DA:1490,4160 +DA:1491,4160 +DA:1492,4160 +DA:1493,4160 +DA:1494,4160 +DA:1495,4160 +DA:1496,4160 +DA:1497,4160 +DA:1498,4160 +DA:1499,4160 +DA:1500,4160 +DA:1501,4160 +DA:1502,4160 +DA:1503,4160 +DA:1504,4160 +DA:1505,4160 +DA:1507,8350 +DA:1508,4175 +DA:1509,4175 +DA:1511,38 +DA:1512,19 +DA:1513,63847 +DA:1514,63847 +DA:1515,63847 +DA:1516,63847 +DA:1517,63847 +DA:1518,63847 +DA:1519,63847 +DA:1520,63847 +DA:1521,63847 +DA:1522,63847 +DA:1523,63847 +DA:1525,8514 +DA:1527,77 +DA:1529,71 +DA:1531,127730 +DA:1532,272 +DA:1533,136 +DA:1534,136 +DA:1535,136 +DA:1536,136 +DA:1537,136 +DA:1538,136 +DA:1539,136 +DA:1540,136 +DA:1541,136 +DA:1542,136 +DA:1543,136 +DA:1544,136 +DA:1545,136 +DA:1546,136 +DA:1547,136 +DA:1548,136 +DA:1549,136 +DA:1550,136 +DA:1551,136 +DA:1552,136 +DA:1553,136 +DA:1554,136 +DA:1555,136 +DA:1556,136 +DA:1557,136 +DA:1558,136 +DA:1559,136 +DA:1560,136 +DA:1561,136 +DA:1562,136 +DA:1563,136 +DA:1564,136 +DA:1565,136 +DA:1566,136 +DA:1567,136 +DA:1568,136 +DA:1569,136 +DA:1570,136 +DA:1571,136 +DA:1572,136 +DA:1573,136 +DA:1574,136 +DA:1575,136 +DA:1576,136 +DA:1577,136 +DA:1578,136 +DA:1579,136 +DA:1580,136 +DA:1581,136 +DA:1582,136 +DA:1583,136 +DA:1584,136 +DA:1585,136 +DA:1586,136 +DA:1587,136 +DA:1588,136 +DA:1589,136 +DA:1590,136 +DA:1591,136 +DA:1592,136 +DA:1593,136 +DA:1594,136 +DA:1595,136 +DA:1596,136 +DA:1597,136 +DA:1598,136 +DA:1599,136 +DA:1600,136 +DA:1601,136 +DA:1602,136 +DA:1603,136 +DA:1604,136 +DA:1605,136 +DA:1606,136 +DA:1607,136 +DA:1608,136 +DA:1609,136 +DA:1610,136 +DA:1611,136 +DA:1612,136 +DA:1613,136 +DA:1614,136 +DA:1615,136 +DA:1616,136 +DA:1617,136 +DA:1618,136 +DA:1619,136 +DA:1620,136 +DA:1621,136 +DA:1622,136 +DA:1623,136 +DA:1624,136 +DA:1625,136 +DA:1626,136 +DA:1627,136 +DA:1628,136 +DA:1629,136 +DA:1630,136 +DA:1631,136 +DA:1632,136 +DA:1633,136 +DA:1634,136 +DA:1635,136 +DA:1636,136 +DA:1637,136 +DA:1638,136 +DA:1639,136 +DA:1640,136 +DA:1641,136 +DA:1642,136 +DA:1643,136 +DA:1644,136 +DA:1645,136 +DA:1646,136 +DA:1647,136 +DA:1648,136 +DA:1649,136 +DA:1650,136 +DA:1651,136 +DA:1652,136 +DA:1653,136 +DA:1654,136 +DA:1655,136 +DA:1656,136 +DA:1657,136 +DA:1658,136 +DA:1659,136 +DA:1660,136 +DA:1661,136 +DA:1662,136 +DA:1663,136 +DA:1664,136 +DA:1665,136 +DA:1666,136 +DA:1667,136 +DA:1668,136 +DA:1669,136 +DA:1670,136 +DA:1671,136 +DA:1672,136 +DA:1673,136 +DA:1674,136 +DA:1675,136 +DA:1676,136 +DA:1677,136 +DA:1678,136 +DA:1679,136 +DA:1680,136 +DA:1681,136 +DA:1682,136 +DA:1683,136 +DA:1684,136 +DA:1685,136 +DA:1686,136 +DA:1687,136 +DA:1688,136 +DA:1689,136 +DA:1690,136 +DA:1691,136 +DA:1692,136 +DA:1693,136 +DA:1694,136 +DA:1695,136 +DA:1696,136 +DA:1697,136 +DA:1698,136 +DA:1699,136 +DA:1700,136 +DA:1701,136 +DA:1702,136 +DA:1703,136 +DA:1704,136 +DA:1705,136 +DA:1706,136 +DA:1707,136 +DA:1708,136 +DA:1709,136 +DA:1710,136 +DA:1711,136 +DA:1712,136 +DA:1713,136 +DA:1714,136 +DA:1715,136 +DA:1716,136 +DA:1717,136 +DA:1718,136 +DA:1719,136 +DA:1720,136 +DA:1721,136 +DA:1722,136 +DA:1723,136 +DA:1724,136 +DA:1725,136 +DA:1726,136 +DA:1727,136 +DA:1728,136 +DA:1729,136 +DA:1730,136 +DA:1731,136 +DA:1732,136 +DA:1733,136 +DA:1734,136 +DA:1735,136 +DA:1736,136 +DA:1737,136 +DA:1738,136 +DA:1739,136 +DA:1740,136 +DA:1741,136 +DA:1742,136 +DA:1743,136 +DA:1744,136 +DA:1745,136 +DA:1746,136 +DA:1747,136 +DA:1748,136 +DA:1749,136 +DA:1750,136 +DA:1751,136 +DA:1752,136 +DA:1753,136 +DA:1754,136 +DA:1755,136 +DA:1756,136 +DA:1757,136 +DA:1758,136 +DA:1759,136 +DA:1760,136 +DA:1761,136 +DA:1762,136 +DA:1763,136 +DA:1764,136 +DA:1765,136 +DA:1766,136 +DA:1767,136 +DA:1768,136 +DA:1769,136 +DA:1770,136 +DA:1771,136 +DA:1772,136 +DA:1773,136 +DA:1774,136 +DA:1775,136 +DA:1776,136 +DA:1777,136 +DA:1778,136 +DA:1779,136 +DA:1780,136 +DA:1781,136 +DA:1782,136 +DA:1783,136 +DA:1784,136 +DA:1785,136 +DA:1786,136 +DA:1787,136 +DA:1788,136 +DA:1789,136 +DA:1790,136 +DA:1791,136 +DA:1792,136 +DA:1793,136 +DA:1794,136 +DA:1795,136 +DA:1796,136 +DA:1797,136 +DA:1798,136 +DA:1799,136 +DA:1800,136 +DA:1801,136 +DA:1802,136 +DA:1803,136 +DA:1804,136 +DA:1805,136 +DA:1806,136 +DA:1807,136 +DA:1808,136 +DA:1809,136 +DA:1810,136 +DA:1811,136 +DA:1812,136 +DA:1813,136 +DA:1814,136 +DA:1815,136 +DA:1816,136 +DA:1817,136 +DA:1818,136 +DA:1819,136 +DA:1820,136 +DA:1821,136 +DA:1822,136 +DA:1823,136 +DA:1824,136 +DA:1825,136 +DA:1826,136 +DA:1827,136 +DA:1828,136 +DA:1829,136 +DA:1830,136 +DA:1831,136 +DA:1832,136 +DA:1833,136 +DA:1834,136 +DA:1835,136 +DA:1836,136 +DA:1837,136 +DA:1838,136 +DA:1839,136 +DA:1840,136 +DA:1841,136 +DA:1842,136 +DA:1843,136 +DA:1844,136 +DA:1845,136 +DA:1846,136 +DA:1847,136 +DA:1848,136 +DA:1849,136 +DA:1850,136 +DA:1851,136 +DA:1852,136 +DA:1853,136 +DA:1854,136 +DA:1855,136 +DA:1856,136 +DA:1857,136 +DA:1858,136 +DA:1859,136 +DA:1860,136 +DA:1861,136 +DA:1862,136 +DA:1863,136 +DA:1864,136 +DA:1865,136 +DA:1866,136 +DA:1867,136 +DA:1868,136 +DA:1869,136 +DA:1870,136 +DA:1871,136 +DA:1872,136 +DA:1873,136 +DA:1874,136 +DA:1875,136 +DA:1876,136 +DA:1877,136 +DA:1878,136 +DA:1879,136 +DA:1880,136 +DA:1881,136 +DA:1882,136 +DA:1883,136 +DA:1884,136 +DA:1885,136 +DA:1886,136 +DA:1887,136 +DA:1888,136 +DA:1889,136 +DA:1890,136 +DA:1891,136 +DA:1892,136 +DA:1893,136 +DA:1894,136 +DA:1895,136 +DA:1896,136 +DA:1897,136 +DA:1898,136 +DA:1899,136 +DA:1900,136 +DA:1901,136 +DA:1902,136 +DA:1903,136 +DA:1904,136 +DA:1905,136 +DA:1906,136 +DA:1907,136 +DA:1908,136 +DA:1909,136 +DA:1910,136 +DA:1911,136 +DA:1912,136 +DA:1913,136 +DA:1914,136 +DA:1915,136 +DA:1916,136 +DA:1917,136 +DA:1918,136 +DA:1919,136 +DA:1920,136 +DA:1921,136 +DA:1922,136 +DA:1923,136 +DA:1924,136 +DA:1925,136 +DA:1926,136 +DA:1927,136 +DA:1928,136 +DA:1929,136 +DA:1930,136 +DA:1931,136 +DA:1932,136 +DA:1933,136 +DA:1934,136 +DA:1935,136 +DA:1936,136 +DA:1937,136 +DA:1938,136 +DA:1939,136 +DA:1940,136 +DA:1941,136 +DA:1942,136 +DA:1943,136 +DA:1944,136 +DA:1945,136 +DA:1946,136 +DA:1947,136 +DA:1948,136 +DA:1949,136 +DA:1950,136 +DA:1951,136 +DA:1952,136 +DA:1953,136 +DA:1954,136 +DA:1955,136 +DA:1956,136 +DA:1957,136 +DA:1958,136 +DA:1959,136 +DA:1960,136 +DA:1961,136 +DA:1962,136 +DA:1963,136 +DA:1964,136 +DA:1965,136 +DA:1966,136 +DA:1967,136 +DA:1968,136 +DA:1969,136 +DA:1970,136 +DA:1971,136 +DA:1972,136 +DA:1973,136 +DA:1974,136 +DA:1975,136 +DA:1976,136 +DA:1977,136 +DA:1978,136 +DA:1979,136 +DA:1980,136 +DA:1981,136 +DA:1982,136 +DA:1983,136 +DA:1984,136 +DA:1985,136 +DA:1986,136 +DA:1987,136 +DA:1988,136 +DA:1989,136 +DA:1990,136 +DA:1991,136 +DA:1992,136 +DA:1993,136 +DA:1994,136 +DA:1995,136 +DA:1996,136 +DA:1997,136 +DA:1998,136 +DA:1999,136 +DA:2000,136 +DA:2001,136 +DA:2002,136 +DA:2003,136 +DA:2004,136 +DA:2005,136 +DA:2006,136 +DA:2007,136 +DA:2008,136 +DA:2009,136 +DA:2010,136 +DA:2011,136 +DA:2012,136 +DA:2013,136 +DA:2014,136 +DA:2015,136 +DA:2016,136 +DA:2017,136 +DA:2018,136 +DA:2019,136 +DA:2020,136 +DA:2021,136 +DA:2022,136 +DA:2023,136 +DA:2024,136 +DA:2025,136 +DA:2026,136 +DA:2027,136 +DA:2028,136 +DA:2029,136 +DA:2030,136 +DA:2031,136 +DA:2032,136 +DA:2033,136 +DA:2034,136 +DA:2035,136 +DA:2036,136 +DA:2037,136 +DA:2038,136 +DA:2039,136 +DA:2040,136 +DA:2041,136 +DA:2042,136 +DA:2043,136 +DA:2044,136 +DA:2046,63729 +DA:2047,0 +DA:2048,0 +DA:2049,0 +DA:2050,0 +DA:2051,0 +DA:2052,0 +DA:2053,0 +DA:2054,0 +DA:2055,0 +DA:2056,0 +DA:2057,0 +DA:2058,0 +DA:2059,0 +DA:2060,0 +DA:2061,0 +DA:2062,0 +DA:2063,0 +DA:2064,0 +DA:2065,0 +DA:2066,0 +DA:2067,0 +DA:2068,0 +DA:2069,0 +DA:2070,0 +DA:2071,0 +DA:2072,0 +DA:2073,0 +DA:2074,0 +DA:2075,0 +DA:2076,0 +DA:2077,0 +DA:2078,0 +DA:2079,0 +DA:2080,0 +DA:2081,0 +DA:2082,0 +DA:2083,0 +DA:2084,0 +DA:2085,0 +DA:2086,0 +DA:2087,0 +DA:2088,0 +DA:2089,0 +DA:2090,0 +DA:2091,0 +DA:2092,0 +DA:2093,0 +DA:2094,0 +DA:2095,0 +DA:2096,0 +DA:2097,0 +DA:2098,0 +DA:2099,0 +DA:2100,0 +DA:2101,0 +DA:2102,0 +DA:2103,0 +DA:2104,0 +DA:2105,0 +DA:2106,0 +DA:2107,0 +DA:2108,0 +DA:2109,0 +DA:2110,0 +DA:2111,0 +DA:2112,0 +DA:2113,0 +DA:2114,0 +DA:2115,0 +DA:2116,0 +DA:2117,0 +DA:2118,0 +DA:2119,0 +DA:2120,0 +DA:2121,0 +DA:2122,0 +DA:2123,0 +DA:2124,0 +DA:2125,0 +DA:2126,0 +DA:2127,0 +DA:2128,0 +DA:2129,0 +DA:2130,0 +DA:2131,0 +DA:2132,0 +DA:2133,0 +DA:2134,0 +DA:2135,0 +DA:2136,0 +DA:2137,0 +DA:2138,0 +DA:2139,0 +DA:2140,0 +DA:2141,0 +DA:2142,0 +DA:2143,0 +DA:2144,0 +DA:2145,0 +DA:2146,0 +DA:2147,4 +DA:2148,2 +DA:2149,2 +DA:2150,2 +DA:2151,2 +DA:2152,0 +DA:2153,0 +DA:2154,0 +DA:2155,0 +DA:2156,0 +DA:2157,0 +DA:2158,0 +DA:2159,0 +DA:2160,0 +DA:2161,0 +DA:2162,0 +DA:2163,0 +DA:2164,0 +DA:2165,0 +DA:2166,0 +DA:2167,0 +DA:2168,0 +DA:2169,0 +DA:2170,0 +DA:2171,0 +DA:2172,2 +DA:2173,1 +DA:2174,1 +DA:2175,1 +DA:2176,1 +DA:2177,0 +DA:2178,0 +DA:2179,0 +DA:2180,0 +DA:2181,0 +DA:2182,0 +DA:2183,0 +DA:2184,0 +DA:2185,0 +DA:2186,0 +DA:2187,0 +DA:2188,0 +DA:2189,0 +DA:2190,0 +DA:2191,0 +DA:2192,0 +DA:2193,0 +DA:2194,0 +DA:2195,0 +DA:2196,0 +DA:2197,0 +DA:2198,0 +DA:2199,0 +DA:2200,0 +DA:2201,0 +DA:2202,0 +DA:2203,0 +DA:2204,0 +DA:2205,0 +DA:2206,0 +DA:2207,0 +DA:2208,0 +DA:2209,0 +DA:2210,0 +DA:2211,0 +DA:2212,0 +DA:2213,0 +DA:2214,0 +DA:2215,0 +DA:2216,0 +DA:2217,0 +DA:2218,0 +DA:2219,0 +DA:2220,0 +DA:2221,0 +DA:2222,0 +DA:2223,0 +DA:2224,0 +DA:2225,0 +DA:2226,0 +DA:2227,0 +DA:2228,0 +DA:2229,0 +DA:2230,0 +DA:2231,0 +DA:2232,0 +DA:2233,0 +DA:2234,0 +DA:2235,0 +DA:2236,0 +DA:2237,0 +DA:2238,0 +DA:2239,0 +DA:2240,0 +DA:2241,0 +DA:2242,0 +DA:2243,0 +DA:2244,0 +DA:2245,0 +DA:2246,0 +DA:2247,0 +DA:2248,0 +DA:2249,0 +DA:2250,0 +DA:2251,0 +DA:2252,0 +DA:2253,0 +DA:2254,0 +DA:2255,0 +DA:2256,0 +DA:2257,0 +DA:2258,0 +DA:2259,0 +DA:2260,0 +DA:2261,0 +DA:2262,0 +DA:2263,0 +DA:2264,0 +DA:2265,0 +DA:2266,0 +DA:2267,0 +DA:2268,0 +DA:2269,0 +DA:2270,0 +DA:2271,0 +DA:2272,0 +DA:2273,0 +DA:2274,0 +DA:2275,0 +DA:2276,0 +DA:2277,0 +DA:2278,0 +DA:2279,0 +DA:2280,0 +DA:2281,0 +DA:2282,0 +DA:2283,0 +DA:2284,0 +DA:2285,0 +DA:2286,0 +DA:2287,0 +DA:2288,0 +DA:2289,0 +DA:2290,0 +DA:2291,0 +DA:2292,0 +DA:2293,0 +DA:2294,0 +DA:2295,0 +DA:2296,0 +DA:2297,0 +DA:2298,0 +DA:2299,0 +DA:2300,0 +DA:2301,0 +DA:2302,0 +DA:2303,0 +DA:2304,0 +DA:2305,0 +DA:2306,0 +DA:2307,0 +DA:2308,0 +DA:2309,0 +DA:2310,0 +DA:2311,0 +DA:2312,0 +DA:2313,0 +DA:2314,0 +DA:2315,0 +DA:2316,0 +DA:2317,0 +DA:2318,0 +DA:2319,0 +DA:2320,0 +DA:2321,0 +DA:2322,0 +DA:2323,0 +DA:2324,0 +DA:2325,0 +DA:2326,0 +DA:2327,0 +DA:2328,0 +DA:2329,0 +DA:2330,0 +DA:2331,0 +DA:2332,0 +DA:2333,0 +DA:2334,0 +DA:2335,0 +DA:2336,0 +DA:2337,0 +DA:2338,0 +DA:2339,0 +DA:2340,0 +DA:2341,0 +DA:2342,0 +DA:2343,0 +DA:2344,0 +DA:2345,0 +DA:2346,0 +DA:2347,0 +DA:2348,0 +DA:2349,0 +DA:2350,0 +DA:2351,0 +DA:2352,0 +DA:2353,0 +DA:2354,0 +DA:2355,0 +DA:2356,0 +DA:2357,2 +DA:2358,1 +DA:2359,1 +DA:2360,1 +DA:2361,1 +DA:2362,0 +DA:2363,0 +DA:2364,0 +DA:2365,0 +DA:2366,0 +DA:2367,16 +DA:2368,8 +DA:2369,8 +DA:2370,8 +DA:2371,8 +DA:2372,0 +DA:2373,0 +DA:2374,0 +DA:2375,0 +DA:2376,0 +DA:2377,0 +DA:2378,0 +DA:2379,0 +DA:2380,0 +DA:2381,0 +DA:2382,0 +DA:2383,0 +DA:2384,0 +DA:2385,0 +DA:2386,0 +DA:2387,0 +DA:2388,0 +DA:2389,0 +DA:2390,0 +DA:2391,0 +DA:2392,0 +DA:2393,0 +DA:2394,0 +DA:2395,0 +DA:2396,0 +DA:2397,0 +DA:2398,0 +DA:2399,0 +DA:2400,0 +DA:2401,0 +DA:2402,0 +DA:2403,0 +DA:2404,0 +DA:2405,0 +DA:2406,0 +DA:2407,0 +DA:2408,0 +DA:2409,0 +DA:2410,0 +DA:2411,0 +DA:2412,0 +DA:2413,0 +DA:2414,0 +DA:2415,0 +DA:2416,0 +DA:2417,0 +DA:2418,0 +DA:2419,0 +DA:2420,0 +DA:2421,0 +DA:2422,0 +DA:2423,0 +DA:2424,0 +DA:2425,0 +DA:2426,0 +DA:2427,0 +DA:2428,0 +DA:2429,0 +DA:2430,0 +DA:2431,0 +DA:2432,0 +DA:2433,0 +DA:2434,0 +DA:2435,0 +DA:2436,0 +DA:2437,0 +DA:2438,0 +DA:2439,0 +DA:2440,0 +DA:2441,0 +DA:2442,0 +DA:2443,0 +DA:2444,0 +DA:2445,0 +DA:2446,0 +DA:2447,0 +DA:2448,0 +DA:2449,0 +DA:2450,0 +DA:2451,0 +DA:2452,0 +DA:2453,0 +DA:2454,0 +DA:2455,0 +DA:2456,0 +DA:2457,0 +DA:2458,0 +DA:2459,0 +DA:2460,0 +DA:2461,0 +DA:2462,0 +DA:2463,0 +DA:2464,0 +DA:2465,0 +DA:2466,0 +DA:2467,0 +DA:2468,0 +DA:2469,0 +DA:2470,0 +DA:2471,0 +DA:2472,0 +DA:2473,0 +DA:2474,0 +DA:2475,0 +DA:2476,0 +DA:2477,0 +DA:2478,0 +DA:2479,0 +DA:2480,0 +DA:2481,0 +DA:2482,0 +DA:2483,0 +DA:2484,0 +DA:2485,0 +DA:2486,0 +DA:2487,0 +DA:2488,0 +DA:2489,0 +DA:2490,0 +DA:2491,0 +DA:2492,0 +DA:2493,0 +DA:2494,0 +DA:2495,0 +DA:2496,0 +DA:2497,0 +DA:2498,0 +DA:2499,0 +DA:2500,0 +DA:2501,0 +DA:2502,0 +DA:2503,0 +DA:2504,0 +DA:2505,0 +DA:2506,0 +DA:2507,0 +DA:2508,0 +DA:2509,0 +DA:2510,0 +DA:2511,0 +DA:2512,0 +DA:2513,0 +DA:2514,0 +DA:2515,0 +DA:2516,0 +DA:2517,0 +DA:2518,0 +DA:2519,0 +DA:2520,0 +DA:2521,0 +DA:2522,0 +DA:2523,0 +DA:2524,0 +DA:2525,0 +DA:2526,0 +DA:2527,0 +DA:2528,0 +DA:2529,0 +DA:2530,0 +DA:2531,0 +DA:2532,0 +DA:2533,0 +DA:2534,0 +DA:2535,0 +DA:2536,0 +DA:2537,0 +DA:2538,0 +DA:2539,0 +DA:2540,0 +DA:2541,0 +DA:2542,0 +DA:2543,0 +DA:2544,0 +DA:2545,0 +DA:2546,0 +DA:2547,0 +DA:2548,0 +DA:2549,0 +DA:2550,0 +DA:2551,0 +DA:2552,0 +DA:2553,0 +DA:2554,0 +DA:2555,0 +DA:2556,0 +DA:2557,0 +DA:2558,0 +DA:2559,0 +DA:2560,0 +DA:2561,0 +DA:2562,0 +DA:2563,0 +DA:2564,0 +DA:2565,0 +DA:2566,0 +DA:2567,0 +DA:2568,0 +DA:2569,0 +DA:2570,0 +DA:2571,0 +DA:2572,0 +DA:2573,0 +DA:2574,0 +DA:2575,0 +DA:2576,0 +DA:2577,0 +DA:2578,0 +DA:2579,0 +DA:2580,0 +DA:2581,0 +DA:2582,0 +DA:2583,0 +DA:2584,0 +DA:2585,0 +DA:2586,0 +DA:2587,0 +DA:2588,0 +DA:2589,0 +DA:2590,0 +DA:2591,0 +DA:2592,0 +DA:2593,0 +DA:2594,0 +DA:2595,0 +DA:2596,0 +DA:2597,0 +DA:2598,0 +DA:2599,0 +DA:2600,0 +DA:2601,0 +DA:2602,0 +DA:2603,0 +DA:2604,0 +DA:2605,0 +DA:2606,0 +DA:2607,0 +DA:2608,0 +DA:2609,0 +DA:2610,0 +DA:2611,0 +DA:2612,0 +DA:2613,0 +DA:2614,0 +DA:2615,0 +DA:2616,0 +DA:2617,0 +DA:2618,0 +DA:2619,0 +DA:2620,0 +DA:2621,0 +DA:2622,0 +DA:2623,0 +DA:2624,0 +DA:2625,0 +DA:2626,0 +DA:2627,0 +DA:2628,0 +DA:2629,0 +DA:2630,0 +DA:2631,0 +DA:2632,0 +DA:2633,0 +DA:2634,0 +DA:2635,0 +DA:2636,0 +DA:2637,0 +DA:2638,0 +DA:2639,0 +DA:2640,0 +DA:2641,0 +DA:2642,0 +DA:2643,0 +DA:2644,0 +DA:2645,0 +DA:2646,0 +DA:2647,0 +DA:2648,0 +DA:2649,0 +DA:2650,0 +DA:2651,0 +DA:2652,0 +DA:2653,0 +DA:2654,0 +DA:2655,0 +DA:2656,0 +DA:2657,0 +DA:2658,0 +DA:2659,0 +DA:2660,0 +DA:2661,0 +DA:2662,0 +DA:2663,0 +DA:2664,0 +DA:2665,0 +DA:2666,0 +DA:2667,0 +DA:2668,0 +DA:2669,0 +DA:2670,0 +DA:2671,0 +DA:2672,0 +DA:2673,0 +DA:2674,0 +DA:2675,0 +DA:2676,0 +DA:2677,0 +DA:2678,0 +DA:2679,0 +DA:2680,0 +DA:2681,0 +DA:2682,0 +DA:2683,0 +DA:2684,0 +DA:2685,0 +DA:2686,0 +DA:2687,0 +DA:2688,0 +DA:2689,0 +DA:2690,0 +DA:2691,0 +DA:2692,0 +DA:2693,0 +DA:2694,0 +DA:2695,0 +DA:2696,0 +DA:2697,0 +DA:2698,0 +DA:2699,0 +DA:2700,0 +DA:2701,0 +DA:2702,0 +DA:2703,0 +DA:2704,0 +DA:2705,0 +DA:2706,0 +DA:2707,0 +DA:2708,0 +DA:2709,0 +DA:2710,0 +DA:2711,0 +DA:2712,0 +DA:2713,0 +DA:2714,0 +DA:2715,0 +DA:2716,0 +DA:2717,2 +DA:2718,1 +DA:2719,1 +DA:2720,1 +DA:2721,1 +DA:2722,0 +DA:2723,0 +DA:2724,0 +DA:2725,0 +DA:2726,0 +DA:2727,0 +DA:2728,0 +DA:2729,0 +DA:2730,0 +DA:2731,0 +DA:2732,0 +DA:2733,0 +DA:2734,0 +DA:2735,0 +DA:2736,0 +DA:2737,0 +DA:2738,0 +DA:2739,0 +DA:2740,0 +DA:2741,0 +DA:2742,0 +DA:2743,0 +DA:2744,0 +DA:2745,0 +DA:2746,0 +DA:2747,0 +DA:2748,0 +DA:2749,0 +DA:2750,0 +DA:2751,0 +DA:2752,0 +DA:2753,0 +DA:2754,0 +DA:2755,0 +DA:2756,0 +DA:2757,0 +DA:2758,0 +DA:2759,0 +DA:2760,0 +DA:2761,0 +DA:2762,0 +DA:2763,0 +DA:2764,0 +DA:2765,0 +DA:2766,0 +DA:2767,0 +DA:2768,0 +DA:2769,0 +DA:2770,0 +DA:2771,0 +DA:2772,0 +DA:2773,0 +DA:2774,0 +DA:2775,0 +DA:2776,0 +DA:2777,0 +DA:2778,0 +DA:2779,0 +DA:2780,0 +DA:2781,0 +DA:2782,0 +DA:2783,0 +DA:2784,0 +DA:2785,0 +DA:2786,0 +DA:2787,0 +DA:2788,0 +DA:2789,0 +DA:2790,0 +DA:2791,0 +DA:2792,0 +DA:2793,0 +DA:2794,0 +DA:2795,0 +DA:2796,0 +DA:2797,0 +DA:2798,0 +DA:2799,0 +DA:2800,0 +DA:2801,0 +DA:2802,0 +DA:2803,0 +DA:2804,0 +DA:2805,0 +DA:2806,0 +DA:2807,0 +DA:2808,0 +DA:2809,0 +DA:2810,0 +DA:2811,0 +DA:2812,0 +DA:2813,0 +DA:2814,0 +DA:2815,0 +DA:2816,0 +DA:2817,0 +DA:2818,0 +DA:2819,0 +DA:2820,0 +DA:2821,0 +DA:2822,0 +DA:2823,0 +DA:2824,0 +DA:2825,0 +DA:2826,0 +DA:2827,0 +DA:2828,0 +DA:2829,0 +DA:2830,0 +DA:2831,0 +DA:2832,0 +DA:2833,0 +DA:2834,0 +DA:2835,0 +DA:2836,0 +DA:2837,0 +DA:2838,0 +DA:2839,0 +DA:2840,0 +DA:2841,0 +DA:2842,0 +DA:2843,0 +DA:2844,0 +DA:2845,0 +DA:2846,0 +DA:2847,0 +DA:2848,0 +DA:2849,0 +DA:2850,0 +DA:2851,0 +DA:2852,0 +DA:2853,0 +DA:2854,0 +DA:2855,0 +DA:2856,0 +DA:2857,0 +DA:2858,0 +DA:2859,0 +DA:2860,0 +DA:2861,0 +DA:2862,0 +DA:2863,0 +DA:2864,0 +DA:2865,0 +DA:2866,0 +DA:2867,0 +DA:2868,0 +DA:2869,0 +DA:2870,0 +DA:2871,0 +DA:2872,0 +DA:2873,0 +DA:2874,0 +DA:2875,0 +DA:2876,0 +DA:2877,0 +DA:2878,0 +DA:2879,0 +DA:2880,0 +DA:2881,0 +DA:2882,0 +DA:2883,0 +DA:2884,0 +DA:2885,0 +DA:2886,0 +DA:2887,0 +DA:2888,0 +DA:2889,0 +DA:2890,0 +DA:2891,0 +DA:2892,0 +DA:2893,0 +DA:2894,0 +DA:2895,0 +DA:2896,0 +DA:2897,0 +DA:2898,0 +DA:2899,0 +DA:2900,0 +DA:2901,0 +DA:2902,0 +DA:2903,0 +DA:2904,0 +DA:2905,0 +DA:2906,0 +DA:2907,0 +DA:2908,0 +DA:2909,0 +DA:2910,0 +DA:2911,0 +DA:2912,0 +DA:2913,0 +DA:2914,0 +DA:2915,0 +DA:2916,0 +DA:2917,0 +DA:2918,0 +DA:2919,0 +DA:2920,0 +DA:2921,0 +DA:2922,0 +DA:2923,0 +DA:2924,0 +DA:2925,0 +DA:2926,0 +DA:2927,0 +DA:2928,0 +DA:2929,0 +DA:2930,0 +DA:2931,0 +DA:2932,0 +DA:2933,0 +DA:2934,0 +DA:2935,0 +DA:2936,0 +DA:2937,0 +DA:2938,0 +DA:2939,0 +DA:2940,0 +DA:2941,0 +DA:2942,0 +DA:2943,0 +DA:2944,0 +DA:2945,0 +DA:2946,0 +DA:2947,0 +DA:2948,0 +DA:2949,0 +DA:2950,0 +DA:2951,0 +DA:2952,0 +DA:2953,0 +DA:2954,0 +DA:2955,0 +DA:2956,0 +DA:2957,0 +DA:2958,0 +DA:2959,0 +DA:2960,0 +DA:2961,0 +DA:2962,0 +DA:2963,0 +DA:2964,0 +DA:2965,0 +DA:2966,0 +DA:2967,2 +DA:2968,1 +DA:2969,1 +DA:2970,1 +DA:2971,1 +DA:2972,0 +DA:2973,0 +DA:2974,0 +DA:2975,0 +DA:2976,0 +DA:2977,0 +DA:2978,0 +DA:2979,0 +DA:2980,0 +DA:2981,0 +DA:2982,0 +DA:2983,0 +DA:2984,0 +DA:2985,0 +DA:2986,0 +DA:2987,0 +DA:2988,0 +DA:2989,0 +DA:2990,0 +DA:2991,0 +DA:2992,0 +DA:2993,0 +DA:2994,0 +DA:2995,0 +DA:2996,0 +DA:2997,0 +DA:2998,0 +DA:2999,0 +DA:3000,0 +DA:3001,0 +DA:3002,0 +DA:3003,0 +DA:3004,0 +DA:3005,0 +DA:3006,0 +DA:3007,0 +DA:3008,0 +DA:3009,0 +DA:3010,0 +DA:3011,0 +DA:3012,0 +DA:3013,0 +DA:3014,0 +DA:3015,0 +DA:3016,0 +DA:3017,0 +DA:3018,0 +DA:3019,0 +DA:3020,0 +DA:3021,0 +DA:3022,0 +DA:3023,0 +DA:3024,0 +DA:3025,0 +DA:3026,0 +DA:3027,0 +DA:3028,0 +DA:3029,0 +DA:3030,0 +DA:3031,0 +DA:3032,0 +DA:3033,0 +DA:3034,0 +DA:3035,0 +DA:3036,0 +DA:3037,0 +DA:3038,0 +DA:3039,0 +DA:3040,0 +DA:3041,0 +DA:3042,0 +DA:3043,0 +DA:3044,0 +DA:3045,0 +DA:3046,0 +DA:3047,0 +DA:3048,0 +DA:3049,0 +DA:3050,0 +DA:3051,0 +DA:3052,0 +DA:3053,0 +DA:3054,0 +DA:3055,0 +DA:3056,0 +DA:3057,0 +DA:3058,0 +DA:3059,0 +DA:3060,0 +DA:3061,0 +DA:3062,0 +DA:3063,0 +DA:3064,0 +DA:3065,0 +DA:3066,0 +DA:3067,0 +DA:3068,0 +DA:3069,0 +DA:3070,0 +DA:3071,0 +DA:3072,0 +DA:3073,0 +DA:3074,0 +DA:3075,0 +DA:3076,0 +DA:3077,0 +DA:3078,0 +DA:3079,0 +DA:3080,0 +DA:3081,0 +DA:3082,0 +DA:3083,0 +DA:3084,0 +DA:3085,0 +DA:3086,0 +DA:3087,0 +DA:3088,0 +DA:3089,0 +DA:3090,0 +DA:3091,0 +DA:3092,0 +DA:3093,0 +DA:3094,0 +DA:3095,0 +DA:3096,0 +DA:3097,0 +DA:3098,0 +DA:3099,0 +DA:3100,0 +DA:3101,0 +DA:3102,0 +DA:3103,0 +DA:3104,0 +DA:3105,0 +DA:3106,0 +DA:3107,0 +DA:3108,0 +DA:3109,0 +DA:3110,0 +DA:3111,0 +DA:3112,0 +DA:3113,0 +DA:3114,0 +DA:3115,0 +DA:3116,0 +DA:3117,0 +DA:3118,0 +DA:3119,0 +DA:3120,0 +DA:3121,0 +DA:3122,0 +DA:3123,0 +DA:3124,0 +DA:3125,0 +DA:3126,0 +DA:3127,0 +DA:3128,0 +DA:3129,0 +DA:3130,0 +DA:3131,0 +DA:3132,0 +DA:3133,0 +DA:3134,0 +DA:3135,0 +DA:3136,0 +DA:3137,0 +DA:3138,0 +DA:3139,0 +DA:3140,0 +DA:3141,0 +DA:3142,0 +DA:3143,0 +DA:3144,0 +DA:3145,0 +DA:3146,0 +DA:3147,0 +DA:3148,0 +DA:3149,0 +DA:3150,0 +DA:3151,0 +DA:3152,0 +DA:3153,0 +DA:3154,0 +DA:3155,0 +DA:3156,0 +DA:3157,0 +DA:3158,0 +DA:3159,0 +DA:3160,0 +DA:3161,0 +DA:3162,0 +DA:3163,0 +DA:3164,0 +DA:3165,0 +DA:3166,0 +DA:3167,0 +DA:3168,0 +DA:3169,0 +DA:3170,0 +DA:3171,0 +DA:3172,0 +DA:3173,0 +DA:3174,0 +DA:3175,0 +DA:3176,0 +DA:3177,0 +DA:3178,0 +DA:3179,0 +DA:3180,0 +DA:3181,0 +DA:3182,0 +DA:3183,0 +DA:3184,0 +DA:3185,0 +DA:3186,0 +DA:3187,0 +DA:3188,0 +DA:3189,0 +DA:3190,0 +DA:3191,0 +DA:3192,0 +DA:3193,0 +DA:3194,0 +DA:3195,0 +DA:3196,0 +DA:3197,0 +DA:3198,0 +DA:3199,0 +DA:3200,0 +DA:3201,0 +DA:3202,0 +DA:3203,0 +DA:3204,0 +DA:3205,0 +DA:3206,0 +DA:3207,0 +DA:3208,0 +DA:3209,0 +DA:3210,0 +DA:3211,0 +DA:3212,0 +DA:3213,0 +DA:3214,0 +DA:3215,0 +DA:3216,0 +DA:3217,0 +DA:3218,0 +DA:3219,0 +DA:3220,0 +DA:3221,0 +DA:3222,0 +DA:3223,0 +DA:3224,0 +DA:3225,0 +DA:3226,0 +DA:3227,0 +DA:3228,0 +DA:3229,0 +DA:3230,0 +DA:3231,0 +DA:3232,0 +DA:3233,0 +DA:3234,0 +DA:3235,0 +DA:3236,0 +DA:3237,0 +DA:3238,0 +DA:3239,0 +DA:3240,0 +DA:3241,0 +DA:3242,0 +DA:3243,0 +DA:3244,0 +DA:3245,0 +DA:3246,0 +DA:3247,0 +DA:3248,0 +DA:3249,0 +DA:3250,0 +DA:3251,0 +DA:3252,0 +DA:3253,0 +DA:3254,0 +DA:3255,0 +DA:3256,0 +DA:3257,0 +DA:3258,0 +DA:3259,0 +DA:3260,0 +DA:3261,0 +DA:3262,0 +DA:3263,0 +DA:3264,0 +DA:3265,0 +DA:3266,0 +DA:3267,0 +DA:3268,0 +DA:3269,0 +DA:3270,0 +DA:3271,0 +DA:3272,0 +DA:3273,0 +DA:3274,0 +DA:3275,0 +DA:3276,0 +DA:3277,0 +DA:3278,0 +DA:3279,0 +DA:3280,0 +DA:3281,0 +DA:3282,0 +DA:3283,0 +DA:3284,0 +DA:3285,0 +DA:3286,0 +DA:3287,0 +DA:3288,0 +DA:3289,0 +DA:3290,0 +DA:3291,0 +DA:3292,0 +DA:3293,0 +DA:3294,0 +DA:3295,0 +DA:3296,0 +DA:3297,0 +DA:3298,0 +DA:3299,0 +DA:3300,0 +DA:3301,0 +DA:3302,0 +DA:3303,0 +DA:3304,0 +DA:3305,0 +DA:3306,0 +DA:3307,0 +DA:3308,0 +DA:3309,0 +DA:3310,0 +DA:3311,0 +DA:3312,0 +DA:3313,0 +DA:3314,0 +DA:3315,0 +DA:3316,0 +DA:3317,0 +DA:3318,0 +DA:3319,0 +DA:3320,0 +DA:3321,0 +DA:3322,0 +DA:3323,0 +DA:3324,0 +DA:3325,0 +DA:3326,0 +DA:3327,2 +DA:3328,1 +DA:3329,1 +DA:3330,1 +DA:3331,1 +DA:3332,0 +DA:3333,0 +DA:3334,0 +DA:3335,0 +DA:3336,0 +DA:3337,2 +DA:3338,1 +DA:3339,1 +DA:3340,1 +DA:3341,1 +DA:3342,0 +DA:3343,0 +DA:3344,0 +DA:3345,0 +DA:3346,0 +DA:3347,2 +DA:3348,1 +DA:3349,1 +DA:3350,1 +DA:3351,1 +DA:3352,0 +DA:3353,0 +DA:3354,0 +DA:3355,0 +DA:3356,0 +DA:3357,0 +DA:3358,0 +DA:3359,0 +DA:3360,0 +DA:3361,0 +DA:3362,0 +DA:3363,0 +DA:3364,0 +DA:3365,0 +DA:3366,0 +DA:3367,0 +DA:3368,0 +DA:3369,0 +DA:3370,0 +DA:3371,0 +DA:3372,0 +DA:3373,0 +DA:3374,0 +DA:3375,0 +DA:3376,0 +DA:3377,0 +DA:3378,0 +DA:3379,0 +DA:3380,0 +DA:3381,0 +DA:3382,0 +DA:3383,0 +DA:3384,0 +DA:3385,0 +DA:3386,0 +DA:3387,0 +DA:3388,0 +DA:3389,0 +DA:3390,0 +DA:3391,0 +DA:3392,0 +DA:3393,0 +DA:3394,0 +DA:3395,0 +DA:3396,0 +DA:3397,0 +DA:3398,0 +DA:3399,0 +DA:3400,0 +DA:3401,0 +DA:3402,0 +DA:3403,0 +DA:3404,0 +DA:3405,0 +DA:3406,0 +DA:3407,0 +DA:3408,0 +DA:3409,0 +DA:3410,0 +DA:3411,0 +DA:3412,0 +DA:3413,0 +DA:3414,0 +DA:3415,0 +DA:3416,0 +DA:3417,0 +DA:3418,0 +DA:3419,0 +DA:3420,0 +DA:3421,0 +DA:3422,0 +DA:3423,0 +DA:3424,0 +DA:3425,0 +DA:3426,0 +DA:3427,0 +DA:3428,0 +DA:3429,0 +DA:3430,0 +DA:3431,0 +DA:3432,0 +DA:3433,0 +DA:3434,0 +DA:3435,0 +DA:3436,0 +DA:3437,0 +DA:3438,0 +DA:3439,0 +DA:3440,0 +DA:3441,0 +DA:3442,0 +DA:3443,0 +DA:3444,0 +DA:3445,0 +DA:3446,0 +DA:3447,0 +DA:3448,0 +DA:3449,0 +DA:3450,0 +DA:3451,0 +DA:3452,0 +DA:3453,0 +DA:3454,0 +DA:3455,0 +DA:3456,0 +DA:3457,0 +DA:3458,0 +DA:3459,0 +DA:3460,0 +DA:3461,0 +DA:3462,0 +DA:3463,0 +DA:3464,0 +DA:3465,0 +DA:3466,0 +DA:3467,0 +DA:3468,0 +DA:3469,0 +DA:3470,0 +DA:3471,0 +DA:3472,0 +DA:3473,0 +DA:3474,0 +DA:3475,0 +DA:3476,0 +DA:3477,0 +DA:3478,0 +DA:3479,0 +DA:3480,0 +DA:3481,0 +DA:3482,0 +DA:3483,0 +DA:3484,0 +DA:3485,0 +DA:3486,0 +DA:3487,0 +DA:3488,0 +DA:3489,0 +DA:3490,0 +DA:3491,0 +DA:3492,0 +DA:3493,0 +DA:3494,0 +DA:3495,0 +DA:3496,0 +DA:3497,0 +DA:3498,0 +DA:3499,0 +DA:3500,0 +DA:3501,0 +DA:3502,0 +DA:3503,0 +DA:3504,0 +DA:3505,0 +DA:3506,0 +DA:3507,10 +DA:3508,5 +DA:3509,5 +DA:3510,5 +DA:3511,5 +DA:3512,0 +DA:3513,0 +DA:3514,0 +DA:3515,0 +DA:3516,0 +DA:3517,0 +DA:3518,0 +DA:3519,0 +DA:3520,0 +DA:3521,0 +DA:3522,0 +DA:3523,0 +DA:3524,0 +DA:3525,0 +DA:3526,0 +DA:3527,0 +DA:3528,0 +DA:3529,0 +DA:3530,0 +DA:3531,0 +DA:3532,0 +DA:3533,0 +DA:3534,0 +DA:3535,0 +DA:3536,0 +DA:3537,0 +DA:3538,0 +DA:3539,0 +DA:3540,0 +DA:3541,0 +DA:3542,0 +DA:3543,0 +DA:3544,0 +DA:3545,0 +DA:3546,0 +DA:3547,0 +DA:3548,0 +DA:3549,0 +DA:3550,0 +DA:3551,0 +DA:3552,0 +DA:3553,0 +DA:3554,0 +DA:3555,0 +DA:3556,0 +DA:3557,0 +DA:3558,0 +DA:3559,0 +DA:3560,0 +DA:3561,0 +DA:3562,0 +DA:3563,0 +DA:3564,0 +DA:3565,0 +DA:3566,0 +DA:3567,0 +DA:3568,0 +DA:3569,0 +DA:3570,0 +DA:3571,0 +DA:3572,0 +DA:3573,0 +DA:3574,0 +DA:3575,0 +DA:3576,0 +DA:3577,0 +DA:3578,0 +DA:3579,0 +DA:3580,0 +DA:3581,0 +DA:3582,0 +DA:3583,0 +DA:3584,0 +DA:3585,0 +DA:3586,0 +DA:3587,0 +DA:3588,0 +DA:3589,0 +DA:3590,0 +DA:3591,0 +DA:3592,0 +DA:3593,0 +DA:3594,0 +DA:3595,0 +DA:3596,0 +DA:3597,0 +DA:3598,0 +DA:3599,0 +DA:3600,0 +DA:3601,0 +DA:3602,0 +DA:3603,0 +DA:3604,0 +DA:3605,0 +DA:3606,0 +DA:3607,0 +DA:3608,0 +DA:3609,0 +DA:3610,0 +DA:3611,0 +DA:3612,0 +DA:3613,0 +DA:3614,0 +DA:3615,0 +DA:3616,0 +DA:3617,0 +DA:3618,0 +DA:3619,0 +DA:3620,0 +DA:3621,0 +DA:3622,0 +DA:3623,0 +DA:3624,0 +DA:3625,0 +DA:3626,0 +DA:3627,0 +DA:3628,0 +DA:3629,0 +DA:3630,0 +DA:3631,0 +DA:3632,0 +DA:3633,0 +DA:3634,0 +DA:3635,0 +DA:3636,0 +DA:3637,0 +DA:3638,0 +DA:3639,0 +DA:3640,0 +DA:3641,0 +DA:3642,0 +DA:3643,0 +DA:3644,0 +DA:3645,0 +DA:3646,0 +DA:3647,2 +DA:3648,1 +DA:3649,1 +DA:3650,1 +DA:3651,1 +DA:3652,0 +DA:3653,0 +DA:3654,0 +DA:3655,0 +DA:3656,0 +DA:3657,0 +DA:3658,0 +DA:3659,0 +DA:3660,0 +DA:3661,0 +DA:3662,0 +DA:3663,0 +DA:3664,0 +DA:3665,0 +DA:3666,0 +DA:3667,0 +DA:3668,0 +DA:3669,0 +DA:3670,0 +DA:3671,0 +DA:3672,0 +DA:3673,0 +DA:3674,0 +DA:3675,0 +DA:3676,0 +DA:3677,0 +DA:3678,0 +DA:3679,0 +DA:3680,0 +DA:3681,0 +DA:3682,0 +DA:3683,0 +DA:3684,0 +DA:3685,0 +DA:3686,0 +DA:3687,0 +DA:3688,0 +DA:3689,0 +DA:3690,0 +DA:3691,0 +DA:3692,0 +DA:3693,0 +DA:3694,0 +DA:3695,0 +DA:3696,0 +DA:3697,0 +DA:3698,0 +DA:3699,0 +DA:3700,0 +DA:3701,0 +DA:3702,0 +DA:3703,0 +DA:3704,0 +DA:3705,0 +DA:3706,0 +DA:3707,0 +DA:3708,0 +DA:3709,0 +DA:3710,0 +DA:3711,0 +DA:3712,0 +DA:3713,0 +DA:3714,0 +DA:3715,0 +DA:3716,0 +DA:3717,0 +DA:3718,0 +DA:3719,0 +DA:3720,0 +DA:3721,0 +DA:3722,0 +DA:3723,0 +DA:3724,0 +DA:3725,0 +DA:3726,0 +DA:3727,0 +DA:3728,0 +DA:3729,0 +DA:3730,0 +DA:3731,0 +DA:3732,0 +DA:3733,0 +DA:3734,0 +DA:3735,0 +DA:3736,0 +DA:3737,0 +DA:3738,0 +DA:3739,0 +DA:3740,0 +DA:3741,0 +DA:3742,0 +DA:3743,0 +DA:3744,0 +DA:3745,0 +DA:3746,0 +DA:3747,0 +DA:3748,0 +DA:3749,0 +DA:3750,0 +DA:3751,0 +DA:3752,0 +DA:3753,0 +DA:3754,0 +DA:3755,0 +DA:3756,0 +DA:3757,0 +DA:3758,0 +DA:3759,0 +DA:3760,0 +DA:3761,0 +DA:3762,0 +DA:3763,0 +DA:3764,0 +DA:3765,0 +DA:3766,0 +DA:3767,0 +DA:3768,0 +DA:3769,0 +DA:3770,0 +DA:3771,0 +DA:3772,0 +DA:3773,0 +DA:3774,0 +DA:3775,0 +DA:3776,0 +DA:3777,0 +DA:3778,0 +DA:3779,0 +DA:3780,0 +DA:3781,0 +DA:3782,0 +DA:3783,0 +DA:3784,0 +DA:3785,0 +DA:3786,0 +DA:3787,0 +DA:3788,0 +DA:3789,0 +DA:3790,0 +DA:3791,0 +DA:3792,0 +DA:3793,0 +DA:3794,0 +DA:3795,0 +DA:3796,0 +DA:3797,0 +DA:3798,0 +DA:3799,0 +DA:3800,0 +DA:3801,0 +DA:3802,0 +DA:3803,0 +DA:3804,0 +DA:3805,0 +DA:3806,0 +DA:3807,0 +DA:3808,0 +DA:3809,0 +DA:3810,0 +DA:3811,0 +DA:3812,0 +DA:3813,0 +DA:3814,0 +DA:3815,0 +DA:3816,0 +DA:3817,0 +DA:3818,0 +DA:3819,0 +DA:3820,0 +DA:3821,0 +DA:3822,0 +DA:3823,0 +DA:3824,0 +DA:3825,0 +DA:3826,0 +DA:3827,2 +DA:3828,1 +DA:3829,1 +DA:3830,1 +DA:3831,1 +DA:3832,0 +DA:3833,0 +DA:3834,0 +DA:3835,0 +DA:3836,0 +DA:3837,0 +DA:3838,0 +DA:3839,0 +DA:3840,0 +DA:3841,0 +DA:3842,0 +DA:3843,0 +DA:3844,0 +DA:3845,0 +DA:3846,0 +DA:3847,0 +DA:3848,0 +DA:3849,0 +DA:3850,0 +DA:3851,0 +DA:3852,0 +DA:3853,0 +DA:3854,0 +DA:3855,0 +DA:3856,0 +DA:3857,0 +DA:3858,0 +DA:3859,0 +DA:3860,0 +DA:3861,0 +DA:3862,0 +DA:3863,0 +DA:3864,0 +DA:3865,0 +DA:3866,0 +DA:3867,0 +DA:3868,0 +DA:3869,0 +DA:3870,0 +DA:3871,0 +DA:3872,0 +DA:3873,0 +DA:3874,0 +DA:3875,0 +DA:3876,0 +DA:3877,0 +DA:3878,0 +DA:3879,0 +DA:3880,0 +DA:3881,0 +DA:3882,0 +DA:3883,0 +DA:3884,0 +DA:3885,0 +DA:3886,0 +DA:3887,0 +DA:3888,0 +DA:3889,0 +DA:3890,0 +DA:3891,0 +DA:3892,0 +DA:3893,0 +DA:3894,0 +DA:3895,0 +DA:3896,0 +DA:3897,0 +DA:3898,0 +DA:3899,0 +DA:3900,0 +DA:3901,0 +DA:3902,0 +DA:3903,0 +DA:3904,0 +DA:3905,0 +DA:3906,0 +DA:3907,0 +DA:3908,0 +DA:3909,0 +DA:3910,0 +DA:3911,0 +DA:3912,0 +DA:3913,0 +DA:3914,0 +DA:3915,0 +DA:3916,0 +DA:3917,0 +DA:3918,0 +DA:3919,0 +DA:3920,0 +DA:3921,0 +DA:3922,0 +DA:3923,0 +DA:3924,0 +DA:3925,0 +DA:3926,0 +DA:3927,0 +DA:3928,0 +DA:3929,0 +DA:3930,0 +DA:3931,0 +DA:3932,0 +DA:3933,0 +DA:3934,0 +DA:3935,0 +DA:3936,0 +DA:3937,0 +DA:3938,0 +DA:3939,0 +DA:3940,0 +DA:3941,0 +DA:3942,0 +DA:3943,0 +DA:3944,0 +DA:3945,0 +DA:3946,0 +DA:3947,0 +DA:3948,0 +DA:3949,0 +DA:3950,0 +DA:3951,0 +DA:3952,0 +DA:3953,0 +DA:3954,0 +DA:3955,0 +DA:3956,0 +DA:3957,0 +DA:3958,0 +DA:3959,0 +DA:3960,0 +DA:3961,0 +DA:3962,0 +DA:3963,0 +DA:3964,0 +DA:3965,0 +DA:3966,0 +DA:3967,0 +DA:3968,0 +DA:3969,0 +DA:3970,0 +DA:3971,0 +DA:3972,0 +DA:3973,0 +DA:3974,0 +DA:3975,0 +DA:3976,0 +DA:3977,0 +DA:3978,0 +DA:3979,0 +DA:3980,0 +DA:3981,0 +DA:3982,0 +DA:3983,0 +DA:3984,0 +DA:3985,0 +DA:3986,0 +DA:3987,0 +DA:3988,0 +DA:3989,0 +DA:3990,0 +DA:3991,0 +DA:3992,0 +DA:3993,0 +DA:3994,0 +DA:3995,0 +DA:3996,0 +DA:3997,0 +DA:3998,0 +DA:3999,0 +DA:4000,0 +DA:4001,0 +DA:4002,0 +DA:4003,0 +DA:4004,0 +DA:4005,0 +DA:4006,0 +DA:4007,0 +DA:4008,0 +DA:4009,0 +DA:4010,0 +DA:4011,0 +DA:4012,0 +DA:4013,0 +DA:4014,0 +DA:4015,0 +DA:4016,0 +DA:4017,2 +DA:4018,1 +DA:4019,1 +DA:4020,1 +DA:4021,1 +DA:4022,0 +DA:4023,0 +DA:4024,0 +DA:4025,0 +DA:4026,0 +DA:4027,0 +DA:4028,0 +DA:4029,0 +DA:4030,0 +DA:4031,0 +DA:4032,0 +DA:4033,0 +DA:4034,0 +DA:4035,0 +DA:4036,0 +DA:4037,0 +DA:4038,0 +DA:4039,0 +DA:4040,0 +DA:4041,0 +DA:4042,0 +DA:4043,0 +DA:4044,0 +DA:4045,0 +DA:4046,0 +DA:4047,0 +DA:4048,0 +DA:4049,0 +DA:4050,0 +DA:4051,0 +DA:4052,0 +DA:4053,0 +DA:4054,0 +DA:4055,0 +DA:4056,0 +DA:4057,0 +DA:4058,0 +DA:4059,0 +DA:4060,0 +DA:4061,0 +DA:4062,0 +DA:4063,0 +DA:4064,0 +DA:4065,0 +DA:4066,0 +DA:4067,0 +DA:4068,0 +DA:4069,0 +DA:4070,0 +DA:4071,0 +DA:4072,0 +DA:4073,0 +DA:4074,0 +DA:4075,0 +DA:4076,0 +DA:4077,0 +DA:4078,0 +DA:4079,0 +DA:4080,0 +DA:4081,0 +DA:4082,0 +DA:4083,0 +DA:4084,0 +DA:4085,0 +DA:4086,0 +DA:4087,0 +DA:4088,0 +DA:4089,0 +DA:4090,0 +DA:4091,0 +DA:4092,0 +DA:4093,0 +DA:4094,0 +DA:4095,0 +DA:4096,0 +DA:4097,0 +DA:4098,0 +DA:4099,0 +DA:4100,0 +DA:4101,0 +DA:4102,0 +DA:4103,0 +DA:4104,0 +DA:4105,0 +DA:4106,0 +DA:4107,0 +DA:4108,0 +DA:4109,0 +DA:4110,0 +DA:4111,0 +DA:4112,0 +DA:4113,0 +DA:4114,0 +DA:4115,0 +DA:4116,0 +DA:4117,0 +DA:4118,0 +DA:4119,0 +DA:4120,0 +DA:4121,0 +DA:4122,0 +DA:4123,0 +DA:4124,0 +DA:4125,0 +DA:4126,0 +DA:4127,0 +DA:4128,0 +DA:4129,0 +DA:4130,0 +DA:4131,0 +DA:4132,0 +DA:4133,0 +DA:4134,0 +DA:4135,0 +DA:4136,0 +DA:4137,0 +DA:4138,0 +DA:4139,0 +DA:4140,0 +DA:4141,0 +DA:4142,0 +DA:4143,0 +DA:4144,0 +DA:4145,0 +DA:4146,0 +DA:4147,0 +DA:4148,0 +DA:4149,0 +DA:4150,0 +DA:4151,0 +DA:4152,0 +DA:4153,0 +DA:4154,0 +DA:4155,0 +DA:4156,0 +DA:4157,0 +DA:4158,0 +DA:4159,0 +DA:4160,0 +DA:4161,0 +DA:4162,0 +DA:4163,0 +DA:4164,0 +DA:4165,0 +DA:4166,0 +DA:4167,0 +DA:4168,0 +DA:4169,0 +DA:4170,0 +DA:4171,0 +DA:4172,0 +DA:4173,0 +DA:4174,0 +DA:4175,0 +DA:4176,0 +DA:4177,0 +DA:4178,0 +DA:4179,0 +DA:4180,0 +DA:4181,0 +DA:4182,0 +DA:4183,0 +DA:4184,0 +DA:4185,0 +DA:4186,0 +DA:4187,0 +DA:4188,0 +DA:4189,0 +DA:4190,0 +DA:4191,0 +DA:4192,0 +DA:4193,0 +DA:4194,0 +DA:4195,0 +DA:4196,0 +DA:4197,0 +DA:4198,0 +DA:4199,0 +DA:4200,0 +DA:4201,0 +DA:4202,0 +DA:4203,0 +DA:4204,0 +DA:4205,0 +DA:4206,0 +DA:4207,0 +DA:4208,0 +DA:4209,0 +DA:4210,0 +DA:4211,0 +DA:4212,0 +DA:4213,0 +DA:4214,0 +DA:4215,0 +DA:4216,0 +DA:4217,0 +DA:4218,0 +DA:4219,0 +DA:4220,0 +DA:4221,0 +DA:4222,0 +DA:4223,0 +DA:4224,0 +DA:4225,0 +DA:4226,0 +DA:4227,0 +DA:4228,0 +DA:4229,0 +DA:4230,0 +DA:4231,0 +DA:4232,0 +DA:4233,0 +DA:4234,0 +DA:4235,0 +DA:4236,0 +DA:4237,0 +DA:4238,0 +DA:4239,0 +DA:4240,0 +DA:4241,0 +DA:4242,0 +DA:4243,0 +DA:4244,0 +DA:4245,0 +DA:4246,0 +DA:4247,0 +DA:4248,0 +DA:4249,0 +DA:4250,0 +DA:4251,0 +DA:4252,0 +DA:4253,0 +DA:4254,0 +DA:4255,0 +DA:4256,0 +DA:4257,0 +DA:4258,0 +DA:4259,0 +DA:4260,0 +DA:4261,0 +DA:4262,0 +DA:4263,0 +DA:4264,0 +DA:4265,0 +DA:4266,0 +DA:4267,0 +DA:4268,0 +DA:4269,0 +DA:4270,0 +DA:4271,0 +DA:4272,2 +DA:4273,1 +DA:4274,1 +DA:4275,1 +DA:4276,1 +DA:4277,0 +DA:4278,0 +DA:4279,0 +DA:4280,0 +DA:4281,0 +DA:4282,0 +DA:4283,0 +DA:4284,0 +DA:4285,0 +DA:4286,0 +DA:4287,0 +DA:4288,0 +DA:4289,0 +DA:4290,0 +DA:4291,0 +DA:4292,0 +DA:4293,0 +DA:4294,0 +DA:4295,0 +DA:4296,0 +DA:4297,0 +DA:4298,0 +DA:4299,0 +DA:4300,0 +DA:4301,0 +DA:4302,0 +DA:4303,0 +DA:4304,0 +DA:4305,0 +DA:4306,0 +DA:4307,0 +DA:4308,0 +DA:4309,0 +DA:4310,0 +DA:4311,0 +DA:4312,0 +DA:4313,0 +DA:4314,0 +DA:4315,0 +DA:4316,0 +DA:4317,0 +DA:4318,0 +DA:4319,0 +DA:4320,0 +DA:4321,0 +DA:4322,0 +DA:4323,0 +DA:4324,0 +DA:4325,0 +DA:4326,0 +DA:4327,0 +DA:4328,0 +DA:4329,0 +DA:4330,0 +DA:4331,0 +DA:4332,0 +DA:4333,0 +DA:4334,0 +DA:4335,0 +DA:4336,0 +DA:4337,0 +DA:4338,0 +DA:4339,0 +DA:4340,0 +DA:4341,0 +DA:4342,0 +DA:4343,0 +DA:4344,0 +DA:4345,0 +DA:4346,0 +DA:4347,0 +DA:4348,0 +DA:4349,0 +DA:4350,0 +DA:4351,0 +DA:4352,0 +DA:4353,0 +DA:4354,0 +DA:4355,0 +DA:4356,0 +DA:4357,0 +DA:4358,0 +DA:4359,0 +DA:4360,0 +DA:4361,0 +DA:4362,0 +DA:4363,0 +DA:4364,0 +DA:4365,0 +DA:4366,0 +DA:4367,0 +DA:4368,0 +DA:4369,0 +DA:4370,0 +DA:4371,0 +DA:4372,0 +DA:4373,0 +DA:4374,0 +DA:4375,0 +DA:4376,0 +DA:4377,0 +DA:4378,0 +DA:4379,0 +DA:4380,0 +DA:4381,0 +DA:4382,0 +DA:4383,0 +DA:4384,0 +DA:4385,0 +DA:4386,0 +DA:4387,0 +DA:4388,0 +DA:4389,0 +DA:4390,0 +DA:4391,0 +DA:4392,0 +DA:4393,0 +DA:4394,0 +DA:4395,0 +DA:4396,0 +DA:4397,0 +DA:4398,0 +DA:4399,0 +DA:4400,0 +DA:4401,0 +DA:4402,0 +DA:4403,0 +DA:4404,0 +DA:4405,0 +DA:4406,0 +DA:4407,0 +DA:4408,0 +DA:4409,0 +DA:4410,0 +DA:4411,0 +DA:4412,0 +DA:4413,0 +DA:4414,0 +DA:4415,0 +DA:4416,0 +DA:4417,0 +DA:4418,0 +DA:4419,0 +DA:4420,0 +DA:4421,0 +DA:4422,0 +DA:4423,0 +DA:4424,0 +DA:4425,0 +DA:4426,0 +DA:4427,0 +DA:4428,0 +DA:4429,0 +DA:4430,0 +DA:4431,0 +DA:4432,0 +DA:4433,0 +DA:4434,0 +DA:4435,0 +DA:4436,0 +DA:4437,0 +DA:4438,0 +DA:4439,0 +DA:4440,0 +DA:4441,0 +DA:4442,0 +DA:4443,0 +DA:4444,0 +DA:4445,0 +DA:4446,0 +DA:4447,0 +DA:4448,0 +DA:4449,0 +DA:4450,0 +DA:4451,0 +DA:4452,2 +DA:4453,1 +DA:4454,1 +DA:4455,1 +DA:4456,1 +DA:4457,0 +DA:4458,0 +DA:4459,0 +DA:4460,0 +DA:4461,0 +DA:4462,0 +DA:4463,0 +DA:4464,0 +DA:4465,0 +DA:4466,0 +DA:4467,0 +DA:4468,0 +DA:4469,0 +DA:4470,0 +DA:4471,0 +DA:4472,0 +DA:4473,0 +DA:4474,0 +DA:4475,0 +DA:4476,0 +DA:4477,0 +DA:4478,0 +DA:4479,0 +DA:4480,0 +DA:4481,0 +DA:4482,0 +DA:4483,0 +DA:4484,0 +DA:4485,0 +DA:4486,0 +DA:4487,0 +DA:4488,0 +DA:4489,0 +DA:4490,0 +DA:4491,0 +DA:4492,0 +DA:4493,0 +DA:4494,0 +DA:4495,0 +DA:4496,0 +DA:4497,0 +DA:4498,0 +DA:4499,0 +DA:4500,0 +DA:4501,0 +DA:4502,0 +DA:4503,0 +DA:4504,0 +DA:4505,0 +DA:4506,0 +DA:4507,0 +DA:4508,0 +DA:4509,0 +DA:4510,0 +DA:4511,0 +DA:4512,0 +DA:4513,0 +DA:4514,0 +DA:4515,0 +DA:4516,0 +DA:4517,0 +DA:4518,0 +DA:4519,0 +DA:4520,0 +DA:4521,0 +DA:4522,0 +DA:4523,0 +DA:4524,0 +DA:4525,0 +DA:4526,0 +DA:4527,0 +DA:4528,0 +DA:4529,0 +DA:4530,0 +DA:4531,0 +DA:4532,0 +DA:4533,0 +DA:4534,0 +DA:4535,0 +DA:4536,0 +DA:4537,0 +DA:4538,0 +DA:4539,0 +DA:4540,0 +DA:4541,0 +DA:4542,0 +DA:4543,0 +DA:4544,0 +DA:4545,0 +DA:4546,0 +DA:4547,0 +DA:4548,0 +DA:4549,0 +DA:4550,0 +DA:4551,0 +DA:4552,0 +DA:4553,0 +DA:4554,0 +DA:4555,0 +DA:4556,0 +DA:4557,0 +DA:4558,0 +DA:4559,0 +DA:4560,0 +DA:4561,0 +DA:4562,0 +DA:4563,0 +DA:4564,0 +DA:4565,0 +DA:4566,0 +DA:4567,0 +DA:4568,0 +DA:4569,0 +DA:4570,0 +DA:4571,0 +DA:4572,0 +DA:4573,0 +DA:4574,0 +DA:4575,0 +DA:4576,0 +DA:4577,0 +DA:4578,0 +DA:4579,0 +DA:4580,0 +DA:4581,0 +DA:4582,0 +DA:4583,0 +DA:4584,0 +DA:4585,0 +DA:4586,0 +DA:4587,0 +DA:4588,0 +DA:4589,0 +DA:4590,0 +DA:4591,0 +DA:4592,0 +DA:4593,0 +DA:4594,0 +DA:4595,0 +DA:4596,0 +DA:4597,0 +DA:4598,0 +DA:4599,0 +DA:4600,0 +DA:4601,0 +DA:4602,0 +DA:4603,0 +DA:4604,0 +DA:4605,0 +DA:4606,0 +DA:4614,58 +DA:5234,17 +DA:5235,12 +DA:5236,12 +DA:5237,12 +DA:5238,12 +DA:5239,12 +DA:5240,12 +DA:5241,12 +DA:5242,12 +DA:5243,12 +DA:5244,12 +DA:5245,12 +DA:5246,12 +DA:5247,12 +DA:5248,12 +DA:5249,12 +DA:5250,12 +DA:5251,12 +DA:5252,12 +DA:5253,12 +DA:5254,12 +DA:5255,12 +DA:5256,12 +DA:5257,12 +DA:5258,12 +DA:5259,12 +DA:5260,12 +DA:5261,12 +DA:5262,12 +DA:5263,12 +DA:5264,12 +DA:5265,12 +DA:5266,12 +DA:5267,12 +DA:5268,12 +DA:5269,12 +DA:5270,12 +DA:5271,12 +DA:5272,12 +DA:5273,12 +DA:5274,12 +DA:5275,12 +DA:5276,12 +DA:5277,12 +DA:5278,12 +DA:5279,12 +DA:5280,12 +DA:5281,12 +DA:5282,12 +DA:5283,12 +DA:5284,12 +DA:5285,12 +DA:5286,12 +DA:5287,12 +DA:5288,12 +DA:5289,12 +DA:5290,12 +DA:5291,12 +DA:5292,12 +DA:5293,12 +DA:5294,12 +DA:5295,12 +DA:5296,12 +DA:5297,12 +DA:5298,12 +DA:5299,12 +DA:5300,12 +DA:5301,12 +DA:5302,12 +DA:5303,12 +DA:5304,12 +DA:5305,12 +DA:5306,12 +DA:5307,12 +DA:5308,12 +DA:5309,12 +DA:5310,12 +DA:5311,12 +DA:5312,12 +DA:5313,12 +DA:5314,12 +DA:5315,12 +DA:5316,12 +DA:5317,12 +DA:5318,12 +DA:5319,12 +DA:5320,12 +DA:5321,12 +DA:5322,12 +DA:5323,12 +DA:5324,12 +DA:5325,12 +DA:5326,12 +DA:5327,12 +DA:5328,12 +DA:5329,12 +DA:5330,12 +DA:5331,12 +DA:5332,12 +DA:5333,12 +DA:5334,12 +DA:5335,12 +DA:5336,12 +DA:5337,12 +DA:5338,12 +DA:5339,12 +DA:5340,12 +DA:5341,12 +DA:5342,12 +DA:5343,12 +DA:5344,12 +DA:5345,12 +DA:5346,12 +DA:5347,12 +DA:5348,12 +DA:5349,12 +DA:5350,12 +DA:5351,12 +DA:5352,12 +DA:5353,12 +DA:5354,12 +DA:5355,12 +DA:5356,12 +DA:5357,12 +DA:5358,12 +DA:5359,12 +DA:5360,12 +DA:5361,12 +DA:5362,12 +DA:5363,12 +DA:5364,12 +DA:5365,12 +DA:5366,12 +DA:5367,12 +DA:5368,12 +DA:5369,12 +DA:5370,12 +DA:5371,12 +DA:5372,12 +DA:5373,12 +DA:5374,12 +DA:5375,12 +DA:5376,12 +DA:5377,12 +DA:5378,12 +DA:5379,12 +DA:5380,12 +DA:5381,12 +DA:5382,12 +DA:5383,12 +DA:5384,12 +DA:5385,12 +DA:5386,12 +DA:5387,12 +DA:5388,12 +DA:5389,12 +DA:5390,12 +DA:5391,12 +DA:5392,12 +DA:5393,12 +DA:5394,12 +DA:5395,12 +DA:5396,12 +DA:5397,12 +DA:5398,12 +DA:5399,12 +DA:5400,12 +DA:5401,12 +DA:5402,12 +DA:5403,12 +DA:5404,12 +DA:5405,12 +DA:5406,12 +DA:5407,12 +DA:5408,12 +DA:5409,12 +DA:5410,12 +DA:5411,12 +DA:5412,12 +DA:5413,12 +DA:5414,12 +DA:5415,12 +DA:5416,12 +DA:5417,12 +DA:5418,12 +DA:5419,12 +DA:5420,12 +DA:5421,12 +DA:5422,12 +DA:5423,12 +DA:5424,12 +DA:5425,12 +DA:5426,12 +DA:5427,12 +DA:5428,12 +DA:5429,12 +DA:5430,12 +DA:5431,12 +DA:5432,12 +DA:5433,12 +DA:5434,12 +DA:5435,12 +DA:5436,12 +DA:5437,12 +DA:5438,12 +DA:5439,12 +DA:5440,12 +DA:5441,12 +DA:5442,12 +DA:5443,12 +DA:5444,12 +DA:5445,12 +DA:5446,12 +DA:5447,12 +DA:5448,12 +DA:5449,12 +DA:5450,12 +DA:5451,12 +DA:5452,12 +DA:5453,12 +DA:5454,12 +DA:5455,12 +DA:5456,12 +DA:5457,12 +DA:5458,12 +DA:5459,12 +DA:5460,12 +DA:5461,12 +DA:5462,12 +DA:5463,12 +DA:5464,12 +DA:5465,12 +DA:5466,12 +DA:5467,12 +DA:5468,12 +DA:5469,12 +DA:5470,12 +DA:5471,12 +DA:5472,12 +DA:5473,12 +DA:5474,12 +DA:5475,12 +DA:5476,12 +DA:5477,12 +DA:5478,12 +DA:5479,12 +DA:5480,12 +DA:5481,12 +DA:5482,12 +DA:5483,12 +DA:5484,12 +DA:5485,12 +DA:5486,12 +DA:5487,12 +DA:5488,12 +DA:5489,12 +DA:5490,12 +DA:5491,12 +DA:5492,12 +DA:5493,12 +DA:5494,12 +DA:5495,12 +DA:5496,12 +DA:5497,12 +DA:5498,12 +DA:5499,12 +DA:5500,12 +DA:5501,12 +DA:5502,12 +DA:5503,12 +DA:5504,12 +DA:5505,12 +DA:5506,12 +DA:5507,12 +DA:5508,12 +DA:5509,12 +DA:5510,12 +DA:5511,12 +DA:5512,12 +DA:5513,12 +DA:5514,12 +DA:5515,12 +DA:5516,12 +DA:5517,12 +DA:5518,12 +DA:5519,12 +DA:5520,12 +DA:5521,12 +DA:5522,12 +DA:5523,12 +DA:5524,12 +DA:5525,12 +DA:5526,12 +DA:5527,12 +DA:5528,12 +DA:5529,12 +DA:5530,12 +DA:5531,12 +DA:5532,12 +DA:5533,12 +DA:5534,12 +DA:5535,12 +DA:5536,12 +DA:5537,12 +DA:5538,12 +DA:5539,12 +DA:5540,12 +DA:5541,12 +DA:5542,12 +DA:5543,12 +DA:5544,12 +DA:5545,12 +DA:5546,12 +DA:5547,12 +DA:5548,12 +DA:5549,12 +DA:5550,12 +DA:5551,12 +DA:5552,12 +DA:5553,12 +DA:5554,12 +DA:5555,12 +DA:5556,12 +DA:5557,12 +DA:5558,12 +DA:5559,12 +DA:5560,12 +DA:5561,12 +DA:5562,12 +DA:5563,12 +DA:5564,12 +DA:5565,12 +DA:5566,12 +DA:5567,12 +DA:5568,12 +DA:5569,12 +DA:5570,12 +DA:5571,12 +DA:5572,12 +DA:5573,12 +DA:5574,12 +DA:5575,12 +DA:5576,12 +DA:5577,12 +DA:5578,12 +DA:5579,12 +DA:5580,12 +DA:5581,12 +DA:5582,12 +DA:5583,12 +DA:5584,12 +DA:5585,12 +DA:5586,12 +DA:5587,12 +DA:5588,12 +DA:5589,12 +DA:5590,12 +DA:5591,12 +DA:5592,12 +DA:5593,12 +DA:5594,12 +DA:5595,12 +DA:5596,12 +DA:5597,12 +DA:5598,12 +DA:5599,12 +DA:5600,12 +DA:5601,12 +DA:5602,12 +DA:5603,12 +DA:5604,12 +DA:5605,12 +DA:5606,12 +DA:5607,12 +DA:5608,12 +DA:5609,12 +DA:5610,12 +DA:5611,12 +DA:5612,12 +DA:5613,12 +DA:5614,12 +DA:5615,12 +DA:5616,12 +DA:5617,12 +DA:5618,12 +DA:5619,12 +DA:5620,12 +DA:5621,12 +DA:5622,12 +DA:5623,12 +DA:5624,12 +DA:5625,12 +DA:5626,12 +DA:5627,12 +DA:5628,12 +DA:5629,12 +DA:5630,12 +DA:5631,12 +DA:5632,12 +DA:5633,12 +DA:5634,12 +DA:5635,12 +DA:5636,12 +DA:5637,12 +DA:5638,12 +DA:5639,12 +DA:5640,12 +DA:5641,12 +DA:5642,12 +DA:5643,12 +DA:5644,12 +DA:5645,12 +DA:5646,12 +DA:5647,12 +DA:5648,12 +DA:5649,12 +DA:5650,12 +DA:5651,12 +DA:5652,12 +DA:5653,12 +DA:5654,12 +DA:5655,12 +DA:5656,12 +DA:5657,12 +DA:5658,12 +DA:5659,12 +DA:5660,12 +DA:5661,12 +DA:5662,12 +DA:5663,12 +DA:5664,12 +DA:5665,12 +DA:5666,12 +DA:5667,12 +DA:5668,12 +DA:5669,12 +DA:5670,12 +DA:5671,12 +DA:5672,12 +DA:5673,12 +DA:5674,12 +DA:5675,12 +DA:5676,12 +DA:5677,12 +DA:5678,12 +DA:5679,12 +DA:5680,12 +DA:5681,12 +DA:5682,12 +DA:5683,12 +DA:5684,12 +DA:5685,12 +DA:5686,12 +DA:5687,12 +DA:5688,12 +DA:5689,12 +DA:5690,12 +DA:5691,12 +DA:5692,12 +DA:5693,12 +DA:5694,12 +DA:5695,12 +DA:5696,12 +DA:5697,12 +DA:5698,12 +DA:5699,12 +DA:5700,12 +DA:5701,12 +DA:5702,12 +DA:5703,12 +DA:5704,12 +DA:5705,12 +DA:5706,12 +DA:5707,12 +DA:5708,12 +DA:5709,12 +DA:5710,12 +DA:5711,12 +DA:5712,12 +DA:5713,12 +DA:5714,12 +DA:5715,12 +DA:5716,12 +DA:5717,12 +DA:5718,12 +DA:5719,12 +DA:5720,12 +DA:5721,12 +DA:5722,12 +DA:5723,12 +DA:5724,12 +DA:5725,12 +DA:5726,12 +DA:5727,12 +DA:5728,12 +DA:5729,12 +DA:5730,12 +DA:5731,12 +DA:5732,12 +DA:5733,12 +DA:5734,12 +DA:5735,12 +DA:5736,12 +DA:5737,12 +DA:5738,12 +DA:5739,12 +DA:5740,12 +DA:5741,12 +DA:5742,12 +DA:5743,12 +DA:5744,12 +DA:5745,12 +DA:5746,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FauFTB.sv +DA:59,127786 +DA:60,62 +DA:61,1105 +DA:62,10337 +DA:63,10224 +DA:64,10251 +DA:65,35066 +DA:66,9878 +DA:67,9918 +DA:68,9857 +DA:69,9933 +DA:70,15 +DA:71,17 +DA:72,12 +DA:73,9 +DA:74,615 +DA:75,574 +DA:76,57 +DA:77,64 +DA:78,596 +DA:79,14 +DA:80,14 +DA:81,15 +DA:82,17 +DA:83,12 +DA:84,9 +DA:85,615 +DA:86,574 +DA:87,57 +DA:88,64 +DA:89,596 +DA:90,14 +DA:91,14 +DA:92,15 +DA:93,17 +DA:94,12 +DA:95,9 +DA:96,615 +DA:97,574 +DA:98,57 +DA:99,64 +DA:100,596 +DA:101,14 +DA:102,14 +DA:103,15 +DA:104,17 +DA:105,12 +DA:106,9 +DA:107,615 +DA:108,574 +DA:109,57 +DA:110,64 +DA:111,596 +DA:112,10 +DA:113,14 +DA:114,14 +DA:115,3291 +DA:116,84 +DA:117,75 +DA:118,76 +DA:119,76 +DA:120,73 +DA:121,133 +DA:122,127 +DA:123,105 +DA:124,1143 +DA:125,122 +DA:126,337 +DA:127,65 +DA:128,35 +DA:129,137 +DA:130,552 +DA:131,63 +DA:132,33 +DA:133,28 +DA:134,152 +DA:135,34 +DA:136,32 +DA:137,33 +DA:138,24 +DA:139,40 +DA:140,3277 +DA:141,124 +DA:142,208 +DA:145,158 +DA:146,37 +DA:628,9878 +DA:629,9918 +DA:630,9857 +DA:631,9933 +DA:632,77 +DA:633,98 +DA:634,50 +DA:635,47 +DA:636,44 +DA:637,48 +DA:638,43 +DA:639,40 +DA:640,43 +DA:641,53 +DA:642,48 +DA:643,42 +DA:644,57 +DA:645,45 +DA:646,47 +DA:647,37 +DA:648,51 +DA:649,38 +DA:650,52 +DA:651,41 +DA:652,47 +DA:653,47 +DA:654,60 +DA:655,40 +DA:656,41 +DA:657,42 +DA:658,57 +DA:659,46 +DA:660,47 +DA:661,51 +DA:662,51 +DA:663,49 +DA:664,38 +DA:665,42 +DA:666,51 +DA:667,39 +DA:668,42 +DA:669,42 +DA:670,42 +DA:671,54 +DA:672,53 +DA:673,55 +DA:674,44 +DA:675,42 +DA:676,45 +DA:677,52 +DA:678,50 +DA:679,50 +DA:680,49 +DA:681,47 +DA:682,44 +DA:683,43 +DA:684,56 +DA:685,46 +DA:686,45 +DA:687,45 +DA:688,42 +DA:689,41 +DA:690,37 +DA:691,49 +DA:692,42 +DA:693,49 +DA:694,57 +DA:695,52 +DA:696,43 +DA:697,46 +DA:698,784 +DA:699,480 +DA:735,26 +DA:741,23 +DA:746,29 +DA:751,26 +DA:756,26 +DA:761,31 +DA:766,34 +DA:771,23 +DA:776,25 +DA:781,22 +DA:786,24 +DA:791,18 +DA:796,25 +DA:801,29 +DA:806,25 +DA:811,23 +DA:816,18 +DA:821,22 +DA:826,25 +DA:831,25 +DA:836,21 +DA:841,22 +DA:846,22 +DA:851,29 +DA:856,25 +DA:861,27 +DA:866,26 +DA:871,28 +DA:876,21 +DA:881,17 +DA:886,26 +DA:891,31 +DA:2169,97 +DA:2170,14 +DA:2171,31 +DA:2172,165 +DA:2173,21 +DA:2174,76 +DA:2175,118 +DA:2176,435 +DA:2177,843 +DA:2178,31 +DA:2179,680 +DA:2206,127 +DA:2207,345 +DA:2208,57 +DA:2209,43 +DA:2210,127 +DA:2211,573 +DA:2212,70 +DA:2213,33 +DA:2214,29 +DA:2215,135 +DA:2216,30 +DA:2217,26 +DA:2218,37 +DA:2219,114 +DA:2220,23 +DA:2221,13 +DA:2222,24 +DA:2223,19 +DA:2224,20 +DA:2225,17 +DA:2226,18 +DA:2227,22 +DA:2228,16 +DA:2229,20 +DA:2230,27 +DA:2231,19 +DA:2232,13 +DA:2233,19 +DA:2234,18 +DA:2235,20 +DA:2236,17 +DA:2237,23 +DA:2238,23 +DA:2239,17 +DA:2240,19 +DA:2241,18 +DA:2242,14 +DA:2243,23 +DA:2244,20 +DA:2245,16 +DA:2246,21 +DA:2247,22 +DA:2248,17 +DA:2249,13 +DA:2250,12 +DA:2251,25 +DA:2252,33 +DA:2253,26 +DA:2254,42 +DA:2255,29 +DA:2256,45 +DA:2257,106 +DA:2258,126 +DA:2260,495 +DA:2326,80 +DA:2347,127694 +DA:2348,98 +DA:2349,49 +DA:2350,49 +DA:2351,49 +DA:2352,49 +DA:2354,63798 +DA:2355,8350 +DA:2356,4175 +DA:2357,8350 +DA:2358,4175 +DA:2359,8350 +DA:2360,4175 +DA:2361,8350 +DA:2362,4175 +DA:2364,63847 +DA:2365,63847 +DA:2366,63847 +DA:2367,8252 +DA:2368,4126 +DA:2369,4126 +DA:2371,8150 +DA:2372,4075 +DA:2373,4075 +DA:2375,63847 +DA:2376,0 +DA:2377,0 +DA:2378,63847 +DA:2379,94 +DA:2380,47 +DA:2381,47 +DA:2382,47 +DA:2383,47 +DA:2384,47 +DA:2385,47 +DA:2386,47 +DA:2387,47 +DA:2388,47 +DA:2389,47 +DA:2390,47 +DA:2391,47 +DA:2392,47 +DA:2393,47 +DA:2394,47 +DA:2395,47 +DA:2396,47 +DA:2397,47 +DA:2398,47 +DA:2399,47 +DA:2400,47 +DA:2401,47 +DA:2402,47 +DA:2403,47 +DA:2404,47 +DA:2406,63847 +DA:2407,63847 +DA:2408,63847 +DA:2409,63847 +DA:2515,127730 +DA:2516,272 +DA:2517,136 +DA:2518,136 +DA:2519,136 +DA:2520,136 +DA:2521,136 +DA:2522,136 +DA:2523,136 +DA:2524,136 +DA:2525,136 +DA:2526,136 +DA:2527,136 +DA:2528,136 +DA:2529,136 +DA:2530,136 +DA:2531,136 +DA:2532,136 +DA:2533,136 +DA:2534,136 +DA:2535,136 +DA:2536,136 +DA:2537,136 +DA:2538,136 +DA:2539,136 +DA:2540,136 +DA:2541,136 +DA:2542,136 +DA:2543,136 +DA:2544,136 +DA:2545,136 +DA:2546,136 +DA:2547,136 +DA:2548,136 +DA:2549,136 +DA:2550,136 +DA:2551,136 +DA:2552,136 +DA:2553,136 +DA:2554,136 +DA:2555,136 +DA:2556,136 +DA:2557,136 +DA:2558,136 +DA:2559,136 +DA:2560,136 +DA:2561,136 +DA:2562,136 +DA:2563,136 +DA:2564,136 +DA:2565,136 +DA:2566,136 +DA:2567,136 +DA:2568,136 +DA:2569,136 +DA:2570,136 +DA:2571,136 +DA:2572,136 +DA:2573,136 +DA:2574,136 +DA:2575,136 +DA:2576,136 +DA:2577,136 +DA:2578,136 +DA:2579,136 +DA:2580,136 +DA:2581,136 +DA:2583,63729 +DA:2584,2 +DA:2585,0 +DA:2586,0 +DA:2587,0 +DA:2588,0 +DA:2589,0 +DA:2590,1 +DA:2592,0 +DA:2594,4 +DA:2595,0 +DA:2596,0 +DA:2597,2 +DA:2598,1 +DA:2599,0 +DA:2600,0 +DA:2602,1 +DA:2604,2 +DA:2605,0 +DA:2606,0 +DA:2607,0 +DA:2608,0 +DA:2609,0 +DA:2610,1 +DA:2612,0 +DA:2614,2 +DA:2615,0 +DA:2616,0 +DA:2617,0 +DA:2618,0 +DA:2619,0 +DA:2620,0 +DA:2622,1 +DA:2624,0 +DA:2625,0 +DA:2626,0 +DA:2627,0 +DA:2628,0 +DA:2629,0 +DA:2630,0 +DA:2632,0 +DA:2634,0 +DA:2635,0 +DA:2636,0 +DA:2637,0 +DA:2638,0 +DA:2639,0 +DA:2640,0 +DA:2642,0 +DA:2644,0 +DA:2645,0 +DA:2646,0 +DA:2647,0 +DA:2648,0 +DA:2649,0 +DA:2650,0 +DA:2652,0 +DA:2654,4 +DA:2655,0 +DA:2656,0 +DA:2657,0 +DA:2658,0 +DA:2659,0 +DA:2660,0 +DA:2662,2 +DA:2664,2 +DA:2665,0 +DA:2666,0 +DA:2667,0 +DA:2668,0 +DA:2669,0 +DA:2670,1 +DA:2672,0 +DA:2674,0 +DA:2675,0 +DA:2676,0 +DA:2677,0 +DA:2678,0 +DA:2679,0 +DA:2680,0 +DA:2682,0 +DA:2684,2 +DA:2685,0 +DA:2686,0 +DA:2687,0 +DA:2688,0 +DA:2689,0 +DA:2690,1 +DA:2692,0 +DA:2694,4 +DA:2695,0 +DA:2696,0 +DA:2697,0 +DA:2698,0 +DA:2699,0 +DA:2700,0 +DA:2702,2 +DA:2704,0 +DA:2705,0 +DA:2706,0 +DA:2707,0 +DA:2708,0 +DA:2709,0 +DA:2710,0 +DA:2712,0 +DA:2714,2 +DA:2715,0 +DA:2716,0 +DA:2717,2 +DA:2718,1 +DA:2719,0 +DA:2720,0 +DA:2722,0 +DA:2724,2 +DA:2725,2 +DA:2726,1 +DA:2727,0 +DA:2728,0 +DA:2729,0 +DA:2730,0 +DA:2732,0 +DA:2734,2 +DA:2735,0 +DA:2736,0 +DA:2737,0 +DA:2738,0 +DA:2739,0 +DA:2740,0 +DA:2742,1 +DA:2744,0 +DA:2745,0 +DA:2746,0 +DA:2747,0 +DA:2748,0 +DA:2749,0 +DA:2750,0 +DA:2752,0 +DA:2754,0 +DA:2755,0 +DA:2756,0 +DA:2757,0 +DA:2758,0 +DA:2759,0 +DA:2760,0 +DA:2762,0 +DA:2764,0 +DA:2765,0 +DA:2766,0 +DA:2767,0 +DA:2768,0 +DA:2769,0 +DA:2770,0 +DA:2772,0 +DA:2774,4 +DA:2775,0 +DA:2776,0 +DA:2777,2 +DA:2778,1 +DA:2779,0 +DA:2780,0 +DA:2782,1 +DA:2784,2 +DA:2785,0 +DA:2786,0 +DA:2787,0 +DA:2788,0 +DA:2789,0 +DA:2790,1 +DA:2792,0 +DA:2794,2 +DA:2795,0 +DA:2796,0 +DA:2797,0 +DA:2798,0 +DA:2799,0 +DA:2800,0 +DA:2802,1 +DA:2804,2 +DA:2805,0 +DA:2806,0 +DA:2807,0 +DA:2808,0 +DA:2809,0 +DA:2810,1 +DA:2812,0 +DA:2814,4 +DA:2815,0 +DA:2816,0 +DA:2817,0 +DA:2818,0 +DA:2819,0 +DA:2820,0 +DA:2822,2 +DA:2824,2 +DA:2825,2 +DA:2826,1 +DA:2827,0 +DA:2828,0 +DA:2829,0 +DA:2830,0 +DA:2832,0 +DA:2834,4 +DA:2835,0 +DA:2836,0 +DA:2837,2 +DA:2838,1 +DA:2839,0 +DA:2840,0 +DA:2842,1 +DA:2844,0 +DA:2845,0 +DA:2846,0 +DA:2847,0 +DA:2848,0 +DA:2849,0 +DA:2850,0 +DA:2852,0 +DA:2854,2 +DA:2855,0 +DA:2856,0 +DA:2857,2 +DA:2858,1 +DA:2859,0 +DA:2860,0 +DA:2862,0 +DA:2864,0 +DA:2865,0 +DA:2866,0 +DA:2867,0 +DA:2868,0 +DA:2869,0 +DA:2870,0 +DA:2872,0 +DA:2874,2 +DA:2875,0 +DA:2876,0 +DA:2877,2 +DA:2878,1 +DA:2879,0 +DA:2880,0 +DA:2882,0 +DA:2884,0 +DA:2885,0 +DA:2886,0 +DA:2887,0 +DA:2888,0 +DA:2889,0 +DA:2890,0 +DA:2892,0 +DA:2894,2 +DA:2895,0 +DA:2896,0 +DA:2897,2 +DA:2898,1 +DA:2899,0 +DA:2900,0 +DA:2902,0 +DA:2904,0 +DA:2905,0 +DA:2906,0 +DA:2907,0 +DA:2908,0 +DA:2909,0 +DA:2910,0 +DA:2912,0 +DA:2914,2 +DA:2915,0 +DA:2916,0 +DA:2917,2 +DA:2918,1 +DA:2919,0 +DA:2920,0 +DA:2922,0 +DA:2924,0 +DA:2925,0 +DA:2926,0 +DA:2927,0 +DA:2928,0 +DA:2929,0 +DA:2930,0 +DA:2932,0 +DA:2934,2 +DA:2935,0 +DA:2936,0 +DA:2937,2 +DA:2938,1 +DA:2939,0 +DA:2940,0 +DA:2942,0 +DA:2944,0 +DA:2945,0 +DA:2946,0 +DA:2947,0 +DA:2948,0 +DA:2949,0 +DA:2950,0 +DA:2952,0 +DA:2954,4 +DA:2955,0 +DA:2956,0 +DA:2957,2 +DA:2958,1 +DA:2959,0 +DA:2960,0 +DA:2962,1 +DA:2964,2 +DA:2965,0 +DA:2966,0 +DA:2967,0 +DA:2968,0 +DA:2969,0 +DA:2970,1 +DA:2972,0 +DA:2974,0 +DA:2975,0 +DA:2976,0 +DA:2977,0 +DA:2978,0 +DA:2979,0 +DA:2980,0 +DA:2982,0 +DA:2984,0 +DA:2985,0 +DA:2986,0 +DA:2987,0 +DA:2988,0 +DA:2989,0 +DA:2990,0 +DA:2992,0 +DA:2994,2 +DA:2995,0 +DA:2996,0 +DA:2997,0 +DA:2998,0 +DA:2999,0 +DA:3000,0 +DA:3002,1 +DA:3004,2 +DA:3005,0 +DA:3006,0 +DA:3007,0 +DA:3008,0 +DA:3009,0 +DA:3010,1 +DA:3012,0 +DA:3014,2 +DA:3015,0 +DA:3016,0 +DA:3017,0 +DA:3018,0 +DA:3019,0 +DA:3020,0 +DA:3022,1 +DA:3024,2 +DA:3025,2 +DA:3026,1 +DA:3027,0 +DA:3028,0 +DA:3029,0 +DA:3030,0 +DA:3032,0 +DA:3034,2 +DA:3035,0 +DA:3036,0 +DA:3037,2 +DA:3038,1 +DA:3039,0 +DA:3040,0 +DA:3042,0 +DA:3044,0 +DA:3045,0 +DA:3046,0 +DA:3047,0 +DA:3048,0 +DA:3049,0 +DA:3050,0 +DA:3052,0 +DA:3054,0 +DA:3055,0 +DA:3056,0 +DA:3057,0 +DA:3058,0 +DA:3059,0 +DA:3060,0 +DA:3062,0 +DA:3064,0 +DA:3065,0 +DA:3066,0 +DA:3067,0 +DA:3068,0 +DA:3069,0 +DA:3070,0 +DA:3072,0 +DA:3074,6 +DA:3075,0 +DA:3076,0 +DA:3077,4 +DA:3078,2 +DA:3079,0 +DA:3080,0 +DA:3082,1 +DA:3084,2 +DA:3085,0 +DA:3086,0 +DA:3087,0 +DA:3088,0 +DA:3089,0 +DA:3090,1 +DA:3092,0 +DA:3094,4 +DA:3095,0 +DA:3096,0 +DA:3097,2 +DA:3098,1 +DA:3099,0 +DA:3100,0 +DA:3102,1 +DA:3104,2 +DA:3105,0 +DA:3106,0 +DA:3107,0 +DA:3108,0 +DA:3109,0 +DA:3110,1 +DA:3112,0 +DA:3114,2 +DA:3115,0 +DA:3116,0 +DA:3117,0 +DA:3118,0 +DA:3119,0 +DA:3120,0 +DA:3122,1 +DA:3124,2 +DA:3125,0 +DA:3126,0 +DA:3127,0 +DA:3128,0 +DA:3129,0 +DA:3130,1 +DA:3132,0 +DA:3134,0 +DA:3135,0 +DA:3136,0 +DA:3137,0 +DA:3138,0 +DA:3139,0 +DA:3140,0 +DA:3142,0 +DA:3144,2 +DA:3145,0 +DA:3146,0 +DA:3147,0 +DA:3148,0 +DA:3149,0 +DA:3150,1 +DA:3152,0 +DA:3154,4 +DA:3155,0 +DA:3156,0 +DA:3157,0 +DA:3158,0 +DA:3159,0 +DA:3160,0 +DA:3162,2 +DA:3164,0 +DA:3165,0 +DA:3166,0 +DA:3167,0 +DA:3168,0 +DA:3169,0 +DA:3170,0 +DA:3172,0 +DA:3174,2 +DA:3175,0 +DA:3176,0 +DA:3177,2 +DA:3178,1 +DA:3179,0 +DA:3180,0 +DA:3182,0 +DA:3184,2 +DA:3185,0 +DA:3186,0 +DA:3187,0 +DA:3188,0 +DA:3189,0 +DA:3190,1 +DA:3192,0 +DA:3194,0 +DA:3195,0 +DA:3196,0 +DA:3197,0 +DA:3198,0 +DA:3199,0 +DA:3200,0 +DA:3202,0 +DA:3204,0 +DA:3205,0 +DA:3206,0 +DA:3207,0 +DA:3208,0 +DA:3209,0 +DA:3210,0 +DA:3212,0 +DA:3214,2 +DA:3215,0 +DA:3216,0 +DA:3217,0 +DA:3218,0 +DA:3219,0 +DA:3220,0 +DA:3222,1 +DA:3224,92 +DA:3225,86 +DA:3226,43 +DA:3227,43 +DA:3228,43 +DA:3229,43 +DA:3230,43 +DA:3231,43 +DA:3232,43 +DA:3233,43 +DA:3234,43 +DA:3235,43 +DA:3236,43 +DA:3237,43 +DA:3238,43 +DA:3239,43 +DA:3240,43 +DA:3241,43 +DA:3242,43 +DA:3243,43 +DA:3244,43 +DA:3245,43 +DA:3246,43 +DA:3247,43 +DA:3248,43 +DA:3249,43 +DA:3250,43 +DA:3251,43 +DA:3252,43 +DA:3253,43 +DA:3254,43 +DA:3255,43 +DA:3256,43 +DA:3257,43 +DA:3258,43 +DA:3259,43 +DA:3260,43 +DA:3261,43 +DA:3262,43 +DA:3263,43 +DA:3264,43 +DA:3265,43 +DA:3266,43 +DA:3267,43 +DA:3268,43 +DA:3269,43 +DA:3270,43 +DA:3271,43 +DA:3272,43 +DA:3273,43 +DA:3274,43 +DA:3275,43 +DA:3276,43 +DA:3277,43 +DA:3278,43 +DA:3279,43 +DA:3280,43 +DA:3281,43 +DA:3282,43 +DA:3283,43 +DA:3284,43 +DA:3285,43 +DA:3286,43 +DA:3287,43 +DA:3288,43 +DA:3289,43 +DA:3290,43 +DA:3291,43 +DA:3292,43 +DA:3293,43 +DA:3294,43 +DA:3295,43 +DA:3296,43 +DA:3297,43 +DA:3298,43 +DA:3299,43 +DA:3300,43 +DA:3301,43 +DA:3302,43 +DA:3303,43 +DA:3304,43 +DA:3305,43 +DA:3306,43 +DA:3307,43 +DA:3308,43 +DA:3309,43 +DA:3310,43 +DA:3311,43 +DA:3312,43 +DA:3313,43 +DA:3314,43 +DA:3315,43 +DA:3316,43 +DA:3317,43 +DA:3318,0 +DA:3319,3 +DA:3320,3 +DA:3329,58 +DA:3441,17 +DA:3442,12 +DA:3443,12 +DA:3444,12 +DA:3445,12 +DA:3446,12 +DA:3447,12 +DA:3448,12 +DA:3449,12 +DA:3450,12 +DA:3451,12 +DA:3452,12 +DA:3453,12 +DA:3454,12 +DA:3455,12 +DA:3456,12 +DA:3457,12 +DA:3458,12 +DA:3459,12 +DA:3460,12 +DA:3461,12 +DA:3462,12 +DA:3463,12 +DA:3464,12 +DA:3465,12 +DA:3466,12 +DA:3467,12 +DA:3468,12 +DA:3469,12 +DA:3470,12 +DA:3471,12 +DA:3472,12 +DA:3473,12 +DA:3474,12 +DA:3475,12 +DA:3476,12 +DA:3477,12 +DA:3478,12 +DA:3479,12 +DA:3480,12 +DA:3481,12 +DA:3482,12 +DA:3483,12 +DA:3484,12 +DA:3485,12 +DA:3486,12 +DA:3487,12 +DA:3488,12 +DA:3489,12 +DA:3490,12 +DA:3491,12 +DA:3492,12 +DA:3493,12 +DA:3494,12 +DA:3495,12 +DA:3496,12 +DA:3497,12 +DA:3498,12 +DA:3499,12 +DA:3500,12 +DA:3501,12 +DA:3502,12 +DA:3503,12 +DA:3504,12 +DA:3505,12 +DA:3506,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FauFTBWay.sv +DA:59,127786 +DA:60,62 +DA:61,8872 +DA:62,2268 +DA:63,6550 +DA:64,1131 +DA:65,544 +DA:66,2222 +DA:67,10939 +DA:68,1094 +DA:69,551 +DA:70,567 +DA:71,2286 +DA:72,558 +DA:73,564 +DA:74,543 +DA:75,510 +DA:76,454 +DA:77,527 +DA:78,12 +DA:79,127 +DA:80,345 +DA:81,57 +DA:82,43 +DA:83,127 +DA:84,573 +DA:85,70 +DA:86,33 +DA:87,29 +DA:88,135 +DA:89,30 +DA:90,26 +DA:91,37 +DA:92,435 +DA:95,2268 +DA:96,6550 +DA:97,1131 +DA:98,544 +DA:99,2222 +DA:100,10939 +DA:101,1094 +DA:102,551 +DA:103,567 +DA:104,2286 +DA:105,558 +DA:106,564 +DA:107,543 +DA:108,8837 +DA:109,831 +DA:110,4086208 +DA:111,380 +DA:112,190 +DA:113,190 +DA:114,190 +DA:115,190 +DA:116,190 +DA:117,190 +DA:118,190 +DA:119,190 +DA:120,190 +DA:121,190 +DA:122,190 +DA:123,190 +DA:124,190 +DA:125,190 +DA:128,4087360 +DA:129,8704 +DA:130,4352 +DA:132,2039328 +DA:139,1856 +DA:163,544 +DA:164,384 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/Folded1WDataModuleTemplate.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,24675 +DA:63,127 +DA:64,11 +DA:65,105 +DA:66,14 +DA:67,33 +DA:71,113 +DA:72,2019 +DA:73,16031 +DA:91,255460 +DA:92,544 +DA:93,272 +DA:94,272 +DA:96,127458 +DA:97,127458 +DA:98,1956 +DA:99,978 +DA:102,255388 +DA:103,16700 +DA:104,8350 +DA:111,116 +DA:121,34 +DA:122,24 +DA:123,24 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/Folded1WDataModuleTemplate_2.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,8597 +DA:63,36 +DA:64,17 +DA:65,125 +DA:66,20 +DA:67,27 +DA:71,176 +DA:72,5949 +DA:73,24862 +DA:91,383190 +DA:92,816 +DA:93,408 +DA:94,408 +DA:96,191187 +DA:97,191187 +DA:98,5800 +DA:99,2900 +DA:102,383082 +DA:103,25050 +DA:104,12525 +DA:111,174 +DA:121,51 +DA:122,36 +DA:123,36 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FoldedSRAMTemplate.sv +DA:59,127786 +DA:60,62 +DA:61,201 +DA:62,309 +DA:63,26388 +DA:64,106 +DA:65,119 +DA:66,125 +DA:67,323 +DA:68,53 +DA:69,65 +DA:70,114 +DA:71,146 +DA:90,485 +DA:91,326 +DA:92,564 +DA:93,507 +DA:94,340 +DA:95,544 +DA:96,495 +DA:104,510776 +DA:105,33400 +DA:106,16700 +DA:107,33224 +DA:108,16612 +DA:109,33232 +DA:110,16616 +DA:112,510920 +DA:113,1088 +DA:114,544 +DA:115,544 +DA:117,254916 +DA:118,33584 +DA:119,16792 +DA:120,33592 +DA:121,16796 +DA:129,232 +DA:141,68 +DA:142,48 +DA:143,48 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FoldedSRAMTemplate_1.sv +DA:59,127786 +DA:60,62 +DA:61,883 +DA:62,630 +DA:63,26102 +DA:64,341 +DA:65,2670 +DA:66,1013 +DA:67,339 +DA:68,2650 +DA:69,1006 +DA:70,331 +DA:71,261 +DA:72,123 +DA:73,41 +DA:74,123 +DA:75,45 +DA:76,63 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FoldedSRAMTemplate_20.sv +DA:59,127786 +DA:60,62 +DA:61,76 +DA:62,8746 +DA:63,56 +DA:64,59 +DA:65,59 +DA:66,119038 +DA:67,76 +DA:68,64 +DA:69,113 +DA:80,58 +DA:81,87 +DA:82,60 +DA:83,55 +DA:84,78 +DA:85,60 +DA:86,64 +DA:90,127694 +DA:91,8350 +DA:92,4175 +DA:93,8310 +DA:94,4155 +DA:95,8300 +DA:96,4150 +DA:98,127730 +DA:99,272 +DA:100,136 +DA:101,136 +DA:103,63729 +DA:104,8400 +DA:105,4200 +DA:106,8390 +DA:107,4195 +DA:115,58 +DA:127,17 +DA:128,12 +DA:129,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FoldedSRAMTemplate_21.sv +DA:59,127786 +DA:60,62 +DA:61,254 +DA:62,24520 +DA:63,100 +DA:64,846 +DA:65,197 +DA:66,4028 +DA:67,80 +DA:68,91 +DA:69,127 +DA:70,36 +DA:71,642 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/FoldedSRAMTemplate_25.sv +DA:59,127786 +DA:60,62 +DA:61,394 +DA:62,8520 +DA:63,145 +DA:64,1367 +DA:65,287 +DA:66,6132 +DA:67,129 +DA:68,109 +DA:69,122 +DA:70,33 +DA:71,607 +DA:82,163 +DA:83,448 +DA:84,215 +DA:85,179 +DA:86,766164 +DA:87,25050 +DA:88,12525 +DA:89,24990 +DA:90,12495 +DA:92,766380 +DA:93,1632 +DA:94,816 +DA:95,25380 +DA:96,12690 +DA:103,348 +DA:113,102 +DA:114,72 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/ITTage.sv +DA:59,127786 +DA:60,62 +DA:61,35066 +DA:62,564 +DA:63,989 +DA:64,474 +DA:65,998 +DA:66,987 +DA:67,602 +DA:68,517 +DA:69,811 +DA:70,79 +DA:71,69 +DA:72,31 +DA:73,30 +DA:74,1189 +DA:75,1202 +DA:76,1202 +DA:77,116 +DA:78,107 +DA:79,9456 +DA:80,31 +DA:81,18 +DA:82,59 +DA:83,71 +DA:84,24 +DA:85,24 +DA:86,1278 +DA:87,1114 +DA:88,1114 +DA:89,108 +DA:90,119 +DA:91,9458 +DA:92,17 +DA:93,25 +DA:94,72 +DA:95,58 +DA:96,31 +DA:97,28 +DA:98,1211 +DA:99,1198 +DA:100,1198 +DA:101,114 +DA:102,120 +DA:103,9409 +DA:104,23 +DA:105,21 +DA:106,26 +DA:107,25 +DA:108,23 +DA:109,29 +DA:110,79 +DA:111,76 +DA:112,27 +DA:113,30 +DA:114,1252 +DA:115,1179 +DA:116,1179 +DA:117,119 +DA:118,105 +DA:119,9476 +DA:120,33 +DA:121,22 +DA:122,18 +DA:123,82 +DA:124,70 +DA:125,30 +DA:126,33 +DA:127,1241 +DA:128,1247 +DA:129,1247 +DA:130,9423 +DA:131,39 +DA:132,26 +DA:133,24 +DA:134,80 +DA:135,75 +DA:136,30 +DA:137,39 +DA:138,1262 +DA:139,1289 +DA:140,1289 +DA:141,9377 +DA:142,35 +DA:143,24 +DA:144,33 +DA:145,86 +DA:146,70 +DA:147,26 +DA:148,30 +DA:149,1286 +DA:150,1331 +DA:151,1331 +DA:152,9424 +DA:153,35 +DA:154,23 +DA:155,23 +DA:156,24 +DA:157,21 +DA:158,29 +DA:159,75 +DA:160,76 +DA:161,41 +DA:162,42 +DA:163,1231 +DA:164,1247 +DA:165,1247 +DA:166,128 +DA:167,137 +DA:168,9391 +DA:169,41 +DA:170,30 +DA:171,27 +DA:172,29 +DA:173,126 +DA:174,409 +DA:175,65 +DA:176,32 +DA:177,30 +DA:178,116 +DA:179,705 +DA:180,65 +DA:181,37 +DA:182,33 +DA:183,142 +DA:184,35 +DA:185,34 +DA:186,33 +DA:187,36 +DA:188,31 +DA:189,27 +DA:190,33 +DA:191,79 +DA:192,69 +DA:193,31 +DA:194,30 +DA:195,1189 +DA:196,1202 +DA:197,1202 +DA:198,116 +DA:199,107 +DA:200,9456 +DA:201,31 +DA:202,18 +DA:203,59 +DA:204,71 +DA:205,24 +DA:206,24 +DA:207,1278 +DA:208,1114 +DA:209,1114 +DA:210,108 +DA:211,119 +DA:212,9458 +DA:213,17 +DA:214,25 +DA:215,72 +DA:216,58 +DA:217,31 +DA:218,28 +DA:219,1211 +DA:220,1198 +DA:221,1198 +DA:222,114 +DA:223,120 +DA:224,9409 +DA:225,23 +DA:226,21 +DA:227,26 +DA:228,25 +DA:229,23 +DA:230,29 +DA:231,79 +DA:232,76 +DA:233,27 +DA:234,30 +DA:235,1252 +DA:236,1179 +DA:237,1179 +DA:238,119 +DA:239,105 +DA:240,9476 +DA:241,33 +DA:242,22 +DA:243,18 +DA:244,82 +DA:245,70 +DA:246,30 +DA:247,33 +DA:248,1241 +DA:249,1247 +DA:250,1318 +DA:251,9423 +DA:252,39 +DA:253,26 +DA:254,24 +DA:255,80 +DA:256,75 +DA:257,30 +DA:258,39 +DA:259,1262 +DA:260,1289 +DA:261,1313 +DA:262,9377 +DA:263,35 +DA:264,24 +DA:265,33 +DA:266,86 +DA:267,70 +DA:268,26 +DA:269,30 +DA:270,1286 +DA:271,1331 +DA:272,1351 +DA:273,9424 +DA:274,35 +DA:275,23 +DA:276,23 +DA:277,24 +DA:278,21 +DA:279,29 +DA:280,75 +DA:281,76 +DA:282,41 +DA:283,42 +DA:284,1231 +DA:285,1247 +DA:286,1327 +DA:287,128 +DA:288,137 +DA:289,9391 +DA:290,41 +DA:291,30 +DA:292,27 +DA:293,9084 +DA:294,29 +DA:295,126 +DA:296,409 +DA:297,65 +DA:298,32 +DA:299,30 +DA:300,116 +DA:301,705 +DA:302,65 +DA:303,37 +DA:304,33 +DA:305,142 +DA:306,35 +DA:307,34 +DA:308,33 +DA:309,36 +DA:310,31 +DA:311,27 +DA:312,33 +DA:313,73 +DA:314,133 +DA:315,127 +DA:316,127 +DA:317,127 +DA:318,127 +DA:319,105 +DA:320,1143 +DA:321,243 +DA:322,250 +DA:323,108 +DA:324,282 +DA:325,285 +DA:326,219 +DA:327,233 +DA:328,231 +DA:329,137 +DA:330,33 +DA:331,28 +DA:332,36 +DA:333,37 +DA:334,22 +DA:335,155 +DA:336,40 +DA:337,42 +DA:338,5927 +DA:339,1185 +DA:342,4013 +DA:343,40 +DA:344,30 +DA:365,181 +DA:366,26 +DA:367,66 +DA:368,209 +DA:369,1291 +DA:370,33 +DA:371,57 +DA:372,165 +DA:373,1334 +DA:374,32 +DA:375,71 +DA:376,145 +DA:377,1328 +DA:378,25 +DA:379,52 +DA:380,117 +DA:381,1348 +DA:382,30 +DA:383,62 +DA:384,82 +DA:385,1223 +DA:386,30 +DA:387,27 +DA:388,22 +DA:389,26 +DA:390,1353 +DA:391,1401 +DA:392,1337 +DA:393,1319 +DA:394,1340 +DA:395,1333 +DA:396,44 +DA:397,99 +DA:398,38 +DA:399,95 +DA:400,31 +DA:401,167 +DA:402,62 +DA:403,64 +DA:404,12 +DA:411,2001245 +DA:417,25 +DA:418,28 +DA:419,15 +DA:420,585 +DA:421,611 +DA:422,13 +DA:423,27 +DA:424,12 +DA:425,16 +DA:426,594 +DA:427,54 +DA:428,27 +DA:429,33 +DA:430,13 +DA:431,588 +DA:432,587 +DA:433,13 +DA:434,33 +DA:435,11 +DA:436,14 +DA:437,577 +DA:438,108 +DA:439,27 +DA:440,32 +DA:441,13 +DA:442,620 +DA:443,577 +DA:444,16 +DA:445,24 +DA:446,17 +DA:447,13 +DA:448,598 +DA:449,111 +DA:450,106 +DA:451,26 +DA:452,29 +DA:453,16 +DA:454,602 +DA:455,599 +DA:456,17 +DA:457,28 +DA:458,15 +DA:459,13 +DA:460,591 +DA:461,128 +DA:462,111 +DA:463,24 +DA:464,27 +DA:465,11 +DA:466,628 +DA:467,594 +DA:468,16 +DA:469,28 +DA:470,17 +DA:471,20 +DA:472,595 +DA:473,127 +DA:474,113 +DA:475,127730 +DA:476,272 +DA:477,136 +DA:478,136 +DA:480,63729 +DA:481,0 +DA:482,0 +DA:483,0 +DA:484,0 +DA:485,0 +DA:486,0 +DA:487,0 +DA:488,0 +DA:489,0 +DA:491,0 +DA:493,0 +DA:494,0 +DA:496,63729 +DA:497,63729 +DA:498,63729 +DA:499,63729 +DA:502,56 +DA:503,73 +DA:505,31 +DA:506,23 +DA:507,41 +DA:508,55 +DA:510,1270 +DA:512,38 +DA:513,62 +DA:515,1279 +DA:517,41 +DA:518,41 +DA:521,46 +DA:525,1284 +DA:530,1300 +DA:543,36 +DA:568,12 +DA:572,16 +DA:576,17 +DA:580,12 +DA:584,15 +DA:587,78 +DA:591,54 +DA:616,24259 +DA:623,127694 +DA:624,8252 +DA:625,4126 +DA:626,4126 +DA:627,4126 +DA:628,4126 +DA:629,4126 +DA:630,4126 +DA:631,4126 +DA:632,4126 +DA:633,4126 +DA:634,4126 +DA:635,4126 +DA:636,4126 +DA:637,4126 +DA:638,4126 +DA:639,4126 +DA:640,4126 +DA:641,4126 +DA:642,4126 +DA:643,4126 +DA:644,4126 +DA:646,8150 +DA:647,4075 +DA:648,4075 +DA:650,8150 +DA:651,4075 +DA:652,4075 +DA:654,8150 +DA:655,4075 +DA:656,4075 +DA:658,8150 +DA:659,4075 +DA:660,4075 +DA:661,4075 +DA:662,4075 +DA:663,4075 +DA:664,4075 +DA:665,4075 +DA:666,4075 +DA:667,4075 +DA:668,4075 +DA:669,4075 +DA:670,4075 +DA:671,4075 +DA:672,4075 +DA:673,4075 +DA:674,4075 +DA:675,4075 +DA:676,4075 +DA:677,4075 +DA:678,4075 +DA:679,4075 +DA:680,4075 +DA:681,4075 +DA:682,4075 +DA:683,4075 +DA:684,4075 +DA:685,4075 +DA:686,4075 +DA:687,4075 +DA:688,4075 +DA:689,4075 +DA:690,4075 +DA:691,4075 +DA:693,63847 +DA:694,63847 +DA:695,0 +DA:696,0 +DA:697,0 +DA:698,0 +DA:699,0 +DA:700,0 +DA:701,0 +DA:702,0 +DA:703,0 +DA:704,0 +DA:705,0 +DA:706,0 +DA:707,0 +DA:709,63847 +DA:710,63847 +DA:711,0 +DA:712,0 +DA:713,0 +DA:714,0 +DA:715,0 +DA:716,0 +DA:717,0 +DA:718,0 +DA:719,0 +DA:720,0 +DA:721,0 +DA:722,0 +DA:723,0 +DA:725,63847 +DA:726,63847 +DA:727,0 +DA:728,0 +DA:729,0 +DA:730,0 +DA:731,0 +DA:732,0 +DA:733,0 +DA:734,0 +DA:735,0 +DA:736,0 +DA:737,0 +DA:738,0 +DA:739,0 +DA:740,0 +DA:741,0 +DA:743,63847 +DA:744,63847 +DA:745,0 +DA:746,0 +DA:747,0 +DA:748,0 +DA:749,0 +DA:750,0 +DA:751,0 +DA:752,0 +DA:753,0 +DA:754,0 +DA:755,0 +DA:756,0 +DA:757,0 +DA:758,0 +DA:759,0 +DA:761,63847 +DA:762,63847 +DA:763,0 +DA:764,0 +DA:765,0 +DA:766,0 +DA:767,0 +DA:768,0 +DA:769,0 +DA:770,0 +DA:771,0 +DA:772,0 +DA:773,0 +DA:774,0 +DA:775,0 +DA:776,0 +DA:777,0 +DA:785,58 +DA:902,17 +DA:903,12 +DA:904,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/ITTageTable.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,474 +DA:64,13 +DA:65,48 +DA:66,176 +DA:67,1096 +DA:68,594 +DA:69,54 +DA:70,25 +DA:71,15 +DA:72,13 +DA:73,27 +DA:74,12 +DA:75,16 +DA:76,28 +DA:77,585 +DA:78,611 +DA:94,714 +DA:95,53 +DA:96,46 +DA:98,34 +DA:99,35 +DA:101,105 +DA:102,131 +DA:106,99 +DA:112,44 +DA:114,16 +DA:115,51 +DA:123,599 +DA:127,127694 +DA:128,8350 +DA:129,4175 +DA:130,4175 +DA:131,4175 +DA:132,4175 +DA:133,4175 +DA:134,4175 +DA:135,4175 +DA:136,4175 +DA:137,4175 +DA:145,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/ITTageTable_1.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,564 +DA:64,12 +DA:65,55 +DA:66,144 +DA:67,1170 +DA:68,577 +DA:69,108 +DA:70,27 +DA:71,13 +DA:72,13 +DA:73,33 +DA:74,11 +DA:75,14 +DA:76,33 +DA:77,588 +DA:78,587 +DA:93,24675 +DA:94,720 +DA:95,46 +DA:96,53 +DA:97,29 +DA:98,30 +DA:99,105 +DA:100,127 +DA:108,51 +DA:110,19 +DA:111,36 +DA:119,642 +DA:123,127694 +DA:124,8350 +DA:125,4175 +DA:126,4175 +DA:127,4175 +DA:128,4175 +DA:129,4175 +DA:130,4175 +DA:131,4175 +DA:132,4175 +DA:140,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/ITTageTable_2.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,989 +DA:64,602 +DA:65,20 +DA:66,54 +DA:67,123 +DA:68,1136 +DA:69,598 +DA:70,111 +DA:71,106 +DA:72,27 +DA:73,13 +DA:74,16 +DA:75,24 +DA:76,17 +DA:77,13 +DA:78,32 +DA:79,620 +DA:80,577 +DA:95,8574 +DA:96,516 +DA:97,48 +DA:98,52 +DA:99,34 +DA:100,28 +DA:101,126 +DA:102,121 +DA:110,45 +DA:112,11 +DA:113,31 +DA:121,613 +DA:125,127694 +DA:126,8350 +DA:127,4175 +DA:128,4175 +DA:129,4175 +DA:130,4175 +DA:131,4175 +DA:132,4175 +DA:133,4175 +DA:141,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/ITTageTable_3.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,987 +DA:64,811 +DA:65,10 +DA:66,53 +DA:67,103 +DA:68,1103 +DA:69,591 +DA:70,128 +DA:71,111 +DA:72,26 +DA:73,16 +DA:74,17 +DA:75,28 +DA:76,15 +DA:77,13 +DA:78,29 +DA:79,602 +DA:80,599 +DA:95,8579 +DA:96,550 +DA:97,49 +DA:98,51 +DA:99,16 +DA:100,30 +DA:101,132 +DA:102,128 +DA:110,52 +DA:112,21 +DA:113,50 +DA:121,577 +DA:125,127694 +DA:126,8350 +DA:127,4175 +DA:128,4175 +DA:129,4175 +DA:130,4175 +DA:131,4175 +DA:132,4175 +DA:133,4175 +DA:141,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/ITTageTable_4.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,998 +DA:64,517 +DA:65,16 +DA:66,56 +DA:67,52 +DA:68,1123 +DA:69,595 +DA:70,127 +DA:71,113 +DA:72,24 +DA:73,11 +DA:74,16 +DA:75,28 +DA:76,17 +DA:77,20 +DA:78,27 +DA:79,628 +DA:80,594 +DA:95,8597 +DA:96,534 +DA:97,41 +DA:98,50 +DA:99,31 +DA:100,29 +DA:101,125 +DA:102,122 +DA:110,38 +DA:112,18 +DA:113,33 +DA:121,607 +DA:125,127694 +DA:126,8350 +DA:127,4175 +DA:128,4175 +DA:129,4175 +DA:130,4175 +DA:131,4175 +DA:132,4175 +DA:133,4175 +DA:141,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/PriorityMuxModule.sv +DA:59,69 +DA:60,38209 +DA:61,523 +DA:62,39759 +DA:63,87 +DA:64,38523 +DA:65,84 +DA:66,1178 +DA:67,10063 +DA:68,35066 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/PriorityMuxModule_12.sv +DA:59,53 +DA:60,82 +DA:61,71 +DA:62,64 +DA:63,74 +DA:64,23 +DA:65,26 +DA:66,73 +DA:67,75 +DA:68,77 +DA:69,77 +DA:70,70 +DA:71,29 +DA:72,90 +DA:73,73 +DA:74,70 +DA:75,77 +DA:76,29 +DA:77,70 +DA:78,63 +DA:79,23 +DA:80,23 +DA:81,66 +DA:82,23 +DA:83,26 +DA:84,382 +DA:85,65 +DA:86,69 +DA:87,59 +DA:88,81 +DA:89,18 +DA:90,22 +DA:91,83 +DA:92,67 +DA:93,63 +DA:94,75 +DA:95,63 +DA:96,21 +DA:97,67 +DA:98,69 +DA:99,67 +DA:100,57 +DA:101,21 +DA:102,69 +DA:103,74 +DA:104,21 +DA:105,21 +DA:106,74 +DA:107,18 +DA:108,22 +DA:109,56 +DA:110,83 +DA:111,75 +DA:112,75 +DA:113,80 +DA:114,21 +DA:115,22 +DA:116,82 +DA:117,76 +DA:118,76 +DA:119,72 +DA:120,86 +DA:121,30 +DA:122,73 +DA:123,89 +DA:124,79 +DA:125,83 +DA:126,30 +DA:127,89 +DA:128,76 +DA:129,25 +DA:130,25 +DA:131,73 +DA:132,21 +DA:133,22 +DA:134,84 +DA:135,81 +DA:136,93 +DA:137,88 +DA:138,78 +DA:139,21 +DA:140,27 +DA:141,87 +DA:142,63 +DA:143,91 +DA:144,72 +DA:145,80 +DA:146,28 +DA:147,75 +DA:148,81 +DA:149,78 +DA:150,80 +DA:151,28 +DA:152,91 +DA:153,71 +DA:154,23 +DA:155,23 +DA:156,96 +DA:157,21 +DA:158,27 +DA:159,36 +DA:160,33 +DA:161,25 +DA:162,35 +DA:163,30 +DA:164,35 +DA:165,32 +DA:166,31 +DA:167,23 +DA:168,24 +DA:169,33 +DA:170,31 +DA:171,28 +DA:172,31 +DA:173,26 +DA:174,24 +DA:175,24 +DA:176,28 +DA:177,34 +DA:178,32 +DA:179,32 +DA:180,32 +DA:181,33 +DA:182,29 +DA:183,88 +DA:184,98 +DA:185,77 +DA:186,87 +DA:187,73 +DA:188,79 +DA:189,95 +DA:190,73 +DA:191,103 +DA:192,74 +DA:193,95 +DA:194,88 +DA:195,81 +DA:196,89 +DA:197,81 +DA:198,77 +DA:199,73 +DA:200,83 +DA:201,80 +DA:202,67 +DA:203,68 +DA:204,87 +DA:205,75 +DA:206,80 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/PriorityMuxModule_16.sv +DA:59,59 +DA:60,175 +DA:61,387 +DA:62,137 +DA:63,58 +DA:64,186 +DA:65,252 +DA:66,243 +DA:67,644 +DA:68,573 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/PriorityMuxModule_20.sv +DA:59,3719 +DA:60,3730 +DA:61,3742 +DA:62,3727 +DA:63,3749 +DA:64,3843 +DA:65,3790 +DA:66,3756 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/PriorityMuxModule_4.sv +DA:59,47 +DA:60,1045 +DA:61,1022 +DA:62,722 +DA:63,746 +DA:64,918 +DA:65,473 +DA:66,757 +DA:67,899 +DA:68,743 +DA:69,843 +DA:70,703 +DA:71,888 +DA:72,641 +DA:73,832 +DA:74,709 +DA:75,698 +DA:76,999 +DA:77,772 +DA:78,380 +DA:79,998 +DA:80,982 +DA:81,707 +DA:82,709 +DA:83,840 +DA:84,425 +DA:85,691 +DA:86,833 +DA:87,710 +DA:88,791 +DA:89,683 +DA:90,824 +DA:91,607 +DA:92,789 +DA:93,670 +DA:94,637 +DA:95,924 +DA:96,701 +DA:97,49 +DA:98,1076 +DA:99,1086 +DA:100,735 +DA:101,769 +DA:102,931 +DA:103,479 +DA:104,810 +DA:105,936 +DA:106,796 +DA:107,866 +DA:108,701 +DA:109,933 +DA:110,697 +DA:111,849 +DA:112,764 +DA:113,771 +DA:114,1050 +DA:115,815 +DA:116,84 +DA:117,1047 +DA:118,1040 +DA:119,723 +DA:120,755 +DA:121,867 +DA:122,369 +DA:123,751 +DA:124,900 +DA:125,692 +DA:126,843 +DA:127,664 +DA:128,897 +DA:129,703 +DA:130,817 +DA:131,716 +DA:132,798 +DA:133,957 +DA:134,749 +DA:135,407 +DA:136,397 +DA:137,292 +DA:138,304 +DA:139,371 +DA:140,173 +DA:141,305 +DA:142,360 +DA:143,300 +DA:144,318 +DA:145,275 +DA:146,364 +DA:147,254 +DA:148,322 +DA:149,289 +DA:150,297 +DA:151,411 +DA:152,337 +DA:153,1182 +DA:154,1102 +DA:155,828 +DA:156,564 +DA:157,989 +DA:158,474 +DA:159,858 +DA:160,998 +DA:161,844 +DA:162,930 +DA:163,760 +DA:164,987 +DA:165,711 +DA:166,602 +DA:167,517 +DA:168,811 +DA:169,1122 +DA:170,827 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/PriorityMuxModule_8.sv +DA:59,72 +DA:60,183 +DA:61,1077 +DA:62,509 +DA:63,168 +DA:64,1069 +DA:65,72 +DA:66,173 +DA:67,1180 +DA:68,84 +DA:69,146 +DA:70,1184 +DA:71,49 +DA:72,317 +DA:73,198 +DA:74,1204 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/RAS.sv +DA:59,127786 +DA:60,62 +DA:61,1105 +DA:62,10337 +DA:63,10224 +DA:64,10251 +DA:65,35066 +DA:66,79 +DA:67,69 +DA:68,31 +DA:69,30 +DA:70,1189 +DA:71,1202 +DA:72,1202 +DA:73,116 +DA:74,107 +DA:75,9456 +DA:76,31 +DA:77,18 +DA:78,59 +DA:79,71 +DA:80,24 +DA:81,24 +DA:82,1278 +DA:83,1114 +DA:84,1114 +DA:85,108 +DA:86,119 +DA:87,9458 +DA:88,17 +DA:89,25 +DA:90,72 +DA:91,58 +DA:92,31 +DA:93,28 +DA:94,1211 +DA:95,1198 +DA:96,1198 +DA:97,114 +DA:98,120 +DA:99,9409 +DA:100,23 +DA:101,21 +DA:102,26 +DA:103,25 +DA:104,23 +DA:105,29 +DA:106,79 +DA:107,76 +DA:108,27 +DA:109,30 +DA:110,1252 +DA:111,1179 +DA:112,1179 +DA:113,119 +DA:114,105 +DA:115,9476 +DA:116,33 +DA:117,22 +DA:118,18 +DA:119,82 +DA:120,70 +DA:121,30 +DA:122,33 +DA:123,1241 +DA:124,1247 +DA:125,1318 +DA:126,9423 +DA:127,39 +DA:128,26 +DA:129,24 +DA:130,80 +DA:131,75 +DA:132,30 +DA:133,39 +DA:134,1262 +DA:135,1289 +DA:136,1313 +DA:137,9377 +DA:138,35 +DA:139,24 +DA:140,33 +DA:141,86 +DA:142,70 +DA:143,26 +DA:144,30 +DA:145,1286 +DA:146,1331 +DA:147,1351 +DA:148,9424 +DA:149,35 +DA:150,23 +DA:151,23 +DA:152,24 +DA:153,21 +DA:154,29 +DA:155,75 +DA:156,76 +DA:157,41 +DA:158,42 +DA:159,1231 +DA:160,1247 +DA:161,1327 +DA:162,128 +DA:163,137 +DA:164,9391 +DA:165,41 +DA:166,30 +DA:167,27 +DA:168,29 +DA:169,126 +DA:170,409 +DA:171,65 +DA:172,32 +DA:173,30 +DA:174,116 +DA:175,705 +DA:176,65 +DA:177,37 +DA:178,33 +DA:179,142 +DA:180,35 +DA:181,34 +DA:182,33 +DA:183,36 +DA:184,31 +DA:185,27 +DA:186,33 +DA:187,9399 +DA:188,9353 +DA:189,9356 +DA:190,9388 +DA:191,79 +DA:192,69 +DA:193,31 +DA:194,30 +DA:195,1189 +DA:196,1454 +DA:197,116 +DA:198,107 +DA:199,9456 +DA:200,31 +DA:201,18 +DA:202,59 +DA:203,71 +DA:204,24 +DA:205,24 +DA:206,1278 +DA:207,1345 +DA:208,108 +DA:209,119 +DA:210,9458 +DA:211,17 +DA:212,25 +DA:213,72 +DA:214,58 +DA:215,31 +DA:216,28 +DA:217,1211 +DA:218,1384 +DA:219,114 +DA:220,120 +DA:221,9409 +DA:222,23 +DA:223,29 +DA:224,79 +DA:225,76 +DA:226,27 +DA:227,30 +DA:228,1252 +DA:229,1433 +DA:230,119 +DA:231,105 +DA:232,9476 +DA:233,41 +DA:234,22 +DA:235,18 +DA:236,9337 +DA:237,9352 +DA:238,9331 +DA:239,9336 +DA:240,82 +DA:241,70 +DA:242,30 +DA:243,33 +DA:244,1241 +DA:245,1451 +DA:246,9423 +DA:247,39 +DA:248,26 +DA:249,24 +DA:250,80 +DA:251,75 +DA:252,30 +DA:253,39 +DA:254,1262 +DA:255,1497 +DA:256,9377 +DA:257,35 +DA:258,24 +DA:259,33 +DA:260,86 +DA:261,70 +DA:262,26 +DA:263,30 +DA:264,1286 +DA:265,1563 +DA:266,9424 +DA:267,35 +DA:268,21 +DA:269,29 +DA:270,75 +DA:271,76 +DA:272,41 +DA:273,42 +DA:274,1231 +DA:275,1446 +DA:276,136 +DA:277,131 +DA:278,9391 +DA:279,41 +DA:280,30 +DA:281,27 +DA:282,3801 +DA:283,149 +DA:284,80 +DA:285,41 +DA:286,183 +DA:287,40 +DA:288,214 +DA:289,31 +DA:290,160 +DA:291,1348 +DA:292,33 +DA:293,119 +DA:294,411 +DA:295,59 +DA:296,34 +DA:297,29 +DA:298,125 +DA:299,676 +DA:300,70 +DA:301,30 +DA:302,31 +DA:303,140 +DA:304,33 +DA:305,35 +DA:306,29 +DA:307,40 +DA:308,36 +DA:309,27 +DA:310,32 +DA:311,82 +DA:312,75 +DA:313,76 +DA:314,76 +DA:315,73 +DA:316,133 +DA:317,131 +DA:318,135 +DA:319,133 +DA:320,127 +DA:321,127 +DA:322,127 +DA:323,127 +DA:324,127 +DA:325,20 +DA:326,105 +DA:327,137 +DA:328,28 +DA:329,39 +DA:330,36 +DA:331,22 +DA:332,155 +DA:333,40 +DA:334,6212 +DA:335,84 +DA:336,28 +DA:337,1207 +DA:338,27 +DA:339,37 +DA:340,37 +DA:341,115 +DA:342,73 +DA:343,43 +DA:344,147 +DA:345,42 +DA:346,138 +DA:347,40 +DA:348,150 +DA:361,9961 +DA:362,9944 +DA:363,9990 +DA:364,9974 +DA:365,9399 +DA:366,9353 +DA:367,9356 +DA:368,9388 +DA:369,9337 +DA:370,9352 +DA:371,9331 +DA:372,9336 +DA:373,78 +DA:374,102 +DA:384,16 +DA:391,16 +DA:399,1303 +DA:400,9375 +DA:402,25 +DA:403,23 +DA:410,16 +DA:417,14 +DA:424,156 +DA:425,124 +DA:426,48 +DA:427,173 +DA:428,41 +DA:429,218 +DA:430,28 +DA:431,172 +DA:432,83 +DA:433,23 +DA:434,1032 +DA:435,38 +DA:436,29 +DA:437,34 +DA:438,106 +DA:439,62 +DA:440,28 +DA:441,151 +DA:442,32 +DA:443,132 +DA:444,37 +DA:445,134 +DA:449,127694 +DA:450,110 +DA:451,55 +DA:452,55 +DA:453,55 +DA:454,55 +DA:456,63792 +DA:457,8350 +DA:458,4175 +DA:459,8350 +DA:460,4175 +DA:461,8350 +DA:462,4175 +DA:463,8350 +DA:464,4175 +DA:466,8252 +DA:467,4126 +DA:468,8252 +DA:469,4126 +DA:470,8252 +DA:471,4126 +DA:472,8252 +DA:473,4126 +DA:474,8150 +DA:475,4075 +DA:476,8150 +DA:477,4075 +DA:478,8150 +DA:479,4075 +DA:480,4075 +DA:481,4075 +DA:482,4075 +DA:483,4075 +DA:484,4075 +DA:485,4075 +DA:486,4075 +DA:487,4075 +DA:488,4075 +DA:489,4075 +DA:490,4075 +DA:491,4075 +DA:493,8150 +DA:494,4075 +DA:495,63847 +DA:496,63847 +DA:497,72 +DA:498,36 +DA:499,36 +DA:500,36 +DA:501,36 +DA:502,36 +DA:503,36 +DA:504,36 +DA:505,36 +DA:506,36 +DA:507,36 +DA:508,36 +DA:509,36 +DA:510,36 +DA:513,127730 +DA:514,272 +DA:515,136 +DA:516,126 +DA:517,63 +DA:524,58 +DA:573,17 +DA:574,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/RASStack.sv +DA:59,127786 +DA:60,62 +DA:61,16 +DA:62,16 +DA:63,9401 +DA:64,127 +DA:65,127 +DA:66,22 +DA:67,156 +DA:68,124 +DA:69,48 +DA:70,173 +DA:71,41 +DA:72,218 +DA:73,28 +DA:74,172 +DA:75,10 +DA:76,19 +DA:77,9375 +DA:78,1235 +DA:79,33 +DA:80,29 +DA:81,34 +DA:82,148 +DA:83,100 +DA:84,83 +DA:85,25 +DA:86,23 +DA:87,106 +DA:88,78 +DA:89,28 +DA:90,151 +DA:91,32 +DA:92,132 +DA:93,37 +DA:94,134 +DA:95,1100 +DA:96,120 +DA:97,107 +DA:98,31 +DA:99,180 +DA:100,36 +DA:101,174 +DA:102,29 +DA:103,142 +DA:106,963 +DA:107,203 +DA:108,905 +DA:109,182 +DA:110,967 +DA:111,192 +DA:112,942 +DA:113,197 +DA:114,909 +DA:115,172 +DA:116,965 +DA:117,180 +DA:118,980 +DA:119,182 +DA:120,977 +DA:121,178 +DA:122,950 +DA:123,176 +DA:124,957 +DA:125,171 +DA:126,968 +DA:127,211 +DA:128,939 +DA:129,164 +DA:130,953 +DA:131,187 +DA:132,931 +DA:133,173 +DA:134,933 +DA:135,187 +DA:136,940 +DA:137,187 +DA:138,946 +DA:139,202 +DA:140,974 +DA:141,169 +DA:142,912 +DA:143,185 +DA:144,955 +DA:145,185 +DA:146,946 +DA:147,184 +DA:148,997 +DA:149,195 +DA:150,924 +DA:151,184 +DA:152,956 +DA:153,172 +DA:154,973 +DA:155,183 +DA:156,980 +DA:157,183 +DA:158,943 +DA:159,172 +DA:160,924 +DA:161,202 +DA:162,918 +DA:163,185 +DA:164,1005 +DA:165,196 +DA:166,958 +DA:167,192 +DA:168,972 +DA:169,188 +DA:170,928 +DA:171,175 +DA:172,1001 +DA:173,189 +DA:174,968 +DA:175,177 +DA:176,948 +DA:177,204 +DA:178,920 +DA:179,181 +DA:180,941 +DA:181,192 +DA:182,968 +DA:183,198 +DA:184,907 +DA:185,181 +DA:186,942 +DA:187,201 +DA:188,938 +DA:189,185 +DA:190,935 +DA:191,169 +DA:192,959 +DA:193,189 +DA:194,937 +DA:195,181 +DA:196,949 +DA:197,197 +DA:198,957 +DA:199,180 +DA:200,948 +DA:201,167 +DA:202,25 +DA:203,115 +DA:204,19 +DA:205,106 +DA:206,27 +DA:207,107 +DA:208,24 +DA:209,110 +DA:210,24 +DA:211,116 +DA:212,25 +DA:213,121 +DA:214,23 +DA:215,109 +DA:216,24 +DA:217,117 +DA:218,19 +DA:219,112 +DA:220,24 +DA:221,108 +DA:222,21 +DA:223,115 +DA:224,23 +DA:225,127 +DA:226,22 +DA:227,113 +DA:228,17 +DA:229,122 +DA:230,22 +DA:231,121 +DA:232,17 +DA:233,116 +DA:234,21 +DA:235,116 +DA:236,26 +DA:237,123 +DA:238,24 +DA:239,103 +DA:240,25 +DA:241,109 +DA:242,19 +DA:243,112 +DA:244,27 +DA:245,110 +DA:246,25 +DA:247,129 +DA:248,24 +DA:249,125 +DA:250,24 +DA:251,107 +DA:252,22 +DA:253,121 +DA:254,23 +DA:255,118 +DA:256,21 +DA:257,127 +DA:258,22 +DA:259,125 +DA:260,24 +DA:261,115 +DA:262,25 +DA:263,105 +DA:264,24 +DA:265,115 +DA:266,89 +DA:267,120 +DA:268,107 +DA:269,31 +DA:270,180 +DA:271,36 +DA:272,174 +DA:273,21 +DA:274,104 +DA:275,19 +DA:276,606 +DA:277,103 +DA:278,13 +DA:279,77 +DA:280,27 +DA:283,28 +DA:454,29 +DA:456,142 +DA:457,41 +DA:458,23 +DA:459,32 +DA:461,27 +DA:463,32 +DA:465,9630 +DA:477,1235 +DA:478,9381 +DA:479,239 +DA:481,9410 +DA:483,193 +DA:484,32 +DA:485,216 +DA:486,31 +DA:487,28 +DA:488,32 +DA:498,23 +DA:501,42 +DA:502,18 +DA:503,53 +DA:504,41 +DA:505,35 +DA:518,121 +DA:526,185 +DA:528,35 +DA:529,215 +DA:597,19 +DA:603,25 +DA:609,24 +DA:616,127730 +DA:617,272 +DA:618,136 +DA:619,136 +DA:620,136 +DA:621,136 +DA:622,136 +DA:623,136 +DA:624,136 +DA:625,136 +DA:626,136 +DA:627,136 +DA:628,136 +DA:629,136 +DA:630,136 +DA:631,136 +DA:632,136 +DA:633,136 +DA:634,136 +DA:635,136 +DA:636,136 +DA:637,136 +DA:638,136 +DA:639,136 +DA:640,136 +DA:641,136 +DA:642,136 +DA:643,136 +DA:644,136 +DA:645,136 +DA:646,136 +DA:647,136 +DA:648,136 +DA:649,136 +DA:650,136 +DA:651,136 +DA:652,136 +DA:653,136 +DA:654,136 +DA:655,136 +DA:656,136 +DA:657,136 +DA:658,136 +DA:659,136 +DA:660,136 +DA:661,136 +DA:662,136 +DA:663,136 +DA:664,136 +DA:665,136 +DA:666,136 +DA:667,136 +DA:668,136 +DA:669,136 +DA:670,136 +DA:671,136 +DA:672,136 +DA:673,136 +DA:674,136 +DA:675,136 +DA:676,136 +DA:677,136 +DA:678,136 +DA:679,136 +DA:680,136 +DA:681,136 +DA:682,136 +DA:683,136 +DA:684,136 +DA:685,136 +DA:686,136 +DA:687,136 +DA:688,136 +DA:689,136 +DA:690,136 +DA:691,136 +DA:692,136 +DA:693,136 +DA:694,136 +DA:695,136 +DA:696,136 +DA:697,136 +DA:698,136 +DA:699,136 +DA:700,136 +DA:701,136 +DA:702,136 +DA:703,136 +DA:704,136 +DA:705,136 +DA:706,136 +DA:707,136 +DA:708,136 +DA:709,136 +DA:710,136 +DA:711,136 +DA:712,136 +DA:713,136 +DA:714,136 +DA:715,136 +DA:716,136 +DA:717,136 +DA:718,136 +DA:719,136 +DA:720,136 +DA:721,136 +DA:722,136 +DA:723,136 +DA:724,136 +DA:725,136 +DA:726,136 +DA:727,136 +DA:728,136 +DA:729,136 +DA:730,136 +DA:731,136 +DA:732,136 +DA:733,136 +DA:734,136 +DA:735,136 +DA:736,136 +DA:737,136 +DA:738,136 +DA:739,136 +DA:740,136 +DA:741,136 +DA:742,136 +DA:743,136 +DA:744,136 +DA:745,136 +DA:746,136 +DA:747,136 +DA:748,136 +DA:749,136 +DA:750,136 +DA:751,136 +DA:752,136 +DA:753,136 +DA:754,136 +DA:755,136 +DA:756,136 +DA:757,136 +DA:758,136 +DA:759,136 +DA:760,136 +DA:761,136 +DA:762,136 +DA:763,136 +DA:764,136 +DA:765,136 +DA:766,136 +DA:767,136 +DA:768,136 +DA:769,136 +DA:770,136 +DA:771,136 +DA:772,136 +DA:773,136 +DA:774,136 +DA:775,136 +DA:776,136 +DA:777,136 +DA:778,136 +DA:779,136 +DA:780,136 +DA:781,136 +DA:782,136 +DA:783,136 +DA:784,136 +DA:785,136 +DA:786,136 +DA:787,136 +DA:788,136 +DA:789,136 +DA:791,63729 +DA:792,0 +DA:795,0 +DA:796,14 +DA:797,0 +DA:798,14 +DA:799,7 +DA:800,0 +DA:801,0 +DA:802,0 +DA:803,0 +DA:804,0 +DA:805,0 +DA:806,0 +DA:807,0 +DA:808,0 +DA:809,0 +DA:810,0 +DA:811,0 +DA:812,0 +DA:813,0 +DA:814,0 +DA:815,0 +DA:816,0 +DA:817,0 +DA:818,0 +DA:819,0 +DA:820,0 +DA:821,0 +DA:822,0 +DA:823,0 +DA:824,0 +DA:825,0 +DA:826,0 +DA:827,0 +DA:828,0 +DA:829,0 +DA:830,0 +DA:831,0 +DA:832,0 +DA:833,0 +DA:834,0 +DA:835,0 +DA:836,0 +DA:837,0 +DA:838,0 +DA:839,0 +DA:840,0 +DA:841,0 +DA:842,0 +DA:843,0 +DA:844,0 +DA:845,0 +DA:846,0 +DA:847,0 +DA:848,0 +DA:849,0 +DA:850,0 +DA:851,0 +DA:852,0 +DA:853,0 +DA:854,0 +DA:855,0 +DA:856,0 +DA:857,0 +DA:858,0 +DA:859,0 +DA:860,0 +DA:861,0 +DA:862,7 +DA:864,0 +DA:865,0 +DA:866,0 +DA:867,0 +DA:868,0 +DA:869,0 +DA:870,0 +DA:871,0 +DA:872,0 +DA:873,0 +DA:874,0 +DA:875,0 +DA:876,0 +DA:877,0 +DA:878,0 +DA:879,0 +DA:880,0 +DA:881,0 +DA:882,0 +DA:883,0 +DA:884,0 +DA:885,0 +DA:886,0 +DA:887,0 +DA:888,0 +DA:889,0 +DA:890,0 +DA:891,0 +DA:892,0 +DA:893,0 +DA:894,0 +DA:895,0 +DA:896,0 +DA:897,0 +DA:898,0 +DA:899,0 +DA:900,0 +DA:901,0 +DA:902,0 +DA:903,0 +DA:904,0 +DA:905,0 +DA:906,0 +DA:907,0 +DA:908,0 +DA:909,0 +DA:910,0 +DA:911,0 +DA:912,0 +DA:913,0 +DA:914,0 +DA:915,0 +DA:916,0 +DA:917,0 +DA:918,0 +DA:919,0 +DA:920,0 +DA:921,0 +DA:922,0 +DA:923,0 +DA:924,0 +DA:925,0 +DA:926,0 +DA:927,0 +DA:928,0 +DA:929,0 +DA:932,63722 +DA:933,0 +DA:934,0 +DA:935,0 +DA:936,0 +DA:937,0 +DA:938,0 +DA:939,0 +DA:940,0 +DA:941,0 +DA:942,0 +DA:943,0 +DA:944,0 +DA:945,0 +DA:946,0 +DA:947,0 +DA:948,0 +DA:949,0 +DA:950,0 +DA:951,0 +DA:952,0 +DA:953,0 +DA:954,0 +DA:955,0 +DA:956,0 +DA:957,0 +DA:958,0 +DA:959,0 +DA:960,0 +DA:961,0 +DA:962,0 +DA:963,0 +DA:964,0 +DA:965,0 +DA:966,0 +DA:967,0 +DA:969,0 +DA:972,0 +DA:975,0 +DA:976,0 +DA:979,0 +DA:980,0 +DA:983,0 +DA:984,0 +DA:987,0 +DA:988,0 +DA:991,0 +DA:992,0 +DA:995,0 +DA:996,0 +DA:999,0 +DA:1000,0 +DA:1003,0 +DA:1004,0 +DA:1007,0 +DA:1008,0 +DA:1011,0 +DA:1012,0 +DA:1015,0 +DA:1016,0 +DA:1019,0 +DA:1020,0 +DA:1023,0 +DA:1024,0 +DA:1027,0 +DA:1028,0 +DA:1031,0 +DA:1032,2 +DA:1033,1 +DA:1034,0 +DA:1035,1 +DA:1037,0 +DA:1038,1 +DA:1039,1 +DA:1041,0 +DA:1042,0 +DA:1043,0 +DA:1044,0 +DA:1046,0 +DA:1047,0 +DA:1048,0 +DA:1050,0 +DA:1051,0 +DA:1052,0 +DA:1053,0 +DA:1055,0 +DA:1056,0 +DA:1057,0 +DA:1059,0 +DA:1060,0 +DA:1061,0 +DA:1062,0 +DA:1064,0 +DA:1065,0 +DA:1066,0 +DA:1068,0 +DA:1069,0 +DA:1070,0 +DA:1071,0 +DA:1073,0 +DA:1074,0 +DA:1075,0 +DA:1077,2 +DA:1078,1 +DA:1079,0 +DA:1080,1 +DA:1082,0 +DA:1083,1 +DA:1084,1 +DA:1086,0 +DA:1087,0 +DA:1088,0 +DA:1089,0 +DA:1091,0 +DA:1092,0 +DA:1093,0 +DA:1095,2 +DA:1096,1 +DA:1097,0 +DA:1098,1 +DA:1100,0 +DA:1101,1 +DA:1102,1 +DA:1104,0 +DA:1105,0 +DA:1106,0 +DA:1107,0 +DA:1109,0 +DA:1110,0 +DA:1111,0 +DA:1113,0 +DA:1114,0 +DA:1115,0 +DA:1116,0 +DA:1118,0 +DA:1119,0 +DA:1120,0 +DA:1122,0 +DA:1123,0 +DA:1124,0 +DA:1125,0 +DA:1127,0 +DA:1128,0 +DA:1129,0 +DA:1131,0 +DA:1132,0 +DA:1133,0 +DA:1134,0 +DA:1136,0 +DA:1137,0 +DA:1138,0 +DA:1140,0 +DA:1141,0 +DA:1142,0 +DA:1143,0 +DA:1145,0 +DA:1146,0 +DA:1147,0 +DA:1149,2 +DA:1150,1 +DA:1151,0 +DA:1152,1 +DA:1154,0 +DA:1155,1 +DA:1156,1 +DA:1158,0 +DA:1159,0 +DA:1160,0 +DA:1161,0 +DA:1163,0 +DA:1164,0 +DA:1165,0 +DA:1167,2 +DA:1168,1 +DA:1169,0 +DA:1170,1 +DA:1172,0 +DA:1173,1 +DA:1174,1 +DA:1176,0 +DA:1177,0 +DA:1178,0 +DA:1179,0 +DA:1181,0 +DA:1182,0 +DA:1183,0 +DA:1185,2 +DA:1186,1 +DA:1187,0 +DA:1188,1 +DA:1190,0 +DA:1191,1 +DA:1192,1 +DA:1194,0 +DA:1195,0 +DA:1196,0 +DA:1197,0 +DA:1199,0 +DA:1200,0 +DA:1201,0 +DA:1203,0 +DA:1204,0 +DA:1205,0 +DA:1206,0 +DA:1208,0 +DA:1209,0 +DA:1210,0 +DA:1212,0 +DA:1213,0 +DA:1214,0 +DA:1215,0 +DA:1217,0 +DA:1218,0 +DA:1219,0 +DA:1221,0 +DA:1222,0 +DA:1223,0 +DA:1224,0 +DA:1226,0 +DA:1227,0 +DA:1228,0 +DA:1230,0 +DA:1231,0 +DA:1232,0 +DA:1233,0 +DA:1235,0 +DA:1236,0 +DA:1237,0 +DA:1239,0 +DA:1240,0 +DA:1241,0 +DA:1242,0 +DA:1244,0 +DA:1245,0 +DA:1246,0 +DA:1248,2 +DA:1249,1 +DA:1250,0 +DA:1251,1 +DA:1253,0 +DA:1254,1 +DA:1255,1 +DA:1257,0 +DA:1258,0 +DA:1259,0 +DA:1260,0 +DA:1262,0 +DA:1263,0 +DA:1264,0 +DA:1266,0 +DA:1267,0 +DA:1268,0 +DA:1269,0 +DA:1271,0 +DA:1272,0 +DA:1273,0 +DA:1275,0 +DA:1276,0 +DA:1277,0 +DA:1278,0 +DA:1280,0 +DA:1281,0 +DA:1282,0 +DA:1284,2 +DA:1285,1 +DA:1286,0 +DA:1287,1 +DA:1289,0 +DA:1290,1 +DA:1291,1 +DA:1293,0 +DA:1294,0 +DA:1295,0 +DA:1296,0 +DA:1298,0 +DA:1299,0 +DA:1300,0 +DA:1302,0 +DA:1303,0 +DA:1304,0 +DA:1305,0 +DA:1307,0 +DA:1308,0 +DA:1309,0 +DA:1311,0 +DA:1312,0 +DA:1313,0 +DA:1314,0 +DA:1316,0 +DA:1317,0 +DA:1318,0 +DA:1320,64 +DA:1321,32 +DA:1322,32 +DA:1323,32 +DA:1324,32 +DA:1325,32 +DA:1326,32 +DA:1327,32 +DA:1328,32 +DA:1329,32 +DA:1330,32 +DA:1331,32 +DA:1332,32 +DA:1333,32 +DA:1334,32 +DA:1335,32 +DA:1336,32 +DA:1337,32 +DA:1338,32 +DA:1339,32 +DA:1340,32 +DA:1341,32 +DA:1342,32 +DA:1343,32 +DA:1344,32 +DA:1345,32 +DA:1346,32 +DA:1347,32 +DA:1348,32 +DA:1350,2 +DA:1351,1 +DA:1352,1 +DA:1353,1 +DA:1354,1 +DA:1355,1 +DA:1356,1 +DA:1357,1 +DA:1358,1 +DA:1359,1 +DA:1360,1 +DA:1361,1 +DA:1362,1 +DA:1363,1 +DA:1364,1 +DA:1365,1 +DA:1366,1 +DA:1367,1 +DA:1368,1 +DA:1369,1 +DA:1370,1 +DA:1371,1 +DA:1372,1 +DA:1373,1 +DA:1374,1 +DA:1375,1 +DA:1376,1 +DA:1378,63696 +DA:1379,127392 +DA:1380,0 +DA:1383,0 +DA:1385,0 +DA:1386,0 +DA:1388,0 +DA:1389,0 +DA:1390,0 +DA:1391,0 +DA:1393,0 +DA:1395,0 +DA:1396,0 +DA:1397,0 +DA:1399,0 +DA:1401,0 +DA:1402,0 +DA:1403,0 +DA:1404,0 +DA:1405,0 +DA:1407,0 +DA:1409,0 +DA:1410,0 +DA:1411,0 +DA:1413,0 +DA:1414,0 +DA:1415,0 +DA:1418,0 +DA:1419,0 +DA:1420,0 +DA:1422,14 +DA:1423,7 +DA:1424,7 +DA:1426,0 +DA:1427,0 +DA:1428,0 +DA:1430,0 +DA:1431,0 +DA:1432,0 +DA:1434,63729 +DA:1435,63729 +DA:1436,63729 +DA:1437,63729 +DA:1438,63729 +DA:1439,63729 +DA:1440,63729 +DA:1441,63729 +DA:1442,63729 +DA:1443,63729 +DA:1444,63729 +DA:1445,63729 +DA:1446,63729 +DA:1447,63729 +DA:1448,63729 +DA:1449,63729 +DA:1450,63729 +DA:1451,63729 +DA:1452,63729 +DA:1453,63729 +DA:1454,63729 +DA:1455,63729 +DA:1456,63729 +DA:1457,63729 +DA:1458,63729 +DA:1459,63729 +DA:1460,63729 +DA:1461,63729 +DA:1462,63729 +DA:1463,63729 +DA:1464,63729 +DA:1465,63729 +DA:1466,63729 +DA:1467,63729 +DA:1468,63729 +DA:1469,63729 +DA:1470,63729 +DA:1471,63729 +DA:1472,63729 +DA:1473,63729 +DA:1474,63729 +DA:1475,63729 +DA:1476,63729 +DA:1477,63729 +DA:1478,63729 +DA:1479,63729 +DA:1480,63729 +DA:1481,63729 +DA:1482,63729 +DA:1483,63729 +DA:1484,63729 +DA:1485,63729 +DA:1486,63729 +DA:1487,63729 +DA:1488,63729 +DA:1489,63729 +DA:1490,63729 +DA:1491,63729 +DA:1492,63729 +DA:1493,63729 +DA:1494,63729 +DA:1495,63729 +DA:1496,63729 +DA:1497,63729 +DA:1500,115 +DA:1515,127694 +DA:1516,4 +DA:1517,2 +DA:1518,2 +DA:1519,2 +DA:1520,2 +DA:1522,8152 +DA:1523,4076 +DA:1524,4076 +DA:1526,8152 +DA:1527,4076 +DA:1528,4076 +DA:1529,8152 +DA:1530,4076 +DA:1531,4076 +DA:1533,8150 +DA:1534,4075 +DA:1535,63847 +DA:1542,58 +DA:1745,17 +DA:1746,12 +DA:1747,12 +DA:1748,12 +DA:1749,12 +DA:1750,12 +DA:1751,12 +DA:1752,12 +DA:1753,12 +DA:1754,12 +DA:1755,12 +DA:1756,12 +DA:1757,12 +DA:1758,12 +DA:1759,12 +DA:1760,12 +DA:1761,12 +DA:1762,12 +DA:1763,12 +DA:1764,12 +DA:1765,12 +DA:1766,12 +DA:1767,12 +DA:1768,12 +DA:1769,12 +DA:1770,12 +DA:1771,12 +DA:1772,12 +DA:1773,12 +DA:1774,12 +DA:1775,12 +DA:1776,12 +DA:1777,12 +DA:1778,12 +DA:1779,12 +DA:1780,12 +DA:1781,12 +DA:1782,12 +DA:1783,12 +DA:1784,12 +DA:1785,12 +DA:1786,12 +DA:1787,12 +DA:1788,12 +DA:1789,12 +DA:1790,12 +DA:1791,12 +DA:1792,12 +DA:1793,12 +DA:1794,12 +DA:1795,12 +DA:1796,12 +DA:1797,12 +DA:1798,12 +DA:1799,12 +DA:1800,12 +DA:1801,12 +DA:1802,12 +DA:1803,12 +DA:1804,12 +DA:1805,12 +DA:1806,12 +DA:1807,12 +DA:1808,12 +DA:1809,12 +DA:1810,12 +DA:1811,12 +DA:1812,12 +DA:1813,12 +DA:1814,12 +DA:1815,12 +DA:1816,12 +DA:1817,12 +DA:1818,12 +DA:1819,12 +DA:1820,12 +DA:1821,12 +DA:1822,12 +DA:1823,12 +DA:1824,12 +DA:1825,12 +DA:1826,12 +DA:1827,12 +DA:1828,12 +DA:1829,12 +DA:1830,12 +DA:1831,12 +DA:1832,12 +DA:1833,12 +DA:1834,12 +DA:1835,12 +DA:1836,12 +DA:1837,12 +DA:1838,12 +DA:1839,12 +DA:1840,12 +DA:1841,12 +DA:1842,12 +DA:1843,12 +DA:1844,12 +DA:1845,12 +DA:1846,12 +DA:1847,12 +DA:1848,12 +DA:1849,12 +DA:1850,12 +DA:1851,12 +DA:1852,12 +DA:1853,12 +DA:1854,12 +DA:1855,12 +DA:1856,12 +DA:1857,12 +DA:1858,12 +DA:1859,12 +DA:1860,12 +DA:1861,12 +DA:1862,12 +DA:1863,12 +DA:1864,12 +DA:1865,12 +DA:1866,12 +DA:1867,12 +DA:1868,12 +DA:1869,12 +DA:1870,12 +DA:1871,12 +DA:1872,12 +DA:1873,12 +DA:1874,12 +DA:1875,12 +DA:1876,12 +DA:1877,12 +DA:1878,12 +DA:1879,12 +DA:1880,12 +DA:1881,12 +DA:1882,12 +DA:1883,12 +DA:1884,12 +DA:1885,12 +DA:1886,12 +DA:1887,12 +DA:1888,12 +DA:1889,12 +DA:1890,12 +DA:1891,12 +DA:1892,12 +DA:1893,12 +DA:1894,12 +DA:1895,12 +DA:1896,12 +DA:1897,12 +DA:1898,12 +DA:1899,12 +DA:1900,12 +DA:1901,12 +DA:1902,12 +DA:1903,12 +DA:1904,12 +DA:1905,12 +DA:1906,12 +DA:1907,12 +DA:1908,12 +DA:1909,12 +DA:1910,12 +DA:1911,12 +DA:1912,12 +DA:1913,12 +DA:1914,12 +DA:1915,12 +DA:1916,12 +DA:1917,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SCTable.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,165 +DA:64,184 +DA:65,162 +DA:66,162 +DA:67,618 +DA:68,35 +DA:69,32 +DA:70,101 +DA:71,102 +DA:72,11 +DA:73,19 +DA:74,20 +DA:75,21 +DA:92,9416 +DA:93,26 +DA:96,24 +DA:99,24 +DA:102,17 +DA:105,18 +DA:107,126 +DA:121,17 +DA:123,117 +DA:127,16 +DA:129,123 +DA:143,18 +DA:145,131 +DA:155,127694 +DA:156,8350 +DA:157,4175 +DA:164,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SCTable_1.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,474 +DA:64,168 +DA:65,162 +DA:66,172 +DA:67,167 +DA:68,622 +DA:69,56 +DA:70,31 +DA:71,32 +DA:72,87 +DA:73,97 +DA:74,13 +DA:75,17 +DA:76,18 +DA:77,16 +DA:94,9412 +DA:95,29 +DA:98,17 +DA:101,27 +DA:104,23 +DA:107,121 +DA:109,16 +DA:111,103 +DA:125,13 +DA:127,127 +DA:131,15 +DA:133,110 +DA:147,17 +DA:149,123 +DA:159,127694 +DA:160,8350 +DA:161,4175 +DA:168,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SCTable_2.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,858 +DA:64,172 +DA:65,159 +DA:66,181 +DA:67,181 +DA:68,648 +DA:69,123 +DA:70,31 +DA:71,34 +DA:72,96 +DA:73,79 +DA:74,15 +DA:75,16 +DA:76,18 +DA:77,19 +DA:94,9377 +DA:95,18 +DA:98,23 +DA:101,24 +DA:104,23 +DA:107,118 +DA:108,12 +DA:110,145 +DA:124,19 +DA:126,135 +DA:130,15 +DA:132,133 +DA:146,18 +DA:148,136 +DA:158,127694 +DA:159,8350 +DA:160,4175 +DA:167,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SCTable_3.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,35066 +DA:63,811 +DA:64,160 +DA:65,170 +DA:66,155 +DA:67,170 +DA:68,637 +DA:69,112 +DA:70,30 +DA:71,29 +DA:72,95 +DA:73,85 +DA:74,18 +DA:75,18 +DA:76,17 +DA:77,17 +DA:94,9383 +DA:95,22 +DA:98,19 +DA:101,20 +DA:104,19 +DA:107,131 +DA:108,12 +DA:110,125 +DA:124,15 +DA:126,101 +DA:130,18 +DA:132,139 +DA:146,11 +DA:148,97 +DA:158,127694 +DA:159,8350 +DA:160,4175 +DA:167,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SRAMTemplate_13.sv +DA:59,127786 +DA:60,62 +DA:61,90 +DA:62,78 +DA:63,8587 +DA:64,84 +DA:65,158 +DA:66,380 +DA:67,90 +DA:68,67 +DA:69,60 +DA:70,318 +DA:71,723 +DA:72,153 +DA:73,77 +DA:74,78 +DA:75,319 +DA:76,71 +DA:77,70 +DA:78,63 +DA:79,72 +DA:80,63 +DA:81,70 +DA:82,64 +DA:83,708 +DA:84,25 +DA:85,119 +DA:86,353 +DA:87,65 +DA:88,24 +DA:89,28 +DA:90,117 +DA:91,592 +DA:92,63 +DA:93,30 +DA:94,29 +DA:95,134 +DA:96,32 +DA:97,29 +DA:98,19 +DA:99,29 +DA:100,31 +DA:101,28 +DA:102,29 +DA:103,608 +DA:104,29 +DA:105,114 +DA:106,347 +DA:107,69 +DA:108,33 +DA:109,33 +DA:110,131 +DA:111,589 +DA:112,53 +DA:113,23 +DA:114,24 +DA:115,115 +DA:116,28 +DA:117,35 +DA:118,24 +DA:119,33 +DA:120,32 +DA:121,24 +DA:122,24 +DA:123,600 +DA:124,28 +DA:125,117 +DA:126,363 +DA:127,55 +DA:128,26 +DA:129,30 +DA:130,115 +DA:131,598 +DA:132,55 +DA:133,31 +DA:134,27 +DA:135,113 +DA:136,34 +DA:137,30 +DA:138,34 +DA:139,35 +DA:140,30 +DA:141,28 +DA:142,25 +DA:143,591 +DA:144,78 +DA:145,250 +DA:146,27 +DA:147,110 +DA:148,363 +DA:149,49 +DA:150,28 +DA:151,30 +DA:152,107 +DA:153,576 +DA:154,54 +DA:155,32 +DA:156,31 +DA:157,118 +DA:158,25 +DA:159,31 +DA:160,26 +DA:161,24 +DA:162,28 +DA:163,28 +DA:164,31 +DA:165,566 +DA:166,27 +DA:167,110 +DA:168,363 +DA:169,49 +DA:170,28 +DA:171,30 +DA:172,107 +DA:173,576 +DA:174,54 +DA:175,32 +DA:176,31 +DA:177,118 +DA:178,25 +DA:179,31 +DA:180,26 +DA:181,24 +DA:182,28 +DA:183,28 +DA:184,31 +DA:185,566 +DA:186,27 +DA:187,110 +DA:188,363 +DA:189,49 +DA:190,28 +DA:191,30 +DA:192,107 +DA:193,576 +DA:194,54 +DA:195,32 +DA:196,31 +DA:197,118 +DA:198,25 +DA:199,31 +DA:200,26 +DA:201,24 +DA:202,28 +DA:203,28 +DA:204,31 +DA:205,566 +DA:206,27 +DA:207,110 +DA:208,363 +DA:209,49 +DA:210,28 +DA:211,30 +DA:212,107 +DA:213,576 +DA:214,54 +DA:215,32 +DA:216,31 +DA:217,118 +DA:218,25 +DA:219,31 +DA:220,26 +DA:221,24 +DA:222,28 +DA:223,28 +DA:224,31 +DA:225,566 +DA:226,229 +DA:229,30005 +DA:230,86 +DA:231,89 +DA:238,127730 +DA:239,272 +DA:240,136 +DA:241,136 +DA:243,63729 +DA:244,63729 +DA:245,29908 +DA:246,14954 +DA:254,58 +DA:263,17 +DA:264,12 +DA:265,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SRAMTemplate_14.sv +DA:59,127786 +DA:60,62 +DA:61,201 +DA:62,309 +DA:63,25930 +DA:64,114 +DA:65,118 +DA:66,116 +DA:67,107 +DA:68,124 +DA:69,115 +DA:70,124 +DA:71,120 +DA:72,116 +DA:73,122 +DA:74,115 +DA:75,125 +DA:76,110 +DA:77,118 +DA:78,102 +DA:79,120 +DA:80,125 +DA:81,228 +DA:82,53 +DA:83,65 +DA:84,53 +DA:85,65 +DA:86,53 +DA:87,65 +DA:88,53 +DA:89,65 +DA:90,53 +DA:91,65 +DA:92,53 +DA:93,65 +DA:94,53 +DA:95,65 +DA:96,53 +DA:97,65 +DA:98,930 +DA:99,146 +DA:102,60355 +DA:103,294 +DA:104,195 +DA:111,336 +DA:112,127 +DA:113,144 +DA:114,137 +DA:115,118 +DA:116,136 +DA:117,119 +DA:118,125 +DA:119,140 +DA:120,136 +DA:121,138 +DA:122,135 +DA:123,155 +DA:124,132 +DA:125,131 +DA:126,121 +DA:127,146 +DA:128,510920 +DA:129,1088 +DA:130,544 +DA:131,544 +DA:132,544 +DA:134,254916 +DA:135,254916 +DA:136,60256 +DA:137,30128 +DA:138,33598 +DA:139,16799 +DA:142,510776 +DA:143,33238 +DA:144,16619 +DA:145,16619 +DA:146,16619 +DA:147,16619 +DA:148,16619 +DA:149,16619 +DA:150,16619 +DA:151,16619 +DA:152,16619 +DA:153,16619 +DA:154,16619 +DA:155,16619 +DA:156,16619 +DA:157,16619 +DA:158,16619 +DA:159,16619 +DA:167,232 +DA:195,68 +DA:196,48 +DA:197,48 +DA:198,48 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SRAMTemplate_15.sv +DA:59,127786 +DA:60,62 +DA:61,883 +DA:62,630 +DA:63,26102 +DA:64,341 +DA:65,2670 +DA:66,1013 +DA:67,339 +DA:68,2650 +DA:69,1006 +DA:70,331 +DA:71,261 +DA:72,123 +DA:73,41 +DA:74,123 +DA:75,45 +DA:76,63 +DA:79,478847 +DA:80,627 +DA:81,872 +DA:88,767 +DA:89,4860 +DA:90,4748 +DA:93,2043680 +DA:94,4352 +DA:95,2176 +DA:96,2176 +DA:97,2176 +DA:99,1019664 +DA:100,1019664 +DA:101,478514 +DA:102,239257 +DA:103,33968 +DA:104,16984 +DA:107,2043104 +DA:108,33448 +DA:109,16724 +DA:110,16724 +DA:118,928 +DA:132,272 +DA:133,192 +DA:134,192 +DA:135,192 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SRAMTemplate_34.sv +DA:59,127786 +DA:60,62 +DA:61,76 +DA:62,8636 +DA:63,62 +DA:64,59 +DA:65,62 +DA:66,49 +DA:67,65 +DA:68,56 +DA:69,58 +DA:70,62 +DA:71,59 +DA:72,29781 +DA:73,76 +DA:74,64 +DA:75,76 +DA:76,64 +DA:77,76 +DA:78,64 +DA:79,76 +DA:80,64 +DA:81,238101 +DA:85,36 +DA:86,32 +DA:87,29 +DA:88,38 +DA:89,30 +DA:90,29 +DA:91,29 +DA:92,27 +DA:93,12 +DA:94,22 +DA:95,130 +DA:96,150 +DA:97,110 +DA:98,116 +DA:101,61 +DA:102,63 +DA:103,46 +DA:104,61 +DA:105,68 +DA:106,61 +DA:107,60 +DA:108,53 +DA:109,85 +DA:110,63 +DA:111,59 +DA:112,68 +DA:113,61 +DA:114,77 +DA:115,75 +DA:116,71 +DA:117,75 +DA:118,127694 +DA:119,0 +DA:120,0 +DA:121,0 +DA:122,0 +DA:123,0 +DA:124,0 +DA:125,0 +DA:126,0 +DA:127,0 +DA:129,0 +DA:130,0 +DA:131,0 +DA:132,0 +DA:134,8312 +DA:135,4156 +DA:136,4156 +DA:137,4156 +DA:138,4156 +DA:139,4156 +DA:140,4156 +DA:141,4156 +DA:142,4156 +DA:145,127730 +DA:146,272 +DA:147,136 +DA:148,136 +DA:150,63729 +DA:151,12 +DA:152,6 +DA:153,8402 +DA:154,4201 +DA:162,58 +DA:192,17 +DA:193,12 +DA:194,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SRAMTemplate_35.sv +DA:59,127786 +DA:60,62 +DA:61,73 +DA:62,32986 +DA:63,641 +DA:64,677 +DA:65,697 +DA:66,680 +DA:67,150 +DA:68,131 +DA:69,101 +DA:70,101 +DA:71,97 +DA:72,97 +DA:73,354 +DA:79,349 +DA:80,351 +DA:81,339 +DA:82,350 +DA:83,59 +DA:84,96 +DA:85,444 +DA:86,475 +DA:87,205 +DA:88,242 +DA:91,675 +DA:92,684 +DA:93,694 +DA:94,722 +DA:95,352 +DA:96,768 +DA:97,790 +DA:98,815 +DA:99,792 +DA:100,510920 +DA:101,1088 +DA:102,544 +DA:103,544 +DA:104,544 +DA:105,544 +DA:107,254916 +DA:108,254916 +DA:109,60246 +DA:110,30123 +DA:111,82 +DA:112,41 +DA:113,33602 +DA:114,16801 +DA:117,510776 +DA:118,0 +DA:119,0 +DA:120,0 +DA:121,0 +DA:122,0 +DA:124,0 +DA:125,0 +DA:126,0 +DA:127,0 +DA:129,33242 +DA:130,16621 +DA:131,16621 +DA:132,16621 +DA:133,16621 +DA:141,232 +DA:165,68 +DA:166,48 +DA:167,48 +DA:168,48 +DA:169,48 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SRAMTemplate_39.sv +DA:59,127786 +DA:60,62 +DA:61,254 +DA:62,24520 +DA:63,100 +DA:64,846 +DA:65,197 +DA:66,4028 +DA:67,80 +DA:68,91 +DA:69,127 +DA:70,36 +DA:71,642 +DA:74,30522 +DA:75,258 +DA:76,209 +DA:83,296 +DA:84,6121 +DA:86,510920 +DA:87,1088 +DA:88,544 +DA:89,544 +DA:90,544 +DA:92,254916 +DA:93,254916 +DA:94,30538 +DA:95,15269 +DA:96,16920 +DA:97,8460 +DA:100,510776 +DA:101,16660 +DA:102,8330 +DA:109,232 +DA:122,68 +DA:123,48 +DA:124,48 +DA:125,48 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/SRAMTemplate_43.sv +DA:59,127786 +DA:60,62 +DA:61,394 +DA:62,8451 +DA:63,163 +DA:64,1362 +DA:65,279 +DA:66,6059 +DA:67,143 +DA:68,1335 +DA:69,298 +DA:70,6041 +DA:71,129 +DA:72,95 +DA:73,122 +DA:74,33 +DA:75,607 +DA:76,122 +DA:77,33 +DA:78,607 +DA:79,255572 +DA:82,45847 +DA:83,396 +DA:84,327 +DA:91,446 +DA:92,9156 +DA:93,9286 +DA:96,766380 +DA:97,1632 +DA:98,816 +DA:99,816 +DA:100,816 +DA:102,382374 +DA:103,382374 +DA:104,45808 +DA:105,22904 +DA:106,25366 +DA:107,12683 +DA:110,766164 +DA:111,24976 +DA:112,12488 +DA:113,12488 +DA:121,348 +DA:135,102 +DA:136,72 +DA:137,72 +DA:138,72 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/TageBTable.sv +DA:59,127786 +DA:60,62 +DA:61,52 +DA:62,76 +DA:63,10224 +DA:64,52 +DA:65,62 +DA:66,28 +DA:67,26 +DA:68,689 +DA:69,29 +DA:70,29 +DA:71,13 +DA:72,18 +DA:75,35 +DA:76,37 +DA:84,56 +DA:85,119199 +DA:86,8456 +DA:88,32 +DA:93,44 +DA:112,127730 +DA:113,272 +DA:114,136 +DA:115,136 +DA:117,63729 +DA:118,63729 +DA:119,63729 +DA:122,127694 +DA:123,8350 +DA:124,4175 +DA:131,58 +DA:141,17 +DA:142,12 +DA:143,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/TageTable.sv +DA:59,127786 +DA:60,62 +DA:61,58 +DA:62,76 +DA:63,10224 +DA:64,295 +DA:65,760 +DA:66,16 +DA:67,109 +DA:68,34 +DA:69,27 +DA:70,12 +DA:71,110 +DA:72,34 +DA:73,31 +DA:74,615 +DA:75,122 +DA:76,102 +DA:77,32 +DA:78,40 +DA:79,13 +DA:80,20 +DA:81,15 +DA:82,15 +DA:83,42 +DA:84,38 +DA:85,14 +DA:86,13 +DA:87,11 +DA:88,12 +DA:89,38 +DA:90,31 +DA:93,15 +DA:94,18 +DA:95,16 +DA:96,19 +DA:97,15 +DA:98,14 +DA:99,14 +DA:100,16 +DA:101,52 +DA:160,69 +DA:161,66 +DA:162,47 +DA:165,9328 +DA:166,8020 +DA:167,39 +DA:168,55 +DA:169,45 +DA:170,30 +DA:171,31 +DA:172,27 +DA:173,26 +DA:174,26 +DA:228,112 +DA:229,13 +DA:230,19 +DA:231,16 +DA:232,122 +DA:233,65 +DA:238,68 +DA:243,68 +DA:248,71 +DA:264,22 +DA:273,46 +DA:292,18 +DA:300,43 +DA:321,21 +DA:326,50 +DA:345,12 +DA:350,48 +DA:371,20 +DA:376,55 +DA:395,20 +DA:400,54 +DA:423,22 +DA:428,56 +DA:449,16 +DA:454,48 +DA:474,127694 +DA:475,8350 +DA:476,4175 +DA:477,4175 +DA:478,4175 +DA:479,4175 +DA:480,4175 +DA:481,4175 +DA:483,8350 +DA:484,4175 +DA:485,4175 +DA:486,4175 +DA:487,4175 +DA:490,127730 +DA:491,272 +DA:492,136 +DA:494,63729 +DA:495,63729 +DA:496,63729 +DA:497,63729 +DA:504,58 +DA:524,17 +DA:525,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/TageTable_1.sv +DA:59,127786 +DA:60,62 +DA:61,57 +DA:62,76 +DA:63,10224 +DA:64,828 +DA:65,300 +DA:66,1122 +DA:67,15 +DA:68,91 +DA:69,24 +DA:70,24 +DA:71,18 +DA:72,95 +DA:73,30 +DA:74,30 +DA:75,599 +DA:76,99 +DA:77,113 +DA:78,166 +DA:79,22 +DA:80,26 +DA:81,18 +DA:82,13 +DA:83,15 +DA:84,17 +DA:85,47 +DA:86,38 +DA:87,15 +DA:88,13 +DA:89,14 +DA:90,15 +DA:91,29 +DA:92,36 +DA:95,15 +DA:96,15 +DA:97,15 +DA:98,16 +DA:99,18 +DA:100,17 +DA:101,16 +DA:102,13 +DA:103,55 +DA:161,8858 +DA:162,70 +DA:163,67 +DA:164,51 +DA:166,9360 +DA:167,7984 +DA:168,42 +DA:169,46 +DA:170,39 +DA:171,32 +DA:172,29 +DA:173,26 +DA:174,28 +DA:175,28 +DA:228,158 +DA:229,114 +DA:232,17 +DA:233,12 +DA:234,17 +DA:235,48 +DA:240,52 +DA:245,54 +DA:250,54 +DA:266,17 +DA:275,46 +DA:294,19 +DA:302,44 +DA:323,17 +DA:328,35 +DA:347,23 +DA:352,48 +DA:373,14 +DA:378,45 +DA:397,13 +DA:402,44 +DA:425,19 +DA:430,50 +DA:449,28 +DA:454,46 +DA:474,127694 +DA:475,8350 +DA:476,4175 +DA:477,4175 +DA:478,4175 +DA:479,4175 +DA:480,4175 +DA:481,4175 +DA:482,4175 +DA:483,4175 +DA:485,8350 +DA:486,4175 +DA:487,4175 +DA:488,4175 +DA:489,4175 +DA:492,127730 +DA:493,272 +DA:494,136 +DA:496,63729 +DA:497,63729 +DA:498,63729 +DA:499,63729 +DA:506,58 +DA:526,17 +DA:527,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/TageTable_2.sv +DA:59,127786 +DA:60,62 +DA:61,54 +DA:62,76 +DA:63,10224 +DA:64,1182 +DA:65,844 +DA:66,263 +DA:67,17 +DA:68,96 +DA:69,32 +DA:70,30 +DA:71,15 +DA:72,103 +DA:73,33 +DA:74,24 +DA:75,623 +DA:76,176 +DA:77,99 +DA:78,134 +DA:79,29 +DA:80,30 +DA:81,16 +DA:82,15 +DA:83,10 +DA:84,20 +DA:85,45 +DA:86,45 +DA:87,19 +DA:88,12 +DA:89,18 +DA:90,21 +DA:91,26 +DA:92,33 +DA:95,18 +DA:96,16 +DA:97,15 +DA:98,9 +DA:99,12 +DA:100,17 +DA:101,13 +DA:102,8 +DA:103,54 +DA:161,8862 +DA:162,68 +DA:163,62 +DA:164,58 +DA:166,9335 +DA:167,7999 +DA:168,36 +DA:169,44 +DA:170,37 +DA:171,34 +DA:172,29 +DA:173,36 +DA:174,25 +DA:175,31 +DA:228,173 +DA:229,130 +DA:232,18 +DA:233,19 +DA:234,17 +DA:235,57 +DA:240,57 +DA:245,62 +DA:250,68 +DA:266,14 +DA:275,57 +DA:294,21 +DA:302,51 +DA:323,15 +DA:328,44 +DA:347,17 +DA:352,45 +DA:373,18 +DA:378,47 +DA:397,15 +DA:402,43 +DA:425,18 +DA:430,57 +DA:449,22 +DA:454,50 +DA:474,127694 +DA:475,8350 +DA:476,4175 +DA:477,4175 +DA:478,4175 +DA:479,4175 +DA:480,4175 +DA:481,4175 +DA:482,4175 +DA:483,4175 +DA:485,8350 +DA:486,4175 +DA:487,4175 +DA:488,4175 +DA:489,4175 +DA:492,127730 +DA:493,272 +DA:494,136 +DA:496,63729 +DA:497,63729 +DA:498,63729 +DA:499,63729 +DA:506,58 +DA:526,17 +DA:527,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/TageTable_3.sv +DA:59,127786 +DA:60,62 +DA:61,54 +DA:62,76 +DA:63,10224 +DA:64,1102 +DA:65,930 +DA:66,711 +DA:67,14 +DA:68,100 +DA:69,29 +DA:70,26 +DA:71,16 +DA:72,107 +DA:73,29 +DA:74,23 +DA:75,641 +DA:76,185 +DA:77,121 +DA:78,102 +DA:79,30 +DA:80,31 +DA:81,12 +DA:82,17 +DA:83,15 +DA:84,16 +DA:85,49 +DA:86,52 +DA:87,17 +DA:88,14 +DA:89,16 +DA:90,22 +DA:91,28 +DA:92,23 +DA:95,18 +DA:96,16 +DA:97,18 +DA:98,16 +DA:99,15 +DA:100,12 +DA:101,19 +DA:102,13 +DA:103,54 +DA:161,26388 +DA:162,74 +DA:163,56 +DA:164,47 +DA:166,9319 +DA:167,8003 +DA:168,42 +DA:169,44 +DA:170,38 +DA:171,34 +DA:172,31 +DA:173,31 +DA:174,29 +DA:175,31 +DA:228,323 +DA:229,123 +DA:232,13 +DA:233,19 +DA:234,17 +DA:235,68 +DA:240,57 +DA:245,69 +DA:250,63 +DA:266,17 +DA:275,41 +DA:294,13 +DA:302,55 +DA:323,19 +DA:328,49 +DA:347,18 +DA:352,48 +DA:373,17 +DA:378,51 +DA:397,17 +DA:402,48 +DA:425,27 +DA:430,41 +DA:449,20 +DA:454,45 +DA:474,127694 +DA:475,8350 +DA:476,4175 +DA:477,4175 +DA:478,4175 +DA:479,4175 +DA:480,4175 +DA:481,4175 +DA:482,4175 +DA:483,4175 +DA:485,8350 +DA:486,4175 +DA:487,4175 +DA:488,4175 +DA:489,4175 +DA:492,127730 +DA:493,272 +DA:494,136 +DA:496,63729 +DA:497,63729 +DA:498,63729 +DA:499,63729 +DA:506,58 +DA:526,17 +DA:527,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/Tage_SC.sv +DA:59,127786 +DA:60,62 +DA:61,1105 +DA:62,10337 +DA:63,10224 +DA:64,35066 +DA:65,1182 +DA:66,1102 +DA:67,828 +DA:68,295 +DA:69,844 +DA:70,930 +DA:71,760 +DA:72,711 +DA:73,300 +DA:74,263 +DA:75,1122 +DA:76,474 +DA:77,858 +DA:78,811 +DA:79,75 +DA:80,68 +DA:81,61 +DA:82,64 +DA:83,64 +DA:84,55 +DA:85,75 +DA:86,67 +DA:87,75 +DA:88,64 +DA:89,82 +DA:90,62 +DA:91,84 +DA:92,66 +DA:93,70 +DA:94,69 +DA:95,4775 +DA:96,79 +DA:97,88 +DA:98,75 +DA:99,76 +DA:100,73 +DA:101,133 +DA:102,131 +DA:103,135 +DA:104,133 +DA:105,127 +DA:106,127 +DA:107,127 +DA:108,127 +DA:109,43 +DA:110,105 +DA:111,1143 +DA:112,310 +DA:113,322 +DA:114,196 +DA:115,243 +DA:116,108 +DA:117,240 +DA:118,197 +DA:119,244 +DA:120,217 +DA:121,202 +DA:122,219 +DA:123,233 +DA:124,231 +DA:125,339 +DA:126,35 +DA:127,33 +DA:128,28 +DA:129,32 +DA:130,33 +DA:131,24 +DA:132,40 +DA:133,45 +DA:134,30 +DA:135,4432 +DA:136,176 +DA:137,149 +DA:138,142 +DA:141,204 +DA:142,196 +DA:143,226 +DA:144,193 +DA:145,31 +DA:146,30 +DA:147,32 +DA:148,213 +DA:149,193 +DA:150,177 +DA:151,214 +DA:152,33 +DA:153,36 +DA:154,33 +DA:155,69 +DA:156,31 +DA:157,34 +DA:158,36 +DA:159,131 +DA:160,28 +DA:161,38 +DA:162,98 +DA:163,72 +DA:164,32 +DA:165,58 +DA:166,30 +DA:167,34 +DA:168,33 +DA:169,134 +DA:170,39 +DA:171,38 +DA:172,101 +DA:173,64 +DA:174,33 +DA:231,10114 +DA:232,79 +DA:233,102 +DA:234,173 +DA:235,169 +DA:236,152 +DA:237,161 +DA:238,88 +DA:239,100 +DA:240,87 +DA:241,84 +DA:242,91 +DA:243,85 +DA:244,93 +DA:245,85 +DA:246,94 +DA:247,91 +DA:248,90 +DA:249,92 +DA:250,93 +DA:251,108 +DA:252,99 +DA:253,92 +DA:254,96 +DA:255,83 +DA:256,89 +DA:257,90 +DA:258,94 +DA:259,91 +DA:260,102 +DA:261,96 +DA:262,97 +DA:263,91 +DA:264,92 +DA:265,99 +DA:266,95 +DA:267,91 +DA:268,97 +DA:269,86 +DA:270,88 +DA:271,91 +DA:272,97 +DA:273,89 +DA:274,83 +DA:275,89 +DA:276,101 +DA:277,88 +DA:278,95 +DA:279,96 +DA:280,97 +DA:281,90 +DA:282,82 +DA:283,90 +DA:284,89 +DA:285,85 +DA:286,94 +DA:287,86 +DA:288,90 +DA:289,87 +DA:290,99 +DA:291,96 +DA:292,95 +DA:293,88 +DA:294,77 +DA:295,96 +DA:296,87 +DA:297,89 +DA:298,91 +DA:299,84 +DA:300,96 +DA:301,89 +DA:302,86 +DA:303,76 +DA:304,99 +DA:305,98 +DA:306,94 +DA:307,94 +DA:308,97 +DA:309,98 +DA:310,90 +DA:311,100 +DA:312,86 +DA:313,90 +DA:314,89 +DA:315,85 +DA:316,86 +DA:317,90 +DA:318,82 +DA:319,93 +DA:320,91 +DA:321,83 +DA:322,82 +DA:323,87 +DA:324,96 +DA:325,101 +DA:326,83 +DA:327,90 +DA:328,103 +DA:329,84 +DA:330,89 +DA:331,98 +DA:332,76 +DA:333,88 +DA:334,97 +DA:335,79 +DA:336,98 +DA:337,93 +DA:338,85 +DA:339,99 +DA:340,90 +DA:341,98 +DA:342,96 +DA:343,83 +DA:344,88 +DA:345,96 +DA:346,105 +DA:347,91 +DA:348,98 +DA:349,104 +DA:350,89 +DA:351,78 +DA:352,89 +DA:353,84 +DA:354,81 +DA:355,98 +DA:356,88 +DA:357,86 +DA:358,83 +DA:359,86 +DA:360,92 +DA:361,87 +DA:362,87 +DA:363,90 +DA:364,92 +DA:365,102 +DA:366,87 +DA:367,86 +DA:368,92 +DA:369,96 +DA:370,101 +DA:371,93 +DA:372,98 +DA:373,83 +DA:374,98 +DA:375,95 +DA:376,89 +DA:377,90 +DA:378,90 +DA:379,100 +DA:380,104 +DA:381,95 +DA:382,86 +DA:383,98 +DA:384,92 +DA:385,89 +DA:386,103 +DA:387,94 +DA:388,96 +DA:389,98 +DA:390,91 +DA:391,100 +DA:392,90 +DA:393,103 +DA:394,87 +DA:395,97 +DA:396,97 +DA:397,88 +DA:398,92 +DA:399,97 +DA:400,103 +DA:401,86 +DA:402,88 +DA:403,94 +DA:404,94 +DA:405,99 +DA:406,84 +DA:407,82 +DA:408,100 +DA:409,85 +DA:410,101 +DA:411,106 +DA:412,91 +DA:413,88 +DA:414,88 +DA:415,84 +DA:416,76 +DA:417,89 +DA:418,83 +DA:419,100 +DA:420,96 +DA:421,92 +DA:422,102 +DA:423,98 +DA:424,89 +DA:425,93 +DA:426,82 +DA:427,86 +DA:428,96 +DA:429,86 +DA:430,97 +DA:431,106 +DA:432,100 +DA:433,92 +DA:434,94 +DA:435,95 +DA:436,83 +DA:437,96 +DA:438,94 +DA:439,81 +DA:440,84 +DA:441,87 +DA:442,92 +DA:443,89 +DA:444,98 +DA:445,82 +DA:446,74 +DA:447,99 +DA:448,92 +DA:449,84 +DA:450,94 +DA:451,101 +DA:452,97 +DA:453,97 +DA:454,92 +DA:455,91 +DA:456,92 +DA:457,89 +DA:458,106 +DA:459,92 +DA:460,86 +DA:461,94 +DA:462,103 +DA:463,87 +DA:464,90 +DA:465,99 +DA:466,99 +DA:467,91 +DA:468,101 +DA:469,85 +DA:470,96 +DA:471,95 +DA:472,90 +DA:473,87 +DA:474,95 +DA:475,74 +DA:476,94 +DA:477,89 +DA:478,88 +DA:479,89 +DA:480,88 +DA:481,92 +DA:482,99 +DA:483,112 +DA:484,105 +DA:485,105 +DA:486,97 +DA:487,95 +DA:488,94 +DA:489,79 +DA:490,89 +DA:491,86 +DA:492,104 +DA:493,95 +DA:494,29 +DA:495,31 +DA:496,60 +DA:497,64 +DA:498,101 +DA:499,28 +DA:500,34 +DA:501,102 +DA:502,26 +DA:503,34 +DA:504,31 +DA:505,30 +DA:506,27 +DA:507,26 +DA:508,28 +DA:509,34 +DA:510,28 +DA:511,39 +DA:512,28 +DA:513,33 +DA:514,30 +DA:515,35 +DA:516,71 +DA:517,58 +DA:518,19 +DA:521,21 +DA:525,122 +DA:526,90 +DA:527,15 +DA:528,32 +DA:529,22 +DA:532,2001218 +DA:535,100 +DA:544,121 +DA:545,93 +DA:546,13 +DA:547,34 +DA:548,14 +DA:551,2001199 +DA:555,111 +DA:564,38 +DA:565,32 +DA:566,13 +DA:567,15 +DA:568,42 +DA:569,14 +DA:570,11 +DA:571,29 +DA:572,22 +DA:573,18 +DA:574,15 +DA:575,47 +DA:576,15 +DA:577,14 +DA:578,26 +DA:579,29 +DA:580,16 +DA:581,10 +DA:582,45 +DA:583,19 +DA:584,18 +DA:585,28 +DA:586,30 +DA:587,12 +DA:588,15 +DA:589,49 +DA:590,17 +DA:591,16 +DA:592,31 +DA:593,40 +DA:594,20 +DA:595,15 +DA:596,38 +DA:597,13 +DA:598,12 +DA:599,615 +DA:600,122 +DA:601,102 +DA:602,36 +DA:603,26 +DA:604,13 +DA:605,17 +DA:606,38 +DA:607,13 +DA:608,15 +DA:609,599 +DA:610,99 +DA:611,113 +DA:612,166 +DA:613,33 +DA:614,30 +DA:615,15 +DA:616,20 +DA:617,45 +DA:618,12 +DA:619,21 +DA:620,623 +DA:621,176 +DA:622,99 +DA:623,134 +DA:624,23 +DA:625,31 +DA:626,17 +DA:627,16 +DA:628,52 +DA:629,14 +DA:630,22 +DA:631,641 +DA:632,185 +DA:633,121 +DA:634,102 +DA:635,28 +DA:636,26 +DA:637,29 +DA:638,29 +DA:639,689 +DA:640,13 +DA:641,18 +DA:642,110 +DA:643,182 +DA:644,113 +DA:645,174 +DA:646,258 +DA:647,292 +DA:648,99 +DA:649,195 +DA:650,191 +DA:651,194 +DA:652,197 +DA:653,184 +DA:654,191 +DA:655,189 +DA:656,195 +DA:657,35 +DA:658,30 +DA:659,26 +DA:660,37 +DA:661,108 +DA:671,265 +DA:676,293 +DA:677,285 +DA:678,104 +DA:679,168 +DA:680,184 +DA:681,191 +DA:682,188 +DA:683,191 +DA:684,191 +DA:685,191 +DA:686,167 +DA:687,33 +DA:688,31 +DA:689,38 +DA:690,36 +DA:691,101 +DA:701,282 +DA:706,35 +DA:707,11 +DA:708,20 +DA:709,101 +DA:710,31 +DA:711,13 +DA:712,18 +DA:713,87 +DA:714,31 +DA:715,15 +DA:716,18 +DA:717,96 +DA:718,30 +DA:719,18 +DA:720,17 +DA:721,95 +DA:722,32 +DA:723,19 +DA:724,21 +DA:725,102 +DA:726,618 +DA:727,32 +DA:728,17 +DA:729,16 +DA:730,97 +DA:731,622 +DA:732,56 +DA:733,34 +DA:734,16 +DA:735,19 +DA:736,79 +DA:737,648 +DA:738,123 +DA:739,29 +DA:740,18 +DA:741,17 +DA:742,85 +DA:743,637 +DA:744,112 +DA:745,84 +DA:746,112 +DA:747,58 +DA:748,95 +DA:749,69 +DA:750,72 +DA:775,32 +DA:863,103 +DA:871,16 +DA:874,17 +DA:883,25 +DA:885,18 +DA:890,25359 +DA:899,21731 +DA:905,13 +DA:908,11 +DA:911,20 +DA:913,17 +DA:915,12 +DA:916,33 +DA:1004,112 +DA:1012,14 +DA:1015,13 +DA:1024,33 +DA:1026,17 +DA:1031,25309 +DA:1041,17630 +DA:1047,18 +DA:1050,19 +DA:1053,15 +DA:1056,15 +DA:1058,14 +DA:1062,317 +DA:1063,332 +DA:1071,27 +DA:1087,278 +DA:1095,13 +DA:1100,326 +DA:1102,312 +DA:1111,42 +DA:1127,331 +DA:1136,14 +DA:1138,29 +DA:1144,32 +DA:1150,127694 +DA:1151,120 +DA:1152,60 +DA:1153,8350 +DA:1154,4175 +DA:1155,63847 +DA:1156,63847 +DA:1157,8252 +DA:1158,4126 +DA:1159,4126 +DA:1160,4126 +DA:1161,4126 +DA:1162,4126 +DA:1163,4126 +DA:1164,4126 +DA:1165,4126 +DA:1166,4126 +DA:1167,4126 +DA:1168,4126 +DA:1169,4126 +DA:1170,4126 +DA:1171,4126 +DA:1172,4126 +DA:1173,4126 +DA:1174,4126 +DA:1175,4126 +DA:1176,4126 +DA:1177,4126 +DA:1178,4126 +DA:1179,4126 +DA:1180,4126 +DA:1181,4126 +DA:1182,4126 +DA:1183,4126 +DA:1184,4126 +DA:1185,4126 +DA:1186,4126 +DA:1187,4126 +DA:1188,4126 +DA:1189,4126 +DA:1190,4126 +DA:1191,4126 +DA:1192,4126 +DA:1193,4126 +DA:1194,4126 +DA:1195,4126 +DA:1196,4126 +DA:1197,4126 +DA:1198,4126 +DA:1199,4126 +DA:1200,4126 +DA:1201,4126 +DA:1202,4126 +DA:1203,4126 +DA:1204,4126 +DA:1205,4126 +DA:1206,4126 +DA:1207,4126 +DA:1208,4126 +DA:1209,4126 +DA:1210,4126 +DA:1211,4126 +DA:1212,4126 +DA:1213,4126 +DA:1214,4126 +DA:1215,4126 +DA:1216,4126 +DA:1217,4126 +DA:1218,4126 +DA:1219,4126 +DA:1220,4126 +DA:1221,4126 +DA:1222,4126 +DA:1224,8252 +DA:1225,4126 +DA:1226,4126 +DA:1228,8252 +DA:1229,4126 +DA:1230,4126 +DA:1232,8252 +DA:1233,4126 +DA:1234,4126 +DA:1235,4126 +DA:1236,4126 +DA:1237,4126 +DA:1238,4126 +DA:1239,4126 +DA:1240,4126 +DA:1241,4126 +DA:1242,4126 +DA:1243,4126 +DA:1244,4126 +DA:1245,4126 +DA:1246,4126 +DA:1247,4126 +DA:1248,4126 +DA:1249,4126 +DA:1250,4126 +DA:1251,4126 +DA:1252,4126 +DA:1253,4126 +DA:1254,4126 +DA:1255,4126 +DA:1256,4126 +DA:1257,4126 +DA:1258,4126 +DA:1259,4126 +DA:1260,4126 +DA:1261,4126 +DA:1262,4126 +DA:1263,4126 +DA:1264,4126 +DA:1266,8150 +DA:1267,4075 +DA:1268,4075 +DA:1269,4075 +DA:1270,4075 +DA:1271,4075 +DA:1272,4075 +DA:1273,4075 +DA:1274,4075 +DA:1275,4075 +DA:1276,4075 +DA:1277,4075 +DA:1278,4075 +DA:1279,4075 +DA:1280,4075 +DA:1281,4075 +DA:1282,4075 +DA:1283,4075 +DA:1284,4075 +DA:1285,4075 +DA:1286,4075 +DA:1287,4075 +DA:1288,4075 +DA:1290,63847 +DA:1291,63847 +DA:1292,63847 +DA:1293,63847 +DA:1294,2 +DA:1295,1 +DA:1296,1 +DA:1297,1 +DA:1298,1 +DA:1299,1 +DA:1300,1 +DA:1301,1 +DA:1302,1 +DA:1303,1 +DA:1304,1 +DA:1305,1 +DA:1306,1 +DA:1307,1 +DA:1308,1 +DA:1309,1 +DA:1310,1 +DA:1311,1 +DA:1312,1 +DA:1313,1 +DA:1315,63847 +DA:1316,63847 +DA:1317,0 +DA:1318,0 +DA:1319,0 +DA:1320,0 +DA:1321,0 +DA:1322,0 +DA:1323,0 +DA:1324,0 +DA:1325,0 +DA:1326,0 +DA:1327,0 +DA:1328,0 +DA:1329,0 +DA:1330,0 +DA:1331,0 +DA:1332,0 +DA:1333,0 +DA:1334,0 +DA:1335,0 +DA:1336,0 +DA:1337,0 +DA:1338,0 +DA:1340,63847 +DA:1341,63847 +DA:1342,4 +DA:1343,2 +DA:1344,2 +DA:1345,2 +DA:1346,2 +DA:1347,2 +DA:1348,2 +DA:1349,2 +DA:1350,2 +DA:1351,2 +DA:1352,2 +DA:1353,2 +DA:1354,2 +DA:1355,2 +DA:1356,2 +DA:1357,2 +DA:1358,2 +DA:1359,2 +DA:1360,2 +DA:1361,2 +DA:1362,2 +DA:1363,2 +DA:1365,63847 +DA:1366,63847 +DA:1367,4 +DA:1368,2 +DA:1369,2 +DA:1370,2 +DA:1371,2 +DA:1372,2 +DA:1373,2 +DA:1374,2 +DA:1375,2 +DA:1376,2 +DA:1377,2 +DA:1378,2 +DA:1379,2 +DA:1380,2 +DA:1381,2 +DA:1382,2 +DA:1383,2 +DA:1384,2 +DA:1385,2 +DA:1386,2 +DA:1387,2 +DA:1388,2 +DA:1390,63847 +DA:1391,63847 +DA:1392,63847 +DA:1393,63847 +DA:1394,63847 +DA:1395,63847 +DA:1396,63847 +DA:1397,63847 +DA:1398,63847 +DA:1399,63847 +DA:1400,6 +DA:1401,3 +DA:1402,3 +DA:1403,3 +DA:1404,3 +DA:1405,3 +DA:1407,8150 +DA:1408,4075 +DA:1409,4075 +DA:1410,4075 +DA:1411,4075 +DA:1412,4075 +DA:1413,4075 +DA:1414,4075 +DA:1415,4075 +DA:1416,4075 +DA:1417,4075 +DA:1418,4075 +DA:1419,4075 +DA:1420,4075 +DA:1421,4075 +DA:1422,4075 +DA:1423,4075 +DA:1424,4075 +DA:1425,4075 +DA:1426,4075 +DA:1427,4075 +DA:1429,8150 +DA:1430,4075 +DA:1431,4075 +DA:1433,8150 +DA:1434,4075 +DA:1435,4075 +DA:1437,63847 +DA:1438,63847 +DA:1439,63847 +DA:1440,4 +DA:1441,2 +DA:1442,2 +DA:1443,2 +DA:1444,2 +DA:1445,2 +DA:1446,2 +DA:1447,2 +DA:1449,63847 +DA:1450,4 +DA:1451,2 +DA:1452,2 +DA:1453,2 +DA:1454,2 +DA:1455,2 +DA:1456,2 +DA:1457,2 +DA:1458,2 +DA:1459,2 +DA:1461,63847 +DA:1462,4 +DA:1463,2 +DA:1464,2 +DA:1465,2 +DA:1466,2 +DA:1467,2 +DA:1468,2 +DA:1469,2 +DA:1470,2 +DA:1471,2 +DA:1473,63847 +DA:1474,4 +DA:1475,2 +DA:1476,2 +DA:1477,2 +DA:1478,2 +DA:1479,2 +DA:1480,2 +DA:1481,2 +DA:1482,2 +DA:1483,2 +DA:1485,63847 +DA:1486,63847 +DA:1487,63847 +DA:1488,63847 +DA:1489,63847 +DA:1490,63847 +DA:1491,63847 +DA:1492,63847 +DA:1493,63847 +DA:1494,63847 +DA:1495,63847 +DA:1496,63847 +DA:1497,63847 +DA:1498,63847 +DA:1499,63847 +DA:1510,30 +DA:1520,28 +DA:1539,30 +DA:1549,28 +DA:1564,159 +DA:1577,208 +DA:1584,99 +DA:1713,35 +DA:1722,119 +DA:1851,25 +DA:1862,127730 +DA:1863,272 +DA:1864,136 +DA:1865,136 +DA:1866,136 +DA:1867,136 +DA:1868,136 +DA:1869,136 +DA:1870,136 +DA:1871,136 +DA:1872,136 +DA:1873,136 +DA:1874,136 +DA:1875,136 +DA:1876,136 +DA:1877,136 +DA:1878,136 +DA:1879,136 +DA:1880,136 +DA:1881,136 +DA:1882,136 +DA:1883,136 +DA:1884,136 +DA:1885,136 +DA:1886,136 +DA:1887,136 +DA:1888,136 +DA:1889,136 +DA:1890,136 +DA:1891,136 +DA:1892,136 +DA:1893,136 +DA:1894,136 +DA:1895,136 +DA:1896,136 +DA:1897,136 +DA:1898,136 +DA:1899,136 +DA:1900,136 +DA:1901,136 +DA:1902,136 +DA:1903,136 +DA:1904,136 +DA:1905,136 +DA:1906,136 +DA:1907,136 +DA:1908,136 +DA:1909,136 +DA:1910,136 +DA:1911,136 +DA:1912,136 +DA:1913,136 +DA:1914,136 +DA:1915,136 +DA:1916,136 +DA:1917,136 +DA:1918,136 +DA:1919,136 +DA:1920,136 +DA:1921,136 +DA:1922,136 +DA:1923,136 +DA:1924,136 +DA:1925,136 +DA:1926,136 +DA:1927,136 +DA:1928,136 +DA:1929,136 +DA:1930,136 +DA:1931,136 +DA:1932,136 +DA:1933,136 +DA:1934,136 +DA:1935,136 +DA:1936,136 +DA:1937,136 +DA:1938,136 +DA:1939,136 +DA:1940,136 +DA:1941,136 +DA:1942,136 +DA:1943,136 +DA:1944,136 +DA:1945,136 +DA:1946,136 +DA:1947,136 +DA:1948,136 +DA:1949,136 +DA:1950,136 +DA:1951,136 +DA:1952,136 +DA:1953,136 +DA:1954,136 +DA:1955,136 +DA:1956,136 +DA:1957,136 +DA:1958,136 +DA:1959,136 +DA:1960,136 +DA:1961,136 +DA:1962,136 +DA:1963,136 +DA:1964,136 +DA:1965,136 +DA:1966,136 +DA:1967,136 +DA:1968,136 +DA:1969,136 +DA:1970,136 +DA:1971,136 +DA:1972,136 +DA:1973,136 +DA:1974,136 +DA:1975,136 +DA:1976,136 +DA:1977,136 +DA:1978,136 +DA:1979,136 +DA:1980,136 +DA:1981,136 +DA:1982,136 +DA:1983,136 +DA:1984,136 +DA:1985,136 +DA:1986,136 +DA:1987,136 +DA:1988,136 +DA:1989,136 +DA:1990,136 +DA:1991,136 +DA:1992,136 +DA:1993,136 +DA:1994,136 +DA:1995,136 +DA:1996,136 +DA:1997,136 +DA:1998,136 +DA:1999,136 +DA:2000,136 +DA:2001,136 +DA:2002,136 +DA:2003,136 +DA:2004,136 +DA:2005,136 +DA:2006,136 +DA:2007,136 +DA:2008,136 +DA:2009,136 +DA:2010,136 +DA:2011,136 +DA:2012,136 +DA:2013,136 +DA:2014,136 +DA:2015,136 +DA:2016,136 +DA:2017,136 +DA:2018,136 +DA:2019,136 +DA:2020,136 +DA:2021,136 +DA:2022,136 +DA:2023,136 +DA:2024,136 +DA:2025,136 +DA:2026,136 +DA:2027,136 +DA:2028,136 +DA:2029,136 +DA:2030,136 +DA:2031,136 +DA:2032,136 +DA:2033,136 +DA:2034,136 +DA:2035,136 +DA:2036,136 +DA:2037,136 +DA:2038,136 +DA:2039,136 +DA:2040,136 +DA:2041,136 +DA:2042,136 +DA:2043,136 +DA:2044,136 +DA:2045,136 +DA:2046,136 +DA:2047,136 +DA:2048,136 +DA:2049,136 +DA:2050,136 +DA:2051,136 +DA:2052,136 +DA:2053,136 +DA:2054,136 +DA:2055,136 +DA:2056,136 +DA:2057,136 +DA:2058,136 +DA:2059,136 +DA:2060,136 +DA:2061,136 +DA:2062,136 +DA:2063,136 +DA:2064,136 +DA:2065,136 +DA:2066,136 +DA:2067,136 +DA:2068,136 +DA:2069,136 +DA:2070,136 +DA:2071,136 +DA:2072,136 +DA:2073,136 +DA:2074,136 +DA:2075,136 +DA:2076,136 +DA:2077,136 +DA:2078,136 +DA:2079,136 +DA:2080,136 +DA:2081,136 +DA:2082,136 +DA:2083,136 +DA:2084,136 +DA:2085,136 +DA:2086,136 +DA:2087,136 +DA:2088,136 +DA:2089,136 +DA:2090,136 +DA:2091,136 +DA:2092,136 +DA:2093,136 +DA:2094,136 +DA:2095,136 +DA:2096,136 +DA:2097,136 +DA:2098,136 +DA:2099,136 +DA:2100,136 +DA:2101,136 +DA:2102,136 +DA:2103,136 +DA:2104,136 +DA:2105,136 +DA:2106,136 +DA:2107,136 +DA:2108,136 +DA:2109,136 +DA:2110,136 +DA:2111,136 +DA:2112,136 +DA:2113,136 +DA:2114,136 +DA:2115,136 +DA:2116,136 +DA:2117,136 +DA:2118,136 +DA:2119,136 +DA:2120,136 +DA:2121,136 +DA:2122,136 +DA:2123,136 +DA:2124,136 +DA:2125,136 +DA:2126,136 +DA:2127,136 +DA:2128,136 +DA:2129,136 +DA:2131,63729 +DA:2132,4 +DA:2133,0 +DA:2134,0 +DA:2135,0 +DA:2137,0 +DA:2138,0 +DA:2139,0 +DA:2140,0 +DA:2142,0 +DA:2143,0 +DA:2144,0 +DA:2147,1 +DA:2148,0 +DA:2149,0 +DA:2150,0 +DA:2152,1 +DA:2153,1 +DA:2154,1 +DA:2158,2 +DA:2159,0 +DA:2160,0 +DA:2161,0 +DA:2163,2 +DA:2164,0 +DA:2165,0 +DA:2166,0 +DA:2168,1 +DA:2169,1 +DA:2170,1 +DA:2173,0 +DA:2174,0 +DA:2175,0 +DA:2176,0 +DA:2178,0 +DA:2179,0 +DA:2180,0 +DA:2184,0 +DA:2185,0 +DA:2186,0 +DA:2187,0 +DA:2188,0 +DA:2189,0 +DA:2190,0 +DA:2192,0 +DA:2194,0 +DA:2195,0 +DA:2196,0 +DA:2197,0 +DA:2198,0 +DA:2199,0 +DA:2200,0 +DA:2202,0 +DA:2204,0 +DA:2205,0 +DA:2206,0 +DA:2207,0 +DA:2208,0 +DA:2209,0 +DA:2210,0 +DA:2212,0 +DA:2214,0 +DA:2215,0 +DA:2216,0 +DA:2217,0 +DA:2218,0 +DA:2219,0 +DA:2220,0 +DA:2222,0 +DA:2224,0 +DA:2225,0 +DA:2226,0 +DA:2227,0 +DA:2228,0 +DA:2229,0 +DA:2230,0 +DA:2232,0 +DA:2234,0 +DA:2235,0 +DA:2236,0 +DA:2237,0 +DA:2238,0 +DA:2239,0 +DA:2240,0 +DA:2242,0 +DA:2244,0 +DA:2245,0 +DA:2246,0 +DA:2247,0 +DA:2248,0 +DA:2249,0 +DA:2250,0 +DA:2252,0 +DA:2254,0 +DA:2255,0 +DA:2256,0 +DA:2257,0 +DA:2258,0 +DA:2259,0 +DA:2260,0 +DA:2262,0 +DA:2264,0 +DA:2265,0 +DA:2266,0 +DA:2267,0 +DA:2268,0 +DA:2269,0 +DA:2270,0 +DA:2272,0 +DA:2274,0 +DA:2275,0 +DA:2276,0 +DA:2277,0 +DA:2278,0 +DA:2279,0 +DA:2280,0 +DA:2282,0 +DA:2284,0 +DA:2285,0 +DA:2286,0 +DA:2287,0 +DA:2288,0 +DA:2289,0 +DA:2290,0 +DA:2292,0 +DA:2294,0 +DA:2295,0 +DA:2296,0 +DA:2297,0 +DA:2298,0 +DA:2299,0 +DA:2300,0 +DA:2302,0 +DA:2304,0 +DA:2305,0 +DA:2306,0 +DA:2307,0 +DA:2308,0 +DA:2309,0 +DA:2310,0 +DA:2312,0 +DA:2314,0 +DA:2315,0 +DA:2316,0 +DA:2317,0 +DA:2318,0 +DA:2319,0 +DA:2320,0 +DA:2322,0 +DA:2324,0 +DA:2325,0 +DA:2326,0 +DA:2327,0 +DA:2328,0 +DA:2329,0 +DA:2330,0 +DA:2332,0 +DA:2334,0 +DA:2335,0 +DA:2336,0 +DA:2337,0 +DA:2338,0 +DA:2339,0 +DA:2340,0 +DA:2342,0 +DA:2344,0 +DA:2345,0 +DA:2346,0 +DA:2347,0 +DA:2348,0 +DA:2349,0 +DA:2350,0 +DA:2352,0 +DA:2354,0 +DA:2355,0 +DA:2356,0 +DA:2357,0 +DA:2358,0 +DA:2359,0 +DA:2360,0 +DA:2362,0 +DA:2364,0 +DA:2365,0 +DA:2366,0 +DA:2367,0 +DA:2368,0 +DA:2369,0 +DA:2370,0 +DA:2372,0 +DA:2374,0 +DA:2375,0 +DA:2376,0 +DA:2377,0 +DA:2378,0 +DA:2379,0 +DA:2380,0 +DA:2382,0 +DA:2384,0 +DA:2385,0 +DA:2386,0 +DA:2387,0 +DA:2388,0 +DA:2389,0 +DA:2390,0 +DA:2392,0 +DA:2394,0 +DA:2395,0 +DA:2396,0 +DA:2397,0 +DA:2398,0 +DA:2399,0 +DA:2400,0 +DA:2402,0 +DA:2404,0 +DA:2405,0 +DA:2406,0 +DA:2407,0 +DA:2408,0 +DA:2409,0 +DA:2410,0 +DA:2412,0 +DA:2414,0 +DA:2415,0 +DA:2416,0 +DA:2417,0 +DA:2418,0 +DA:2419,0 +DA:2420,0 +DA:2422,0 +DA:2424,0 +DA:2425,0 +DA:2426,0 +DA:2427,0 +DA:2428,0 +DA:2429,0 +DA:2430,0 +DA:2432,0 +DA:2434,0 +DA:2435,0 +DA:2436,0 +DA:2437,0 +DA:2438,0 +DA:2439,0 +DA:2440,0 +DA:2442,0 +DA:2444,0 +DA:2445,0 +DA:2446,0 +DA:2447,0 +DA:2448,0 +DA:2449,0 +DA:2450,0 +DA:2452,0 +DA:2454,0 +DA:2455,0 +DA:2456,0 +DA:2457,0 +DA:2458,0 +DA:2459,0 +DA:2460,0 +DA:2462,0 +DA:2464,0 +DA:2465,0 +DA:2466,0 +DA:2467,0 +DA:2468,0 +DA:2469,0 +DA:2470,0 +DA:2472,0 +DA:2474,0 +DA:2475,0 +DA:2476,0 +DA:2477,0 +DA:2478,0 +DA:2479,0 +DA:2480,0 +DA:2482,0 +DA:2484,0 +DA:2485,0 +DA:2486,0 +DA:2487,0 +DA:2488,0 +DA:2489,0 +DA:2490,0 +DA:2492,0 +DA:2494,0 +DA:2495,0 +DA:2496,0 +DA:2497,0 +DA:2498,0 +DA:2499,0 +DA:2500,0 +DA:2502,0 +DA:2504,0 +DA:2505,0 +DA:2506,0 +DA:2507,0 +DA:2508,0 +DA:2509,0 +DA:2510,0 +DA:2512,0 +DA:2514,0 +DA:2515,0 +DA:2516,0 +DA:2517,0 +DA:2518,0 +DA:2519,0 +DA:2520,0 +DA:2522,0 +DA:2524,0 +DA:2525,0 +DA:2526,0 +DA:2527,0 +DA:2528,0 +DA:2529,0 +DA:2530,0 +DA:2532,0 +DA:2534,0 +DA:2535,0 +DA:2536,0 +DA:2537,0 +DA:2538,0 +DA:2539,0 +DA:2540,0 +DA:2542,0 +DA:2544,0 +DA:2545,0 +DA:2546,0 +DA:2547,0 +DA:2548,0 +DA:2549,0 +DA:2550,0 +DA:2552,0 +DA:2554,0 +DA:2555,0 +DA:2556,0 +DA:2557,0 +DA:2558,0 +DA:2559,0 +DA:2560,0 +DA:2562,0 +DA:2564,0 +DA:2565,0 +DA:2566,0 +DA:2567,0 +DA:2568,0 +DA:2569,0 +DA:2570,0 +DA:2572,0 +DA:2574,0 +DA:2575,0 +DA:2576,0 +DA:2577,0 +DA:2578,0 +DA:2579,0 +DA:2580,0 +DA:2582,0 +DA:2584,0 +DA:2585,0 +DA:2586,0 +DA:2587,0 +DA:2588,0 +DA:2589,0 +DA:2590,0 +DA:2592,0 +DA:2594,0 +DA:2595,0 +DA:2596,0 +DA:2597,0 +DA:2598,0 +DA:2599,0 +DA:2600,0 +DA:2602,0 +DA:2604,0 +DA:2605,0 +DA:2606,0 +DA:2607,0 +DA:2608,0 +DA:2609,0 +DA:2610,0 +DA:2612,0 +DA:2614,0 +DA:2615,0 +DA:2616,0 +DA:2617,0 +DA:2618,0 +DA:2619,0 +DA:2620,0 +DA:2622,0 +DA:2624,0 +DA:2625,0 +DA:2626,0 +DA:2627,0 +DA:2628,0 +DA:2629,0 +DA:2630,0 +DA:2632,0 +DA:2634,0 +DA:2635,0 +DA:2636,0 +DA:2637,0 +DA:2638,0 +DA:2639,0 +DA:2640,0 +DA:2642,0 +DA:2644,0 +DA:2645,0 +DA:2646,0 +DA:2647,0 +DA:2648,0 +DA:2649,0 +DA:2650,0 +DA:2652,0 +DA:2654,0 +DA:2655,0 +DA:2656,0 +DA:2657,0 +DA:2658,0 +DA:2659,0 +DA:2660,0 +DA:2662,0 +DA:2664,0 +DA:2665,0 +DA:2666,0 +DA:2667,0 +DA:2668,0 +DA:2669,0 +DA:2670,0 +DA:2672,0 +DA:2674,0 +DA:2675,0 +DA:2676,0 +DA:2677,0 +DA:2678,0 +DA:2679,0 +DA:2680,0 +DA:2682,0 +DA:2684,0 +DA:2685,0 +DA:2686,0 +DA:2687,0 +DA:2688,0 +DA:2689,0 +DA:2690,0 +DA:2692,0 +DA:2694,0 +DA:2695,0 +DA:2696,0 +DA:2697,0 +DA:2698,0 +DA:2699,0 +DA:2700,0 +DA:2702,0 +DA:2704,0 +DA:2705,0 +DA:2706,0 +DA:2707,0 +DA:2708,0 +DA:2709,0 +DA:2710,0 +DA:2712,0 +DA:2714,0 +DA:2715,0 +DA:2716,0 +DA:2717,0 +DA:2718,0 +DA:2719,0 +DA:2720,0 +DA:2722,0 +DA:2724,0 +DA:2725,0 +DA:2726,0 +DA:2727,0 +DA:2728,0 +DA:2729,0 +DA:2730,0 +DA:2732,0 +DA:2734,0 +DA:2735,0 +DA:2736,0 +DA:2737,0 +DA:2738,0 +DA:2739,0 +DA:2740,0 +DA:2742,0 +DA:2744,0 +DA:2745,0 +DA:2746,0 +DA:2747,0 +DA:2748,0 +DA:2749,0 +DA:2750,0 +DA:2752,0 +DA:2754,0 +DA:2755,0 +DA:2756,0 +DA:2757,0 +DA:2758,0 +DA:2759,0 +DA:2760,0 +DA:2762,0 +DA:2764,0 +DA:2765,0 +DA:2766,0 +DA:2767,0 +DA:2768,0 +DA:2769,0 +DA:2770,0 +DA:2772,0 +DA:2774,0 +DA:2775,0 +DA:2776,0 +DA:2777,0 +DA:2778,0 +DA:2779,0 +DA:2780,0 +DA:2782,0 +DA:2784,0 +DA:2785,0 +DA:2786,0 +DA:2787,0 +DA:2788,0 +DA:2789,0 +DA:2790,0 +DA:2792,0 +DA:2794,0 +DA:2795,0 +DA:2796,0 +DA:2797,0 +DA:2798,0 +DA:2799,0 +DA:2800,0 +DA:2802,0 +DA:2804,0 +DA:2805,0 +DA:2806,0 +DA:2807,0 +DA:2808,0 +DA:2809,0 +DA:2810,0 +DA:2812,0 +DA:2814,0 +DA:2815,0 +DA:2816,0 +DA:2817,0 +DA:2818,0 +DA:2819,0 +DA:2820,0 +DA:2822,0 +DA:2824,0 +DA:2825,0 +DA:2826,0 +DA:2827,0 +DA:2828,0 +DA:2829,0 +DA:2830,0 +DA:2832,0 +DA:2834,0 +DA:2835,0 +DA:2836,0 +DA:2837,0 +DA:2838,0 +DA:2839,0 +DA:2840,0 +DA:2842,0 +DA:2844,0 +DA:2845,0 +DA:2846,0 +DA:2847,0 +DA:2848,0 +DA:2849,0 +DA:2850,0 +DA:2852,0 +DA:2854,0 +DA:2855,0 +DA:2856,0 +DA:2857,0 +DA:2858,0 +DA:2859,0 +DA:2860,0 +DA:2862,0 +DA:2864,0 +DA:2865,0 +DA:2866,0 +DA:2867,0 +DA:2868,0 +DA:2869,0 +DA:2870,0 +DA:2872,0 +DA:2874,0 +DA:2875,0 +DA:2876,0 +DA:2877,0 +DA:2878,0 +DA:2879,0 +DA:2880,0 +DA:2882,0 +DA:2884,0 +DA:2885,0 +DA:2886,0 +DA:2887,0 +DA:2888,0 +DA:2889,0 +DA:2890,0 +DA:2892,0 +DA:2894,0 +DA:2895,0 +DA:2896,0 +DA:2897,0 +DA:2898,0 +DA:2899,0 +DA:2900,0 +DA:2902,0 +DA:2904,0 +DA:2905,0 +DA:2906,0 +DA:2907,0 +DA:2908,0 +DA:2909,0 +DA:2910,0 +DA:2912,0 +DA:2914,0 +DA:2915,0 +DA:2916,0 +DA:2917,0 +DA:2918,0 +DA:2919,0 +DA:2920,0 +DA:2922,0 +DA:2924,0 +DA:2925,0 +DA:2926,0 +DA:2927,0 +DA:2928,0 +DA:2929,0 +DA:2930,0 +DA:2932,0 +DA:2934,0 +DA:2935,0 +DA:2936,0 +DA:2937,0 +DA:2938,0 +DA:2939,0 +DA:2940,0 +DA:2942,0 +DA:2944,0 +DA:2945,0 +DA:2946,0 +DA:2947,0 +DA:2948,0 +DA:2949,0 +DA:2950,0 +DA:2952,0 +DA:2954,0 +DA:2955,0 +DA:2956,0 +DA:2957,0 +DA:2958,0 +DA:2959,0 +DA:2960,0 +DA:2962,0 +DA:2964,0 +DA:2965,0 +DA:2966,0 +DA:2967,0 +DA:2968,0 +DA:2969,0 +DA:2970,0 +DA:2972,0 +DA:2974,0 +DA:2975,0 +DA:2976,0 +DA:2977,0 +DA:2978,0 +DA:2979,0 +DA:2980,0 +DA:2982,0 +DA:2984,0 +DA:2985,0 +DA:2986,0 +DA:2987,0 +DA:2988,0 +DA:2989,0 +DA:2990,0 +DA:2992,0 +DA:2994,0 +DA:2995,0 +DA:2996,0 +DA:2997,0 +DA:2998,0 +DA:2999,0 +DA:3000,0 +DA:3002,0 +DA:3004,0 +DA:3005,0 +DA:3006,0 +DA:3007,0 +DA:3008,0 +DA:3009,0 +DA:3010,0 +DA:3012,0 +DA:3014,0 +DA:3015,0 +DA:3016,0 +DA:3017,0 +DA:3018,0 +DA:3019,0 +DA:3020,0 +DA:3022,0 +DA:3024,0 +DA:3025,0 +DA:3026,0 +DA:3027,0 +DA:3028,0 +DA:3029,0 +DA:3030,0 +DA:3032,0 +DA:3034,0 +DA:3035,0 +DA:3036,0 +DA:3037,0 +DA:3038,0 +DA:3039,0 +DA:3040,0 +DA:3042,0 +DA:3044,0 +DA:3045,0 +DA:3046,0 +DA:3047,0 +DA:3048,0 +DA:3049,0 +DA:3050,0 +DA:3052,0 +DA:3054,0 +DA:3055,0 +DA:3056,0 +DA:3057,0 +DA:3058,0 +DA:3059,0 +DA:3060,0 +DA:3062,0 +DA:3064,0 +DA:3065,0 +DA:3066,0 +DA:3067,0 +DA:3068,0 +DA:3069,0 +DA:3070,0 +DA:3072,0 +DA:3074,0 +DA:3075,0 +DA:3076,0 +DA:3077,0 +DA:3078,0 +DA:3079,0 +DA:3080,0 +DA:3082,0 +DA:3084,0 +DA:3085,0 +DA:3086,0 +DA:3087,0 +DA:3088,0 +DA:3089,0 +DA:3090,0 +DA:3092,0 +DA:3094,0 +DA:3095,0 +DA:3096,0 +DA:3097,0 +DA:3098,0 +DA:3099,0 +DA:3100,0 +DA:3102,0 +DA:3104,0 +DA:3105,0 +DA:3106,0 +DA:3107,0 +DA:3108,0 +DA:3109,0 +DA:3110,0 +DA:3112,0 +DA:3114,0 +DA:3115,0 +DA:3116,0 +DA:3117,0 +DA:3118,0 +DA:3119,0 +DA:3120,0 +DA:3122,0 +DA:3124,0 +DA:3125,0 +DA:3126,0 +DA:3127,0 +DA:3128,0 +DA:3129,0 +DA:3130,0 +DA:3132,0 +DA:3134,0 +DA:3135,0 +DA:3136,0 +DA:3137,0 +DA:3138,0 +DA:3139,0 +DA:3140,0 +DA:3142,0 +DA:3144,0 +DA:3145,0 +DA:3146,0 +DA:3147,0 +DA:3148,0 +DA:3149,0 +DA:3150,0 +DA:3152,0 +DA:3154,0 +DA:3155,0 +DA:3156,0 +DA:3157,0 +DA:3158,0 +DA:3159,0 +DA:3160,0 +DA:3162,0 +DA:3164,0 +DA:3165,0 +DA:3166,0 +DA:3167,0 +DA:3168,0 +DA:3169,0 +DA:3170,0 +DA:3172,0 +DA:3174,0 +DA:3175,0 +DA:3176,0 +DA:3177,0 +DA:3178,0 +DA:3179,0 +DA:3180,0 +DA:3182,0 +DA:3184,0 +DA:3185,0 +DA:3186,0 +DA:3187,0 +DA:3188,0 +DA:3189,0 +DA:3190,0 +DA:3192,0 +DA:3194,0 +DA:3195,0 +DA:3196,0 +DA:3197,0 +DA:3198,0 +DA:3199,0 +DA:3200,0 +DA:3202,0 +DA:3204,0 +DA:3205,0 +DA:3206,0 +DA:3207,0 +DA:3208,0 +DA:3209,0 +DA:3210,0 +DA:3212,0 +DA:3214,0 +DA:3215,0 +DA:3216,0 +DA:3217,0 +DA:3218,0 +DA:3219,0 +DA:3220,0 +DA:3222,0 +DA:3224,0 +DA:3225,0 +DA:3226,0 +DA:3227,0 +DA:3228,0 +DA:3229,0 +DA:3230,0 +DA:3232,0 +DA:3234,0 +DA:3235,0 +DA:3236,0 +DA:3237,0 +DA:3238,0 +DA:3239,0 +DA:3240,0 +DA:3242,0 +DA:3244,0 +DA:3245,0 +DA:3246,0 +DA:3247,0 +DA:3248,0 +DA:3249,0 +DA:3250,0 +DA:3252,0 +DA:3254,0 +DA:3255,0 +DA:3256,0 +DA:3257,0 +DA:3258,0 +DA:3259,0 +DA:3260,0 +DA:3262,0 +DA:3264,0 +DA:3265,0 +DA:3266,0 +DA:3267,0 +DA:3268,0 +DA:3269,0 +DA:3270,0 +DA:3272,0 +DA:3274,0 +DA:3275,0 +DA:3276,0 +DA:3277,0 +DA:3278,0 +DA:3279,0 +DA:3280,0 +DA:3282,0 +DA:3284,0 +DA:3285,0 +DA:3286,0 +DA:3287,0 +DA:3288,0 +DA:3289,0 +DA:3290,0 +DA:3292,0 +DA:3294,0 +DA:3295,0 +DA:3296,0 +DA:3297,0 +DA:3298,0 +DA:3299,0 +DA:3300,0 +DA:3302,0 +DA:3304,0 +DA:3305,0 +DA:3306,0 +DA:3307,0 +DA:3308,0 +DA:3309,0 +DA:3310,0 +DA:3312,0 +DA:3314,0 +DA:3315,0 +DA:3316,0 +DA:3317,0 +DA:3318,0 +DA:3319,0 +DA:3320,0 +DA:3322,0 +DA:3324,0 +DA:3325,0 +DA:3326,0 +DA:3327,0 +DA:3328,0 +DA:3329,0 +DA:3330,0 +DA:3332,0 +DA:3334,0 +DA:3335,0 +DA:3336,0 +DA:3337,0 +DA:3338,0 +DA:3339,0 +DA:3340,0 +DA:3342,0 +DA:3344,0 +DA:3345,0 +DA:3346,0 +DA:3347,0 +DA:3348,0 +DA:3349,0 +DA:3350,0 +DA:3352,0 +DA:3354,0 +DA:3355,0 +DA:3356,0 +DA:3357,0 +DA:3358,0 +DA:3359,0 +DA:3360,0 +DA:3362,0 +DA:3364,0 +DA:3365,0 +DA:3366,0 +DA:3367,0 +DA:3368,0 +DA:3369,0 +DA:3370,0 +DA:3372,0 +DA:3374,0 +DA:3375,0 +DA:3376,0 +DA:3377,0 +DA:3378,0 +DA:3379,0 +DA:3380,0 +DA:3382,0 +DA:3384,0 +DA:3385,0 +DA:3386,0 +DA:3387,0 +DA:3388,0 +DA:3389,0 +DA:3390,0 +DA:3392,0 +DA:3394,0 +DA:3395,0 +DA:3396,0 +DA:3397,0 +DA:3398,0 +DA:3399,0 +DA:3400,0 +DA:3402,0 +DA:3404,0 +DA:3405,0 +DA:3406,0 +DA:3407,0 +DA:3408,0 +DA:3409,0 +DA:3410,0 +DA:3412,0 +DA:3414,0 +DA:3415,0 +DA:3416,0 +DA:3417,0 +DA:3418,0 +DA:3419,0 +DA:3420,0 +DA:3422,0 +DA:3424,0 +DA:3425,0 +DA:3426,0 +DA:3427,0 +DA:3428,0 +DA:3429,0 +DA:3430,0 +DA:3432,0 +DA:3434,0 +DA:3435,0 +DA:3436,0 +DA:3437,0 +DA:3438,0 +DA:3439,0 +DA:3440,0 +DA:3442,0 +DA:3444,0 +DA:3445,0 +DA:3446,0 +DA:3447,0 +DA:3448,0 +DA:3449,0 +DA:3450,0 +DA:3452,0 +DA:3454,0 +DA:3455,0 +DA:3456,0 +DA:3457,0 +DA:3458,0 +DA:3459,0 +DA:3460,0 +DA:3462,0 +DA:3464,0 +DA:3465,0 +DA:3466,0 +DA:3467,0 +DA:3468,0 +DA:3469,0 +DA:3470,0 +DA:3472,0 +DA:3474,0 +DA:3475,0 +DA:3476,0 +DA:3477,0 +DA:3478,0 +DA:3479,0 +DA:3480,0 +DA:3482,0 +DA:3484,0 +DA:3485,0 +DA:3486,0 +DA:3487,0 +DA:3488,0 +DA:3489,0 +DA:3490,0 +DA:3492,0 +DA:3494,0 +DA:3495,0 +DA:3496,0 +DA:3497,0 +DA:3498,0 +DA:3499,0 +DA:3500,0 +DA:3502,0 +DA:3504,0 +DA:3505,0 +DA:3506,0 +DA:3507,0 +DA:3508,0 +DA:3509,0 +DA:3510,0 +DA:3512,0 +DA:3514,0 +DA:3515,0 +DA:3516,0 +DA:3517,0 +DA:3518,0 +DA:3519,0 +DA:3520,0 +DA:3522,0 +DA:3524,0 +DA:3525,0 +DA:3526,0 +DA:3527,0 +DA:3528,0 +DA:3529,0 +DA:3530,0 +DA:3532,0 +DA:3534,0 +DA:3535,0 +DA:3536,0 +DA:3537,0 +DA:3538,0 +DA:3539,0 +DA:3540,0 +DA:3542,0 +DA:3544,0 +DA:3545,0 +DA:3546,0 +DA:3547,0 +DA:3548,0 +DA:3549,0 +DA:3550,0 +DA:3552,0 +DA:3554,0 +DA:3555,0 +DA:3556,0 +DA:3557,0 +DA:3558,0 +DA:3559,0 +DA:3560,0 +DA:3562,0 +DA:3564,0 +DA:3565,0 +DA:3566,0 +DA:3567,0 +DA:3568,0 +DA:3569,0 +DA:3570,0 +DA:3572,0 +DA:3574,0 +DA:3575,0 +DA:3576,0 +DA:3577,0 +DA:3578,0 +DA:3579,0 +DA:3580,0 +DA:3582,0 +DA:3584,0 +DA:3585,0 +DA:3586,0 +DA:3587,0 +DA:3588,0 +DA:3589,0 +DA:3590,0 +DA:3592,0 +DA:3594,0 +DA:3595,0 +DA:3596,0 +DA:3597,0 +DA:3598,0 +DA:3599,0 +DA:3600,0 +DA:3602,0 +DA:3604,0 +DA:3605,0 +DA:3606,0 +DA:3607,0 +DA:3608,0 +DA:3609,0 +DA:3610,0 +DA:3612,0 +DA:3614,0 +DA:3615,0 +DA:3616,0 +DA:3617,0 +DA:3618,0 +DA:3619,0 +DA:3620,0 +DA:3622,0 +DA:3624,0 +DA:3625,0 +DA:3626,0 +DA:3627,0 +DA:3628,0 +DA:3629,0 +DA:3630,0 +DA:3632,0 +DA:3634,0 +DA:3635,0 +DA:3636,0 +DA:3637,0 +DA:3638,0 +DA:3639,0 +DA:3640,0 +DA:3642,0 +DA:3644,0 +DA:3645,0 +DA:3646,0 +DA:3647,0 +DA:3648,0 +DA:3649,0 +DA:3650,0 +DA:3652,0 +DA:3654,0 +DA:3655,0 +DA:3656,0 +DA:3657,0 +DA:3658,0 +DA:3659,0 +DA:3660,0 +DA:3662,0 +DA:3664,0 +DA:3665,0 +DA:3666,0 +DA:3667,0 +DA:3668,0 +DA:3669,0 +DA:3670,0 +DA:3672,0 +DA:3674,0 +DA:3675,0 +DA:3676,0 +DA:3677,0 +DA:3678,0 +DA:3679,0 +DA:3680,0 +DA:3682,0 +DA:3684,0 +DA:3685,0 +DA:3686,0 +DA:3687,0 +DA:3688,0 +DA:3689,0 +DA:3690,0 +DA:3692,0 +DA:3694,0 +DA:3695,0 +DA:3696,0 +DA:3697,0 +DA:3698,0 +DA:3699,0 +DA:3700,0 +DA:3702,0 +DA:3704,0 +DA:3705,0 +DA:3706,0 +DA:3707,0 +DA:3708,0 +DA:3709,0 +DA:3710,0 +DA:3712,0 +DA:3714,0 +DA:3715,0 +DA:3716,0 +DA:3717,0 +DA:3718,0 +DA:3719,0 +DA:3720,0 +DA:3722,0 +DA:3724,0 +DA:3725,0 +DA:3726,0 +DA:3727,0 +DA:3728,0 +DA:3729,0 +DA:3730,0 +DA:3732,0 +DA:3734,0 +DA:3735,0 +DA:3736,0 +DA:3737,0 +DA:3738,0 +DA:3739,0 +DA:3740,0 +DA:3742,0 +DA:3744,0 +DA:3745,0 +DA:3746,0 +DA:3747,0 +DA:3748,0 +DA:3749,0 +DA:3750,0 +DA:3752,0 +DA:3754,0 +DA:3755,0 +DA:3756,0 +DA:3757,0 +DA:3758,0 +DA:3759,0 +DA:3760,0 +DA:3762,0 +DA:3764,0 +DA:3765,0 +DA:3766,0 +DA:3767,0 +DA:3768,0 +DA:3769,0 +DA:3770,0 +DA:3772,0 +DA:3774,0 +DA:3775,0 +DA:3776,0 +DA:3777,0 +DA:3778,0 +DA:3779,0 +DA:3780,0 +DA:3782,0 +DA:3784,0 +DA:3785,0 +DA:3786,0 +DA:3787,0 +DA:3788,0 +DA:3789,0 +DA:3790,0 +DA:3792,0 +DA:3794,0 +DA:3795,0 +DA:3796,0 +DA:3797,0 +DA:3798,0 +DA:3799,0 +DA:3800,0 +DA:3802,0 +DA:3804,0 +DA:3805,0 +DA:3806,0 +DA:3807,0 +DA:3808,0 +DA:3809,0 +DA:3810,0 +DA:3812,0 +DA:3814,0 +DA:3815,0 +DA:3816,0 +DA:3817,0 +DA:3818,0 +DA:3819,0 +DA:3820,0 +DA:3822,0 +DA:3824,0 +DA:3825,0 +DA:3826,0 +DA:3827,0 +DA:3828,0 +DA:3829,0 +DA:3830,0 +DA:3832,0 +DA:3834,0 +DA:3835,0 +DA:3836,0 +DA:3837,0 +DA:3838,0 +DA:3839,0 +DA:3840,0 +DA:3842,0 +DA:3844,0 +DA:3845,0 +DA:3846,0 +DA:3847,0 +DA:3848,0 +DA:3849,0 +DA:3850,0 +DA:3852,0 +DA:3854,0 +DA:3855,0 +DA:3856,0 +DA:3857,0 +DA:3858,0 +DA:3859,0 +DA:3860,0 +DA:3862,0 +DA:3864,0 +DA:3865,0 +DA:3866,0 +DA:3867,0 +DA:3868,0 +DA:3869,0 +DA:3870,0 +DA:3872,0 +DA:3874,0 +DA:3875,0 +DA:3876,0 +DA:3877,0 +DA:3878,0 +DA:3879,0 +DA:3880,0 +DA:3882,0 +DA:3884,0 +DA:3885,0 +DA:3886,0 +DA:3887,0 +DA:3888,0 +DA:3889,0 +DA:3890,0 +DA:3892,0 +DA:3894,0 +DA:3895,0 +DA:3896,0 +DA:3897,0 +DA:3898,0 +DA:3899,0 +DA:3900,0 +DA:3902,0 +DA:3904,0 +DA:3905,0 +DA:3906,0 +DA:3907,0 +DA:3908,0 +DA:3909,0 +DA:3910,0 +DA:3912,0 +DA:3914,0 +DA:3915,0 +DA:3916,0 +DA:3917,0 +DA:3918,0 +DA:3919,0 +DA:3920,0 +DA:3922,0 +DA:3924,0 +DA:3925,0 +DA:3926,0 +DA:3927,0 +DA:3928,0 +DA:3929,0 +DA:3930,0 +DA:3932,0 +DA:3934,0 +DA:3935,0 +DA:3936,0 +DA:3937,0 +DA:3938,0 +DA:3939,0 +DA:3940,0 +DA:3942,0 +DA:3944,0 +DA:3945,0 +DA:3946,0 +DA:3947,0 +DA:3948,0 +DA:3949,0 +DA:3950,0 +DA:3952,0 +DA:3954,0 +DA:3955,0 +DA:3956,0 +DA:3957,0 +DA:3958,0 +DA:3959,0 +DA:3960,0 +DA:3962,0 +DA:3964,0 +DA:3965,0 +DA:3966,0 +DA:3967,0 +DA:3968,0 +DA:3969,0 +DA:3970,0 +DA:3972,0 +DA:3974,0 +DA:3975,0 +DA:3976,0 +DA:3977,0 +DA:3978,0 +DA:3979,0 +DA:3980,0 +DA:3982,0 +DA:3984,0 +DA:3985,0 +DA:3986,0 +DA:3987,0 +DA:3988,0 +DA:3989,0 +DA:3990,0 +DA:3992,0 +DA:3994,0 +DA:3995,0 +DA:3996,0 +DA:3997,0 +DA:3998,0 +DA:3999,0 +DA:4000,0 +DA:4002,0 +DA:4004,0 +DA:4005,0 +DA:4006,0 +DA:4007,0 +DA:4008,0 +DA:4009,0 +DA:4010,0 +DA:4012,0 +DA:4014,0 +DA:4015,0 +DA:4016,0 +DA:4017,0 +DA:4018,0 +DA:4019,0 +DA:4020,0 +DA:4022,0 +DA:4024,0 +DA:4025,0 +DA:4026,0 +DA:4027,0 +DA:4028,0 +DA:4029,0 +DA:4030,0 +DA:4032,0 +DA:4034,0 +DA:4035,0 +DA:4036,0 +DA:4037,0 +DA:4038,0 +DA:4039,0 +DA:4040,0 +DA:4042,0 +DA:4044,0 +DA:4045,0 +DA:4046,0 +DA:4047,0 +DA:4048,0 +DA:4049,0 +DA:4050,0 +DA:4052,0 +DA:4054,0 +DA:4055,0 +DA:4056,0 +DA:4057,0 +DA:4058,0 +DA:4059,0 +DA:4060,0 +DA:4062,0 +DA:4064,0 +DA:4065,0 +DA:4066,0 +DA:4067,0 +DA:4068,0 +DA:4069,0 +DA:4070,0 +DA:4072,0 +DA:4074,0 +DA:4075,0 +DA:4076,0 +DA:4077,0 +DA:4078,0 +DA:4079,0 +DA:4080,0 +DA:4082,0 +DA:4084,0 +DA:4085,0 +DA:4086,0 +DA:4087,0 +DA:4088,0 +DA:4089,0 +DA:4090,0 +DA:4092,0 +DA:4094,0 +DA:4095,0 +DA:4096,0 +DA:4097,0 +DA:4098,0 +DA:4099,0 +DA:4100,0 +DA:4102,0 +DA:4104,0 +DA:4105,0 +DA:4106,0 +DA:4107,0 +DA:4108,0 +DA:4109,0 +DA:4110,0 +DA:4112,0 +DA:4114,0 +DA:4115,0 +DA:4116,0 +DA:4117,0 +DA:4118,0 +DA:4119,0 +DA:4120,0 +DA:4122,0 +DA:4124,0 +DA:4125,0 +DA:4126,0 +DA:4127,0 +DA:4128,0 +DA:4129,0 +DA:4130,0 +DA:4132,0 +DA:4134,0 +DA:4135,0 +DA:4136,0 +DA:4137,0 +DA:4138,0 +DA:4139,0 +DA:4140,0 +DA:4142,0 +DA:4144,0 +DA:4145,0 +DA:4146,0 +DA:4147,0 +DA:4148,0 +DA:4149,0 +DA:4150,0 +DA:4152,0 +DA:4154,0 +DA:4155,0 +DA:4156,0 +DA:4157,0 +DA:4158,0 +DA:4159,0 +DA:4160,0 +DA:4162,0 +DA:4164,0 +DA:4165,0 +DA:4166,0 +DA:4167,0 +DA:4168,0 +DA:4169,0 +DA:4170,0 +DA:4172,0 +DA:4174,0 +DA:4175,0 +DA:4176,0 +DA:4177,0 +DA:4178,0 +DA:4179,0 +DA:4180,0 +DA:4182,0 +DA:4184,0 +DA:4185,0 +DA:4186,0 +DA:4187,0 +DA:4188,0 +DA:4189,0 +DA:4190,0 +DA:4192,0 +DA:4194,0 +DA:4195,0 +DA:4196,0 +DA:4197,0 +DA:4198,0 +DA:4199,0 +DA:4200,0 +DA:4202,0 +DA:4204,0 +DA:4205,0 +DA:4206,0 +DA:4207,0 +DA:4208,0 +DA:4209,0 +DA:4210,0 +DA:4212,0 +DA:4214,0 +DA:4215,0 +DA:4216,0 +DA:4217,0 +DA:4218,0 +DA:4219,0 +DA:4220,0 +DA:4222,0 +DA:4224,0 +DA:4225,0 +DA:4226,0 +DA:4227,0 +DA:4228,0 +DA:4229,0 +DA:4230,0 +DA:4232,0 +DA:4234,0 +DA:4235,0 +DA:4236,0 +DA:4237,0 +DA:4238,0 +DA:4239,0 +DA:4240,0 +DA:4242,0 +DA:4244,0 +DA:4245,0 +DA:4246,0 +DA:4247,0 +DA:4248,0 +DA:4249,0 +DA:4250,0 +DA:4252,0 +DA:4254,0 +DA:4255,0 +DA:4256,0 +DA:4257,0 +DA:4258,0 +DA:4259,0 +DA:4260,0 +DA:4262,0 +DA:4264,0 +DA:4265,0 +DA:4266,0 +DA:4267,0 +DA:4268,0 +DA:4269,0 +DA:4270,0 +DA:4272,0 +DA:4274,0 +DA:4275,0 +DA:4276,0 +DA:4277,0 +DA:4278,0 +DA:4279,0 +DA:4280,0 +DA:4282,0 +DA:4284,0 +DA:4285,0 +DA:4286,0 +DA:4287,0 +DA:4288,0 +DA:4289,0 +DA:4290,0 +DA:4292,0 +DA:4294,0 +DA:4295,0 +DA:4296,0 +DA:4297,0 +DA:4298,0 +DA:4299,0 +DA:4300,0 +DA:4302,0 +DA:4304,0 +DA:4305,0 +DA:4306,0 +DA:4307,0 +DA:4308,0 +DA:4309,0 +DA:4310,0 +DA:4312,0 +DA:4314,0 +DA:4315,0 +DA:4316,0 +DA:4317,0 +DA:4318,0 +DA:4319,0 +DA:4320,0 +DA:4322,0 +DA:4324,0 +DA:4325,0 +DA:4326,0 +DA:4327,0 +DA:4328,0 +DA:4329,0 +DA:4330,0 +DA:4332,0 +DA:4334,0 +DA:4335,0 +DA:4336,0 +DA:4337,0 +DA:4338,0 +DA:4339,0 +DA:4340,0 +DA:4342,0 +DA:4344,0 +DA:4345,0 +DA:4346,0 +DA:4347,0 +DA:4348,0 +DA:4349,0 +DA:4350,0 +DA:4352,0 +DA:4354,0 +DA:4355,0 +DA:4356,0 +DA:4357,0 +DA:4358,0 +DA:4359,0 +DA:4360,0 +DA:4362,0 +DA:4364,0 +DA:4365,0 +DA:4366,0 +DA:4367,0 +DA:4368,0 +DA:4369,0 +DA:4370,0 +DA:4372,0 +DA:4374,0 +DA:4375,0 +DA:4376,0 +DA:4377,0 +DA:4378,0 +DA:4379,0 +DA:4380,0 +DA:4382,0 +DA:4384,0 +DA:4385,0 +DA:4386,0 +DA:4387,0 +DA:4388,0 +DA:4389,0 +DA:4390,0 +DA:4392,0 +DA:4394,0 +DA:4395,0 +DA:4396,0 +DA:4397,0 +DA:4398,0 +DA:4399,0 +DA:4400,0 +DA:4402,0 +DA:4404,0 +DA:4405,0 +DA:4406,0 +DA:4407,0 +DA:4408,0 +DA:4409,0 +DA:4410,0 +DA:4412,0 +DA:4414,0 +DA:4415,0 +DA:4416,0 +DA:4417,0 +DA:4418,0 +DA:4419,0 +DA:4420,0 +DA:4422,0 +DA:4424,0 +DA:4425,0 +DA:4426,0 +DA:4427,0 +DA:4428,0 +DA:4429,0 +DA:4430,0 +DA:4432,0 +DA:4434,0 +DA:4435,0 +DA:4436,0 +DA:4437,0 +DA:4438,0 +DA:4439,0 +DA:4440,0 +DA:4442,0 +DA:4444,0 +DA:4445,0 +DA:4446,0 +DA:4447,0 +DA:4448,0 +DA:4449,0 +DA:4450,0 +DA:4452,0 +DA:4454,0 +DA:4455,0 +DA:4456,0 +DA:4457,0 +DA:4458,0 +DA:4459,0 +DA:4460,0 +DA:4462,0 +DA:4464,0 +DA:4465,0 +DA:4466,0 +DA:4467,0 +DA:4468,0 +DA:4469,0 +DA:4470,0 +DA:4472,0 +DA:4474,0 +DA:4475,0 +DA:4476,0 +DA:4477,0 +DA:4478,0 +DA:4479,0 +DA:4480,0 +DA:4482,0 +DA:4484,0 +DA:4485,0 +DA:4486,0 +DA:4487,0 +DA:4488,0 +DA:4489,0 +DA:4490,0 +DA:4492,0 +DA:4494,0 +DA:4495,0 +DA:4496,0 +DA:4497,0 +DA:4498,0 +DA:4499,0 +DA:4500,0 +DA:4502,0 +DA:4504,0 +DA:4505,0 +DA:4506,0 +DA:4507,0 +DA:4508,0 +DA:4509,0 +DA:4510,0 +DA:4512,0 +DA:4514,0 +DA:4515,0 +DA:4516,0 +DA:4517,0 +DA:4518,0 +DA:4519,0 +DA:4520,0 +DA:4522,0 +DA:4524,0 +DA:4525,0 +DA:4526,0 +DA:4527,0 +DA:4528,0 +DA:4529,0 +DA:4530,0 +DA:4532,0 +DA:4534,0 +DA:4535,0 +DA:4536,0 +DA:4537,0 +DA:4538,0 +DA:4539,0 +DA:4540,0 +DA:4542,0 +DA:4544,0 +DA:4545,0 +DA:4546,0 +DA:4547,0 +DA:4548,0 +DA:4549,0 +DA:4550,0 +DA:4552,0 +DA:4554,0 +DA:4555,0 +DA:4556,0 +DA:4557,0 +DA:4558,0 +DA:4559,0 +DA:4560,0 +DA:4562,0 +DA:4564,0 +DA:4565,0 +DA:4566,0 +DA:4567,0 +DA:4568,0 +DA:4569,0 +DA:4570,0 +DA:4572,0 +DA:4574,0 +DA:4575,0 +DA:4576,0 +DA:4577,0 +DA:4578,0 +DA:4579,0 +DA:4580,0 +DA:4582,0 +DA:4584,0 +DA:4585,0 +DA:4586,0 +DA:4587,0 +DA:4588,0 +DA:4589,0 +DA:4590,0 +DA:4592,0 +DA:4594,0 +DA:4595,0 +DA:4596,0 +DA:4597,0 +DA:4598,0 +DA:4599,0 +DA:4600,0 +DA:4602,0 +DA:4604,0 +DA:4605,0 +DA:4606,0 +DA:4607,0 +DA:4608,0 +DA:4609,0 +DA:4610,0 +DA:4612,0 +DA:4614,0 +DA:4615,0 +DA:4616,0 +DA:4617,0 +DA:4618,0 +DA:4619,0 +DA:4620,0 +DA:4622,0 +DA:4624,0 +DA:4625,0 +DA:4626,0 +DA:4627,0 +DA:4628,0 +DA:4629,0 +DA:4630,0 +DA:4632,0 +DA:4634,0 +DA:4635,0 +DA:4636,0 +DA:4637,0 +DA:4638,0 +DA:4639,0 +DA:4640,0 +DA:4642,0 +DA:4644,0 +DA:4645,0 +DA:4646,0 +DA:4647,0 +DA:4648,0 +DA:4649,0 +DA:4650,0 +DA:4652,0 +DA:4654,0 +DA:4655,0 +DA:4656,0 +DA:4657,0 +DA:4658,0 +DA:4659,0 +DA:4660,0 +DA:4662,0 +DA:4664,0 +DA:4665,0 +DA:4666,0 +DA:4667,0 +DA:4668,0 +DA:4669,0 +DA:4670,0 +DA:4672,0 +DA:4674,0 +DA:4675,0 +DA:4676,0 +DA:4677,0 +DA:4678,0 +DA:4679,0 +DA:4680,0 +DA:4682,0 +DA:4684,0 +DA:4685,0 +DA:4686,0 +DA:4687,0 +DA:4688,0 +DA:4689,0 +DA:4690,0 +DA:4692,0 +DA:4694,0 +DA:4695,0 +DA:4696,0 +DA:4697,0 +DA:4698,0 +DA:4699,0 +DA:4700,0 +DA:4702,0 +DA:4704,0 +DA:4705,0 +DA:4706,0 +DA:4707,0 +DA:4708,0 +DA:4709,0 +DA:4710,0 +DA:4712,0 +DA:4714,0 +DA:4715,0 +DA:4716,0 +DA:4717,0 +DA:4718,0 +DA:4719,0 +DA:4720,0 +DA:4722,0 +DA:4724,0 +DA:4725,0 +DA:4726,0 +DA:4727,0 +DA:4728,0 +DA:4729,0 +DA:4730,0 +DA:4732,0 +DA:4734,0 +DA:4735,0 +DA:4736,0 +DA:4737,0 +DA:4738,0 +DA:4739,0 +DA:4740,0 +DA:4742,0 +DA:4744,0 +DA:4745,0 +DA:4747,63729 +DA:4748,63729 +DA:4749,63729 +DA:4750,0 +DA:4751,0 +DA:4753,63729 +DA:4754,63729 +DA:4755,63729 +DA:4756,63729 +DA:4757,0 +DA:4759,0 +DA:4760,0 +DA:4761,0 +DA:4762,0 +DA:4763,0 +DA:4764,0 +DA:4765,0 +DA:4766,0 +DA:4767,0 +DA:4769,0 +DA:4770,0 +DA:4771,0 +DA:4772,0 +DA:4773,0 +DA:4775,0 +DA:4777,0 +DA:4778,0 +DA:4779,0 +DA:4780,0 +DA:4781,0 +DA:4782,0 +DA:4783,0 +DA:4784,0 +DA:4785,0 +DA:4787,0 +DA:4788,0 +DA:4789,0 +DA:4790,0 +DA:4791,0 +DA:4800,58 +DA:5297,17 +DA:5298,12 +DA:5299,12 +DA:5300,12 +DA:5301,12 +DA:5302,12 +DA:5303,12 +DA:5304,12 +DA:5305,12 +DA:5306,12 +DA:5307,12 +DA:5308,12 +DA:5309,12 +DA:5310,12 +DA:5311,12 +DA:5312,12 +DA:5313,12 +DA:5314,12 +DA:5315,12 +DA:5316,12 +DA:5317,12 +DA:5318,12 +DA:5319,12 +DA:5320,12 +DA:5321,12 +DA:5322,12 +DA:5323,12 +DA:5324,12 +DA:5325,12 +DA:5326,12 +DA:5327,12 +DA:5328,12 +DA:5329,12 +DA:5330,12 +DA:5331,12 +DA:5332,12 +DA:5333,12 +DA:5334,12 +DA:5335,12 +DA:5336,12 +DA:5337,12 +DA:5338,12 +DA:5339,12 +DA:5340,12 +DA:5341,12 +DA:5342,12 +DA:5343,12 +DA:5344,12 +DA:5345,12 +DA:5346,12 +DA:5347,12 +DA:5348,12 +DA:5349,12 +DA:5350,12 +DA:5351,12 +DA:5352,12 +DA:5353,12 +DA:5354,12 +DA:5355,12 +DA:5356,12 +DA:5357,12 +DA:5358,12 +DA:5359,12 +DA:5360,12 +DA:5361,12 +DA:5362,12 +DA:5363,12 +DA:5364,12 +DA:5365,12 +DA:5366,12 +DA:5367,12 +DA:5368,12 +DA:5369,12 +DA:5370,12 +DA:5371,12 +DA:5372,12 +DA:5373,12 +DA:5374,12 +DA:5375,12 +DA:5376,12 +DA:5377,12 +DA:5378,12 +DA:5379,12 +DA:5380,12 +DA:5381,12 +DA:5382,12 +DA:5383,12 +DA:5384,12 +DA:5385,12 +DA:5386,12 +DA:5387,12 +DA:5388,12 +DA:5389,12 +DA:5390,12 +DA:5391,12 +DA:5392,12 +DA:5393,12 +DA:5394,12 +DA:5395,12 +DA:5396,12 +DA:5397,12 +DA:5398,12 +DA:5399,12 +DA:5400,12 +DA:5401,12 +DA:5402,12 +DA:5403,12 +DA:5404,12 +DA:5405,12 +DA:5406,12 +DA:5407,12 +DA:5408,12 +DA:5409,12 +DA:5410,12 +DA:5411,12 +DA:5412,12 +DA:5413,12 +DA:5414,12 +DA:5415,12 +DA:5416,12 +DA:5417,12 +DA:5418,12 +DA:5419,12 +DA:5420,12 +DA:5421,12 +DA:5422,12 +DA:5423,12 +DA:5424,12 +DA:5425,12 +DA:5426,12 +DA:5427,12 +DA:5428,12 +DA:5429,12 +DA:5430,12 +DA:5431,12 +DA:5432,12 +DA:5433,12 +DA:5434,12 +DA:5435,12 +DA:5436,12 +DA:5437,12 +DA:5438,12 +DA:5439,12 +DA:5440,12 +DA:5441,12 +DA:5442,12 +DA:5443,12 +DA:5444,12 +DA:5445,12 +DA:5446,12 +DA:5447,12 +DA:5448,12 +DA:5449,12 +DA:5450,12 +DA:5451,12 +DA:5452,12 +DA:5453,12 +DA:5454,12 +DA:5455,12 +DA:5456,12 +DA:5457,12 +DA:5458,12 +DA:5459,12 +DA:5460,12 +DA:5461,12 +DA:5462,12 +DA:5463,12 +DA:5464,12 +DA:5465,12 +DA:5466,12 +DA:5467,12 +DA:5468,12 +DA:5469,12 +DA:5470,12 +DA:5471,12 +DA:5472,12 +DA:5473,12 +DA:5474,12 +DA:5475,12 +DA:5476,12 +DA:5477,12 +DA:5478,12 +DA:5479,12 +DA:5480,12 +DA:5481,12 +DA:5482,12 +DA:5483,12 +DA:5484,12 +DA:5485,12 +DA:5486,12 +DA:5487,12 +DA:5488,12 +DA:5489,12 +DA:5490,12 +DA:5491,12 +DA:5492,12 +DA:5493,12 +DA:5494,12 +DA:5495,12 +DA:5496,12 +DA:5497,12 +DA:5498,12 +DA:5499,12 +DA:5500,12 +DA:5501,12 +DA:5502,12 +DA:5503,12 +DA:5504,12 +DA:5505,12 +DA:5506,12 +DA:5507,12 +DA:5508,12 +DA:5509,12 +DA:5510,12 +DA:5511,12 +DA:5512,12 +DA:5513,12 +DA:5514,12 +DA:5515,12 +DA:5516,12 +DA:5517,12 +DA:5518,12 +DA:5519,12 +DA:5520,12 +DA:5521,12 +DA:5522,12 +DA:5523,12 +DA:5524,12 +DA:5525,12 +DA:5526,12 +DA:5527,12 +DA:5528,12 +DA:5529,12 +DA:5530,12 +DA:5531,12 +DA:5532,12 +DA:5533,12 +DA:5534,12 +DA:5535,12 +DA:5536,12 +DA:5537,12 +DA:5538,12 +DA:5539,12 +DA:5540,12 +DA:5541,12 +DA:5542,12 +DA:5543,12 +DA:5544,12 +DA:5545,12 +DA:5546,12 +DA:5547,12 +DA:5548,12 +DA:5549,12 +DA:5550,12 +DA:5551,12 +DA:5552,12 +DA:5553,12 +DA:5554,12 +DA:5555,12 +DA:5556,12 +DA:5557,12 +DA:5558,12 +DA:5559,12 +DA:5560,12 +DA:5561,12 +DA:5562,12 +DA:5563,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/WrBypass.sv +DA:59,127786 +DA:60,62 +DA:61,598 +DA:62,261 +DA:63,1513 +DA:64,594 +DA:65,586 +DA:66,1716 +DA:77,718 +DA:78,739 +DA:79,705 +DA:80,734 +DA:81,751 +DA:82,739 +DA:83,722 +DA:84,741 +DA:85,732 +DA:86,748 +DA:87,724 +DA:88,770 +DA:89,751 +DA:90,758 +DA:91,766 +DA:92,761 +DA:93,463 +DA:94,466 +DA:95,485 +DA:96,476 +DA:97,507 +DA:98,477 +DA:99,447 +DA:100,475 +DA:103,1583 +DA:107,594 +DA:110,5323 +DA:111,2358 +DA:116,2233 +DA:124,4087360 +DA:125,8704 +DA:126,4352 +DA:127,4352 +DA:128,4352 +DA:129,4352 +DA:130,4352 +DA:131,4352 +DA:132,4352 +DA:133,4352 +DA:134,4352 +DA:135,4352 +DA:136,4352 +DA:137,4352 +DA:138,4352 +DA:139,4352 +DA:140,4352 +DA:141,4352 +DA:142,4352 +DA:144,2039328 +DA:145,142 +DA:146,0 +DA:147,0 +DA:148,0 +DA:149,0 +DA:150,0 +DA:151,0 +DA:152,0 +DA:153,0 +DA:154,0 +DA:156,71 +DA:157,71 +DA:158,71 +DA:159,71 +DA:160,71 +DA:161,71 +DA:162,71 +DA:163,71 +DA:164,71 +DA:166,71 +DA:167,71 +DA:168,71 +DA:169,71 +DA:170,71 +DA:171,71 +DA:172,71 +DA:173,71 +DA:174,71 +DA:175,71 +DA:176,71 +DA:177,71 +DA:178,71 +DA:179,71 +DA:180,71 +DA:181,71 +DA:182,71 +DA:183,71 +DA:184,71 +DA:185,71 +DA:187,2039328 +DA:188,2039328 +DA:189,2039328 +DA:190,2039328 +DA:191,2039328 +DA:192,2039328 +DA:193,2039328 +DA:194,2039328 +DA:202,1856 +DA:226,544 +DA:227,384 +DA:228,384 +DA:229,384 +DA:230,384 +DA:231,384 +DA:232,384 +DA:233,384 +DA:234,384 +DA:235,384 +DA:236,384 +DA:237,384 +DA:238,384 +DA:239,384 +DA:240,384 +DA:241,384 +DA:242,384 +DA:243,384 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/WrBypass_32.sv +DA:59,127786 +DA:60,62 +DA:61,35 +DA:62,189 +DA:63,39 +DA:64,39 +DA:65,28 +DA:66,26 +DA:67,32 +DA:68,23 +DA:69,56 +DA:70,27 +DA:71,57 +DA:84,20 +DA:85,19 +DA:86,22 +DA:87,23 +DA:88,22 +DA:89,30 +DA:90,28 +DA:91,21 +DA:92,23 +DA:93,20 +DA:94,19 +DA:95,29 +DA:96,23 +DA:97,17 +DA:98,22 +DA:99,25 +DA:100,25 +DA:101,26 +DA:102,30 +DA:103,24 +DA:104,25 +DA:105,29 +DA:106,21 +DA:107,28 +DA:108,19 +DA:109,16 +DA:110,24 +DA:111,16 +DA:112,10 +DA:113,20 +DA:114,12 +DA:115,15 +DA:118,68 +DA:122,32 +DA:125,183 +DA:126,94 +DA:138,74 +DA:146,127730 +DA:147,272 +DA:148,136 +DA:149,136 +DA:150,136 +DA:151,136 +DA:152,136 +DA:153,136 +DA:154,136 +DA:155,136 +DA:156,136 +DA:157,136 +DA:158,136 +DA:159,136 +DA:160,136 +DA:161,136 +DA:162,136 +DA:163,136 +DA:164,136 +DA:165,136 +DA:166,136 +DA:167,136 +DA:168,136 +DA:169,136 +DA:170,136 +DA:171,136 +DA:172,136 +DA:174,63729 +DA:175,24 +DA:176,0 +DA:177,0 +DA:178,0 +DA:179,0 +DA:180,0 +DA:181,0 +DA:182,0 +DA:183,0 +DA:184,0 +DA:185,0 +DA:186,0 +DA:187,0 +DA:188,0 +DA:189,0 +DA:190,0 +DA:191,0 +DA:192,0 +DA:194,12 +DA:195,12 +DA:196,12 +DA:197,12 +DA:198,12 +DA:199,12 +DA:200,12 +DA:201,12 +DA:202,12 +DA:203,12 +DA:204,12 +DA:205,12 +DA:206,12 +DA:207,12 +DA:208,12 +DA:209,12 +DA:210,12 +DA:212,12 +DA:213,12 +DA:214,12 +DA:215,12 +DA:216,12 +DA:217,12 +DA:218,12 +DA:219,12 +DA:220,12 +DA:221,12 +DA:222,12 +DA:223,12 +DA:224,12 +DA:225,12 +DA:226,12 +DA:227,12 +DA:228,12 +DA:229,12 +DA:230,12 +DA:231,12 +DA:233,63729 +DA:234,63729 +DA:235,63729 +DA:236,63729 +DA:237,63729 +DA:238,63729 +DA:239,63729 +DA:240,63729 +DA:241,63729 +DA:249,58 +DA:281,17 +DA:282,12 +DA:283,12 +DA:284,12 +DA:285,12 +DA:286,12 +DA:287,12 +DA:288,12 +DA:289,12 +DA:290,12 +DA:291,12 +DA:292,12 +DA:293,12 +DA:294,12 +DA:295,12 +DA:296,12 +DA:297,12 +DA:298,12 +DA:299,12 +DA:300,12 +DA:301,12 +DA:302,12 +DA:303,12 +DA:304,12 +DA:305,12 +DA:306,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/WrBypass_33.sv +DA:59,127786 +DA:60,62 +DA:61,29 +DA:62,131 +DA:63,1012 +DA:64,979 +DA:65,179 +DA:66,179 +DA:67,248 +DA:68,191 +DA:69,1213 +DA:70,176 +DA:71,1147 +DA:92,190 +DA:93,181 +DA:94,186 +DA:95,204 +DA:96,177 +DA:97,170 +DA:98,173 +DA:99,188 +DA:100,180 +DA:101,172 +DA:102,169 +DA:103,182 +DA:104,175 +DA:105,199 +DA:106,192 +DA:107,190 +DA:108,166 +DA:109,177 +DA:110,201 +DA:111,191 +DA:112,206 +DA:113,187 +DA:114,194 +DA:115,200 +DA:116,200 +DA:117,184 +DA:118,184 +DA:119,200 +DA:120,192 +DA:121,172 +DA:122,189 +DA:123,203 +DA:124,204 +DA:125,192 +DA:126,184 +DA:127,188 +DA:128,213 +DA:129,192 +DA:130,191 +DA:131,194 +DA:132,178 +DA:133,203 +DA:134,183 +DA:135,184 +DA:136,178 +DA:137,192 +DA:138,177 +DA:139,193 +DA:140,131 +DA:141,131 +DA:142,112 +DA:143,118 +DA:144,132 +DA:145,129 +DA:146,122 +DA:147,108 +DA:148,121 +DA:149,127 +DA:150,124 +DA:151,117 +DA:152,123 +DA:153,102 +DA:154,105 +DA:155,116 +DA:160,706 +DA:172,248 +DA:176,3028 +DA:177,926 +DA:203,762 +DA:219,1021840 +DA:220,2176 +DA:221,1088 +DA:222,1088 +DA:223,1088 +DA:224,1088 +DA:225,1088 +DA:226,1088 +DA:227,1088 +DA:228,1088 +DA:229,1088 +DA:230,1088 +DA:231,1088 +DA:232,1088 +DA:233,1088 +DA:234,1088 +DA:235,1088 +DA:236,1088 +DA:237,1088 +DA:238,1088 +DA:239,1088 +DA:240,1088 +DA:241,1088 +DA:242,1088 +DA:243,1088 +DA:244,1088 +DA:245,1088 +DA:246,1088 +DA:247,1088 +DA:248,1088 +DA:249,1088 +DA:250,1088 +DA:251,1088 +DA:252,1088 +DA:253,1088 +DA:254,1088 +DA:255,1088 +DA:256,1088 +DA:257,1088 +DA:258,1088 +DA:259,1088 +DA:260,1088 +DA:261,1088 +DA:262,1088 +DA:263,1088 +DA:264,1088 +DA:265,1088 +DA:266,1088 +DA:267,1088 +DA:268,1088 +DA:269,1088 +DA:271,509832 +DA:272,146 +DA:273,6 +DA:274,3 +DA:275,3 +DA:276,3 +DA:277,3 +DA:278,3 +DA:279,3 +DA:280,3 +DA:281,3 +DA:282,3 +DA:283,3 +DA:284,3 +DA:285,3 +DA:286,3 +DA:287,3 +DA:288,3 +DA:289,3 +DA:290,3 +DA:291,3 +DA:292,3 +DA:293,3 +DA:294,3 +DA:295,3 +DA:296,3 +DA:297,3 +DA:298,3 +DA:299,3 +DA:300,3 +DA:301,3 +DA:302,3 +DA:303,3 +DA:304,3 +DA:305,3 +DA:307,70 +DA:308,70 +DA:309,70 +DA:310,70 +DA:311,70 +DA:312,70 +DA:313,70 +DA:314,70 +DA:315,70 +DA:316,70 +DA:317,70 +DA:318,70 +DA:319,70 +DA:320,70 +DA:321,70 +DA:322,70 +DA:323,70 +DA:324,70 +DA:325,70 +DA:326,70 +DA:327,70 +DA:328,70 +DA:329,70 +DA:330,70 +DA:331,70 +DA:332,70 +DA:333,70 +DA:334,70 +DA:335,70 +DA:336,70 +DA:337,70 +DA:338,70 +DA:339,70 +DA:341,73 +DA:342,73 +DA:343,73 +DA:344,73 +DA:345,73 +DA:346,73 +DA:347,73 +DA:348,73 +DA:349,73 +DA:350,73 +DA:351,73 +DA:352,73 +DA:353,73 +DA:354,73 +DA:355,73 +DA:356,73 +DA:357,73 +DA:358,73 +DA:359,73 +DA:360,73 +DA:361,73 +DA:362,73 +DA:363,73 +DA:364,73 +DA:365,73 +DA:366,73 +DA:367,73 +DA:368,73 +DA:369,73 +DA:370,73 +DA:371,73 +DA:372,73 +DA:373,73 +DA:374,73 +DA:375,73 +DA:376,73 +DA:377,73 +DA:378,73 +DA:379,73 +DA:380,73 +DA:381,73 +DA:382,73 +DA:383,73 +DA:384,73 +DA:386,509832 +DA:387,509832 +DA:388,509832 +DA:389,509832 +DA:390,509832 +DA:391,509832 +DA:392,509832 +DA:393,509832 +DA:394,509832 +DA:395,509832 +DA:396,509832 +DA:397,509832 +DA:398,509832 +DA:399,509832 +DA:400,509832 +DA:401,509832 +DA:402,509832 +DA:410,464 +DA:468,136 +DA:469,96 +DA:470,96 +DA:471,96 +DA:472,96 +DA:473,96 +DA:474,96 +DA:475,96 +DA:476,96 +DA:477,96 +DA:478,96 +DA:479,96 +DA:480,96 +DA:481,96 +DA:482,96 +DA:483,96 +DA:484,96 +DA:485,96 +DA:486,96 +DA:487,96 +DA:488,96 +DA:489,96 +DA:490,96 +DA:491,96 +DA:492,96 +DA:493,96 +DA:494,96 +DA:495,96 +DA:496,96 +DA:497,96 +DA:498,96 +DA:499,96 +DA:500,96 +DA:501,96 +DA:502,96 +DA:503,96 +DA:504,96 +DA:505,96 +DA:506,96 +DA:507,96 +DA:508,96 +DA:509,96 +DA:510,96 +DA:511,96 +DA:512,96 +DA:513,96 +DA:514,96 +DA:515,96 +DA:516,96 +DA:517,96 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/WrBypass_41.sv +DA:59,127786 +DA:60,62 +DA:61,27 +DA:62,105 +DA:63,36 +DA:64,48 +DA:65,94 +DA:72,54 +DA:73,51 +DA:74,50 +DA:75,48 +DA:76,32 +DA:77,31 +DA:78,37 +DA:79,75 +DA:80,48 +DA:82,171 +DA:83,118 +DA:84,90 +DA:85,255460 +DA:86,544 +DA:87,272 +DA:88,272 +DA:89,272 +DA:90,272 +DA:91,272 +DA:93,127458 +DA:94,127458 +DA:95,127458 +DA:96,127458 +DA:97,127458 +DA:98,26 +DA:99,13 +DA:100,13 +DA:101,13 +DA:102,13 +DA:110,116 +DA:122,34 +DA:123,24 +DA:124,24 +DA:125,24 +DA:126,24 +DA:127,24 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/WrBypass_43.sv +DA:59,127786 +DA:60,62 +DA:61,24 +DA:62,125 +DA:63,33 +DA:64,91 +DA:65,144 +DA:72,81 +DA:73,70 +DA:74,76 +DA:75,67 +DA:76,48 +DA:77,58 +DA:78,50 +DA:79,119 +DA:80,91 +DA:82,259 +DA:83,180 +DA:84,143 +DA:85,383190 +DA:86,816 +DA:87,408 +DA:88,408 +DA:89,408 +DA:90,408 +DA:91,408 +DA:93,191187 +DA:94,191187 +DA:95,191187 +DA:96,191187 +DA:97,191187 +DA:98,42 +DA:99,21 +DA:100,21 +DA:101,21 +DA:102,21 +DA:110,174 +DA:122,51 +DA:123,36 +DA:124,36 +DA:125,36 +DA:126,36 +DA:127,36 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_0_0.sv +DA:59,62194 +DA:60,416 +DA:61,127786 +DA:62,209 +DA:63,7156 +DA:64,6175 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_0_0_ext.v +DA:3,127786 +DA:4,62194 +DA:5,416 +DA:6,209 +DA:7,7156 +DA:8,6175 +DA:11,302 +DA:12,16174 +DA:24,510776 +DA:25,255388 +DA:26,510776 +DA:27,16700 +DA:28,510776 +DA:29,31498 +DA:30,15749 +DA:31,15749 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_3.sv +DA:59,38293 +DA:60,112 +DA:61,127786 +DA:62,89 +DA:65,278 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_3_ext.v +DA:3,127786 +DA:4,38293 +DA:5,112 +DA:6,89 +DA:7,278 +DA:12,87 +DA:13,8335 +DA:25,127694 +DA:26,63847 +DA:27,127694 +DA:28,8384 +DA:29,127694 +DA:30,30186 +DA:31,15093 +DA:32,63 +DA:33,60309 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_4.sv +DA:59,93556 +DA:60,444 +DA:61,127786 +DA:62,195 +DA:63,2110 +DA:64,1829 +DA:65,3103 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_4_ext.v +DA:3,127786 +DA:4,93556 +DA:5,444 +DA:6,195 +DA:7,3103 +DA:8,2110 +DA:9,1829 +DA:12,364 +DA:13,33561 +DA:25,510776 +DA:26,255388 +DA:27,510776 +DA:28,33400 +DA:29,510776 +DA:30,61228 +DA:31,30614 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_5.sv +DA:59,612354 +DA:60,1280 +DA:61,127786 +DA:62,872 +DA:63,13928 +DA:64,11006 +DA:65,1813 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_5_ext.v +DA:3,127786 +DA:4,612354 +DA:5,1280 +DA:6,872 +DA:7,1813 +DA:8,13928 +DA:9,11006 +DA:12,862 +DA:13,35354 +DA:25,2043104 +DA:26,1021552 +DA:27,2043104 +DA:28,33400 +DA:29,2043104 +DA:30,482350 +DA:31,241175 +DA:32,20 +DA:33,482330 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_6.sv +DA:59,8636 +DA:60,76 +DA:61,127786 +DA:62,460 +DA:63,29781 +DA:64,59 +DA:65,127786 +DA:66,575 +DA:67,238101 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_6_ext.v +DA:3,127786 +DA:4,29781 +DA:5,59 +DA:6,575 +DA:7,238101 +DA:8,127786 +DA:9,8636 +DA:10,76 +DA:11,460 +DA:14,95 +DA:15,8411 +DA:27,127694 +DA:28,63847 +DA:29,127694 +DA:30,8350 +DA:31,127694 +DA:32,4225 +DA:33,29988 +DA:34,29988 +DA:35,29750 +DA:36,29746 +DA:37,29748 +DA:38,29748 +DA:39,29756 +DA:40,29754 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_7.sv +DA:59,32986 +DA:60,73 +DA:61,127786 +DA:62,2778 +DA:63,60329 +DA:64,220 +DA:65,127786 +DA:66,3331 +DA:67,870 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_7_ext.v +DA:3,127786 +DA:4,60329 +DA:5,220 +DA:6,3331 +DA:7,870 +DA:8,127786 +DA:9,32986 +DA:10,73 +DA:11,2778 +DA:14,364 +DA:15,31999 +DA:27,510776 +DA:28,255388 +DA:29,510776 +DA:30,33400 +DA:31,510776 +DA:32,61240 +DA:33,15 +DA:34,18 +DA:35,18 +DA:36,15 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_8.sv +DA:59,94757 +DA:60,639 +DA:61,127786 +DA:62,327 +DA:63,22033 +DA:64,18523 +DA:65,399 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/array_8_ext.v +DA:3,127786 +DA:4,94757 +DA:5,639 +DA:6,327 +DA:7,399 +DA:8,22033 +DA:9,18523 +DA:12,458 +DA:13,24955 +DA:25,766164 +DA:26,383082 +DA:27,766164 +DA:28,25050 +DA:29,766164 +DA:30,47242 +DA:31,23621 +DA:32,9 +DA:33,47233 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/data_16x16.sv +DA:60,15694 +DA:61,19 +DA:62,127786 +DA:63,5022 +DA:64,51 +DA:65,69 +DA:66,127786 +DA:67,224 +DA:68,2019 +DA:69,113 +DA:70,127786 +DA:71,2044576 +DA:74,15235 +DA:75,255388 +DA:76,64436 +DA:77,63258 +DA:78,2428 +DA:79,1214 +DA:83,116 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/data_32x16.sv +DA:60,24363 +DA:61,19 +DA:62,127786 +DA:63,4003 +DA:64,74 +DA:65,111 +DA:66,127786 +DA:67,320 +DA:68,5949 +DA:69,176 +DA:70,127786 +DA:71,2044576 +DA:75,383082 +DA:76,102839 +DA:77,88702 +DA:78,6508 +DA:79,3254 +DA:83,174 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/data_mem_0_4x2.sv +DA:60,194 +DA:61,38 +DA:62,255572 +DA:63,238 +DA:64,215 +DA:65,51 +DA:66,255572 +DA:67,69 +DA:70,660 +DA:71,638470 +DA:72,126 +DA:73,63 +DA:77,290 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/data_mem_0_8x3.sv +DA:60,1583 +DA:61,19 +DA:62,127786 +DA:63,1716 +DA:64,2213 +DA:65,598 +DA:66,127786 +DA:67,1513 +DA:70,11282 +DA:71,4086208 +DA:72,276 +DA:73,138 +DA:77,1856 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/data_mem_16x12.sv +DA:60,706 +DA:61,19 +DA:62,127786 +DA:63,2319 +DA:64,706 +DA:65,19 +DA:66,127786 +DA:67,2340 +DA:68,740 +DA:69,29 +DA:70,127786 +DA:71,2016 +DA:72,382 +DA:75,22524 +DA:76,1021552 +DA:77,138 +DA:78,69 +DA:79,122 +DA:80,61 +DA:84,464 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/./rtl/BPUTop/data_mem_8x4.sv +DA:60,68 +DA:61,19 +DA:62,127786 +DA:63,111 +DA:64,68 +DA:65,19 +DA:66,127786 +DA:67,112 +DA:68,73 +DA:69,35 +DA:70,127786 +DA:71,76 +DA:72,65 +DA:75,509 +DA:76,127694 +DA:77,32 +DA:78,16 +DA:79,30 +DA:80,15 +DA:84,58 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/out/picker_out_BPUTop/Predictor.v +DA:59,127786 +DA:60,62 +DA:61,57 +DA:62,139 +DA:63,9891 +DA:64,13 +DA:65,11 +DA:66,15 +DA:67,10 +DA:68,599 +DA:69,574 +DA:70,53 +DA:71,62 +DA:72,597 +DA:73,10 +DA:74,9 +DA:75,15 +DA:76,9402 +DA:77,127 +DA:78,20 +DA:79,26 +DA:80,196 +DA:81,77 +DA:82,73 +DA:83,31 +DA:84,29 +DA:85,1201 +DA:86,1411 +DA:87,127 +DA:88,98 +DA:89,9440 +DA:90,41 +DA:91,27 +DA:92,24 +DA:93,9341 +DA:94,130 +DA:95,22 +DA:96,33 +DA:97,195 +DA:98,76 +DA:99,73 +DA:100,43 +DA:101,38 +DA:102,1217 +DA:103,1419 +DA:104,136 +DA:105,131 +DA:106,9386 +DA:107,37 +DA:108,27 +DA:109,26 +DA:110,11660 +DA:111,373 +DA:112,400 +DA:113,237 +DA:114,277 +DA:115,307 +DA:116,144 +DA:117,252 +DA:118,295 +DA:119,260 +DA:120,298 +DA:121,253 +DA:122,324 +DA:123,217 +DA:124,279 +DA:125,251 +DA:126,258 +DA:127,345 +DA:128,256 +DA:129,32 +DA:130,29 +DA:131,26 +DA:132,28 +DA:133,25 +DA:134,33 +DA:135,27 +DA:136,25 +DA:137,20 +DA:138,25 +DA:139,25 +DA:140,25 +DA:141,35 +DA:142,36 +DA:143,26 +DA:144,27 +DA:145,30 +DA:146,25 +DA:147,23 +DA:148,31 +DA:149,28 +DA:150,27 +DA:151,29 +DA:152,26 +DA:153,194 +DA:154,48 +DA:155,274 +DA:156,149 +DA:157,80 +DA:158,41 +DA:159,183 +DA:160,40 +DA:161,214 +DA:162,31 +DA:163,160 +DA:164,1348 +DA:165,33 +DA:166,119 +DA:167,411 +DA:168,59 +DA:169,34 +DA:170,29 +DA:171,125 +DA:172,676 +DA:173,70 +DA:174,30 +DA:175,31 +DA:176,140 +DA:177,33 +DA:178,35 +DA:179,29 +DA:180,40 +DA:181,36 +DA:182,27 +DA:183,32 +DA:184,82 +DA:185,73 +DA:186,1356 +DA:187,69 +DA:188,57 +DA:189,59 +DA:190,149 +DA:191,89 +DA:192,58 +DA:193,198 +DA:194,45 +DA:195,178 +DA:196,64 +DA:197,166 +DA:198,432 +DA:199,409 +DA:200,316 +DA:201,337 +DA:202,379 +DA:203,210 +DA:204,322 +DA:205,366 +DA:206,287 +DA:207,315 +DA:208,278 +DA:209,339 +DA:210,303 +DA:211,327 +DA:212,326 +DA:213,309 +DA:214,429 +DA:215,323 +DA:216,68 +DA:217,59 +DA:218,57 +DA:219,66 +DA:220,62 +DA:221,60 +DA:222,64 +DA:223,54 +DA:224,55 +DA:225,59 +DA:226,59 +DA:227,58 +DA:228,59 +DA:229,53 +DA:230,61 +DA:231,60 +DA:232,60 +DA:233,55 +DA:234,60 +DA:235,59 +DA:236,55 +DA:237,54 +DA:238,63 +DA:239,124 +DA:240,85 +DA:241,250 +DA:242,1248 +DA:243,53 +DA:244,109 +DA:245,84 +DA:246,94 +DA:247,1423 +DA:248,504 +DA:249,514 +DA:250,492 +DA:251,317 +DA:252,541 +DA:253,358 +DA:254,423 +DA:255,377 +DA:256,326 +DA:257,370 +DA:258,323 +DA:259,366 +DA:260,328 +DA:261,422 +DA:262,418 +DA:263,427 +DA:264,445 +DA:265,100 +DA:266,212 +DA:267,452 +DA:268,129 +DA:269,94 +DA:270,91 +DA:271,346 +DA:272,705 +DA:273,180 +DA:274,97 +DA:275,106 +DA:276,332 +DA:277,83 +DA:278,89 +DA:279,87 +DA:280,83 +DA:281,89 +DA:282,88 +DA:283,90 +DA:284,100 +DA:285,274 +DA:286,100 +DA:287,84 +DA:288,56 +DA:289,65 +DA:290,74 +DA:291,65 +DA:292,68 +DA:293,6415 +DA:294,1272 +DA:295,28 +DA:296,176 +DA:297,57 +DA:298,55 +DA:299,55 +DA:300,56 +DA:301,52 +DA:302,1105 +DA:303,474 +DA:304,548 +DA:305,500 +DA:306,488 +DA:307,485 +DA:308,485 +DA:309,522 +DA:312,28 +DA:313,20 +DA:314,25 +DA:315,22 +DA:316,27 +DA:317,133 +DA:318,135 +DA:319,131 +DA:320,133 +DA:872,139 +DA:873,127 +DA:874,127 +DA:875,10085 +DA:876,10081 +DA:877,10074 +DA:878,10063 +DA:879,77 +DA:880,96 +DA:881,411 +DA:882,426 +DA:883,277 +DA:884,299 +DA:885,373 +DA:886,182 +DA:887,307 +DA:888,342 +DA:889,281 +DA:890,332 +DA:891,274 +DA:892,359 +DA:893,259 +DA:894,350 +DA:895,287 +DA:896,308 +DA:897,415 +DA:898,296 +DA:899,424 +DA:900,432 +DA:901,303 +DA:902,284 +DA:903,366 +DA:904,170 +DA:905,304 +DA:906,356 +DA:907,302 +DA:908,335 +DA:909,293 +DA:910,361 +DA:911,234 +DA:912,321 +DA:913,283 +DA:914,289 +DA:915,404 +DA:916,311 +DA:917,407 +DA:918,397 +DA:919,292 +DA:920,304 +DA:921,371 +DA:922,173 +DA:923,305 +DA:924,360 +DA:925,300 +DA:926,318 +DA:927,275 +DA:928,364 +DA:929,254 +DA:930,322 +DA:931,289 +DA:932,297 +DA:933,411 +DA:934,337 +DA:935,326 +DA:936,315 +DA:937,246 +DA:938,233 +DA:939,275 +DA:940,135 +DA:941,232 +DA:942,275 +DA:943,241 +DA:944,259 +DA:945,215 +DA:946,274 +DA:947,192 +DA:948,267 +DA:949,207 +DA:950,222 +DA:951,306 +DA:952,234 +DA:953,338 +DA:954,326 +DA:955,231 +DA:956,218 +DA:957,287 +DA:958,135 +DA:959,248 +DA:960,279 +DA:961,235 +DA:962,280 +DA:963,224 +DA:964,269 +DA:965,204 +DA:966,263 +DA:967,220 +DA:968,202 +DA:969,304 +DA:970,235 +DA:971,317 +DA:972,320 +DA:973,226 +DA:974,242 +DA:975,282 +DA:976,127 +DA:977,259 +DA:978,281 +DA:979,240 +DA:980,263 +DA:981,217 +DA:982,285 +DA:983,205 +DA:984,256 +DA:985,238 +DA:986,231 +DA:987,309 +DA:988,230 +DA:989,353 +DA:990,356 +DA:991,240 +DA:992,249 +DA:993,304 +DA:994,173 +DA:995,252 +DA:996,267 +DA:997,263 +DA:998,284 +DA:999,231 +DA:1000,294 +DA:1001,226 +DA:1002,280 +DA:1003,224 +DA:1004,229 +DA:1005,341 +DA:1006,249 +DA:1007,364 +DA:1008,365 +DA:1009,240 +DA:1010,262 +DA:1011,300 +DA:1012,142 +DA:1013,237 +DA:1014,306 +DA:1015,254 +DA:1016,275 +DA:1017,233 +DA:1018,299 +DA:1019,207 +DA:1020,275 +DA:1021,233 +DA:1022,245 +DA:1023,341 +DA:1024,258 +DA:1025,355 +DA:1026,358 +DA:1027,259 +DA:1028,237 +DA:1029,304 +DA:1030,157 +DA:1031,277 +DA:1032,309 +DA:1033,263 +DA:1034,268 +DA:1035,229 +DA:1036,287 +DA:1037,228 +DA:1038,277 +DA:1039,263 +DA:1040,239 +DA:1041,318 +DA:1042,273 +DA:1043,337 +DA:1044,340 +DA:1045,251 +DA:1046,238 +DA:1047,310 +DA:1048,163 +DA:1049,282 +DA:1050,298 +DA:1051,248 +DA:1052,285 +DA:1053,235 +DA:1054,309 +DA:1055,230 +DA:1056,279 +DA:1057,252 +DA:1058,266 +DA:1059,365 +DA:1060,259 +DA:1061,380 +DA:1062,396 +DA:1063,244 +DA:1064,273 +DA:1065,303 +DA:1066,152 +DA:1067,256 +DA:1068,299 +DA:1069,264 +DA:1070,284 +DA:1071,246 +DA:1072,316 +DA:1073,220 +DA:1074,295 +DA:1075,265 +DA:1076,252 +DA:1077,353 +DA:1078,262 +DA:1079,366 +DA:1080,347 +DA:1081,250 +DA:1082,271 +DA:1083,309 +DA:1084,155 +DA:1085,274 +DA:1086,326 +DA:1087,262 +DA:1088,291 +DA:1089,238 +DA:1090,301 +DA:1091,242 +DA:1092,276 +DA:1093,239 +DA:1094,254 +DA:1095,339 +DA:1096,266 +DA:1097,214 +DA:1098,217 +DA:1099,213 +DA:1100,208 +DA:1101,209 +DA:1102,196 +DA:1103,194 +DA:1104,191 +DA:1105,193 +DA:1106,188 +DA:1107,192 +DA:1108,195 +DA:1109,32 +DA:1110,33 +DA:1111,32 +DA:1112,36 +DA:1113,33 +DA:1114,28 +DA:1115,28 +DA:1116,29 +DA:1117,32 +DA:1118,25 +DA:1119,28 +DA:1120,25 +DA:1121,29 +DA:1122,30 +DA:1123,25 +DA:1124,34 +DA:1125,26 +DA:1126,37 +DA:1127,36 +DA:1128,33 +DA:1129,30 +DA:1130,32 +DA:1131,30 +DA:1132,29 +DA:1133,36 +DA:1134,34 +DA:1135,29 +DA:1136,31 +DA:1137,34 +DA:1138,32 +DA:1139,31 +DA:1140,26 +DA:1141,28 +DA:1142,26 +DA:1143,32 +DA:1144,24 +DA:1145,30 +DA:1146,31 +DA:1147,24 +DA:1148,33 +DA:1149,30 +DA:1150,37 +DA:1151,25 +DA:1152,31 +DA:1153,29 +DA:1154,31 +DA:1155,31 +DA:1156,30 +DA:1157,36 +DA:1158,33 +DA:1159,25 +DA:1160,35 +DA:1161,30 +DA:1162,35 +DA:1163,32 +DA:1164,31 +DA:1165,23 +DA:1166,24 +DA:1167,33 +DA:1168,31 +DA:1169,28 +DA:1170,31 +DA:1171,26 +DA:1172,24 +DA:1173,24 +DA:1174,28 +DA:1175,34 +DA:1176,32 +DA:1177,32 +DA:1178,32 +DA:1179,33 +DA:1180,29 +DA:1181,22 +DA:1182,21 +DA:1183,25 +DA:1184,20 +DA:1185,20 +DA:1186,17 +DA:1187,21 +DA:1188,22 +DA:1189,30 +DA:1190,26 +DA:1191,26 +DA:1192,20 +DA:1193,25 +DA:1194,24 +DA:1195,27 +DA:1196,21 +DA:1197,26 +DA:1198,27 +DA:1199,26 +DA:1200,22 +DA:1201,27 +DA:1202,22 +DA:1203,26 +DA:1204,28 +DA:1205,29 +DA:1206,23 +DA:1207,25 +DA:1208,23 +DA:1209,24 +DA:1210,18 +DA:1211,19 +DA:1212,22 +DA:1213,22 +DA:1214,25 +DA:1215,21 +DA:1216,23 +DA:1217,19 +DA:1218,26 +DA:1219,24 +DA:1220,26 +DA:1221,21 +DA:1222,19 +DA:1223,20 +DA:1224,23 +DA:1225,15 +DA:1226,19 +DA:1227,23 +DA:1228,22 +DA:1229,17 +DA:1230,23 +DA:1231,28 +DA:1232,26 +DA:1233,23 +DA:1234,22 +DA:1235,26 +DA:1236,29 +DA:1237,19 +DA:1238,21 +DA:1239,23 +DA:1240,25 +DA:1241,22 +DA:1242,18 +DA:1243,19 +DA:1244,27 +DA:1245,25 +DA:1246,25 +DA:1247,25 +DA:1248,19 +DA:1249,20 +DA:1250,27 +DA:1251,29 +DA:1252,18 +DA:1253,20 +DA:1254,31 +DA:1255,23 +DA:1256,26 +DA:1257,27 +DA:1258,25 +DA:1259,33 +DA:1260,27 +DA:1261,28 +DA:1262,26 +DA:1263,19 +DA:1264,25 +DA:1265,23 +DA:1266,25 +DA:1267,28 +DA:1268,31 +DA:1269,29 +DA:1270,26 +DA:1271,24 +DA:1272,29 +DA:1273,17 +DA:1274,25 +DA:1275,33 +DA:1276,24 +DA:1277,24 +DA:1278,27 +DA:1279,31 +DA:1280,27 +DA:1281,23 +DA:1282,22 +DA:1283,27 +DA:1284,27 +DA:1285,24 +DA:1286,29 +DA:1287,26 +DA:1288,27 +DA:1289,26 +DA:1290,26 +DA:1291,22 +DA:1292,24 +DA:1293,21 +DA:1294,25 +DA:1295,25 +DA:1296,23 +DA:1297,20 +DA:1298,26 +DA:1299,25 +DA:1300,27 +DA:1301,22 +DA:1302,28 +DA:1303,29 +DA:1304,24 +DA:1305,25 +DA:1306,27 +DA:1307,20 +DA:1308,26 +DA:1309,23 +DA:1310,27 +DA:1311,15 +DA:1312,21 +DA:1313,21 +DA:1314,30 +DA:1315,24 +DA:1316,23 +DA:1317,20 +DA:1318,26 +DA:1319,32 +DA:1320,27 +DA:1321,29 +DA:1322,25 +DA:1323,22 +DA:1324,21 +DA:1325,27 +DA:1326,24 +DA:1327,28 +DA:1328,21 +DA:1329,29 +DA:1330,31 +DA:1331,26 +DA:1332,28 +DA:1333,20 +DA:1334,28 +DA:1335,22 +DA:1336,27 +DA:1337,22 +DA:1338,25 +DA:1339,29 +DA:1340,28 +DA:1341,29 +DA:1342,30 +DA:1343,28 +DA:1344,27 +DA:1345,27 +DA:1346,28 +DA:1347,35 +DA:1348,24 +DA:1349,28 +DA:1350,30 +DA:1351,27 +DA:1352,26 +DA:1353,24 +DA:1354,22 +DA:1355,21 +DA:1356,30 +DA:1357,32 +DA:1358,20 +DA:1359,29 +DA:1360,27 +DA:1361,25 +DA:1362,28 +DA:1363,28 +DA:1364,29 +DA:1365,31 +DA:1366,33 +DA:1367,20 +DA:1368,23 +DA:1369,25 +DA:1370,26 +DA:1371,27 +DA:1372,29 +DA:1373,29 +DA:1374,27 +DA:1375,27 +DA:1376,29 +DA:1377,27 +DA:1378,28 +DA:1379,33 +DA:1380,29 +DA:1381,25 +DA:1382,30 +DA:1383,28 +DA:1384,29 +DA:1385,29 +DA:1386,35 +DA:1387,30 +DA:1388,28 +DA:1389,21 +DA:1390,28 +DA:1391,21 +DA:1392,39 +DA:1393,21 +DA:1394,20 +DA:1395,24 +DA:1396,25 +DA:1397,22 +DA:1398,25 +DA:1399,22 +DA:1400,21 +DA:1401,19 +DA:1402,21 +DA:1403,29 +DA:1404,26 +DA:1405,22 +DA:1406,15 +DA:1407,21 +DA:1408,23 +DA:1409,21 +DA:1410,23 +DA:1411,21 +DA:1412,22 +DA:1413,23 +DA:1414,23 +DA:1415,23 +DA:1416,22 +DA:1417,18 +DA:1418,25 +DA:1419,21 +DA:1420,19 +DA:1421,24 +DA:1422,23 +DA:1423,31 +DA:1424,21 +DA:1425,20 +DA:1426,17 +DA:1427,29 +DA:1428,21 +DA:1429,30 +DA:1430,23 +DA:1431,25 +DA:1432,25 +DA:1433,23 +DA:1434,23 +DA:1435,21 +DA:1436,17 +DA:1437,24 +DA:1438,24 +DA:1439,29 +DA:1440,19 +DA:1441,20 +DA:1442,21 +DA:1443,23 +DA:1444,22 +DA:1445,26 +DA:1446,25 +DA:1447,24 +DA:1448,23 +DA:1449,30 +DA:1450,25 +DA:1451,25 +DA:1452,22 +DA:1453,24 +DA:1454,19 +DA:1455,18 +DA:1456,18 +DA:1457,21 +DA:1458,27 +DA:1459,22 +DA:1460,23 +DA:1461,21 +DA:1462,26 +DA:1463,24 +DA:1464,20 +DA:1465,22 +DA:1466,20 +DA:1467,25 +DA:1468,20 +DA:1469,23 +DA:1470,23 +DA:1471,22 +DA:1472,21 +DA:1473,26 +DA:1474,25 +DA:1475,22 +DA:1476,25 +DA:1477,21 +DA:1478,27 +DA:1479,23 +DA:1480,25 +DA:1481,26 +DA:1482,24 +DA:1483,24 +DA:1484,22 +DA:1485,30 +DA:1486,25 +DA:1487,22 +DA:1488,18 +DA:1489,22 +DA:1490,25 +DA:1491,14 +DA:1492,23 +DA:1493,27 +DA:1494,28 +DA:1495,22 +DA:1496,17 +DA:1497,23 +DA:1498,24 +DA:1499,23 +DA:1500,22 +DA:1501,23 +DA:1502,22 +DA:1503,21 +DA:1504,23 +DA:1505,29 +DA:1506,19 +DA:1507,23 +DA:1508,18 +DA:1509,18 +DA:1510,19 +DA:1511,24 +DA:1512,17 +DA:1513,21 +DA:1514,32 +DA:1515,23 +DA:1516,18 +DA:1517,25 +DA:1518,26 +DA:1519,21 +DA:1520,18 +DA:1521,24 +DA:1522,24 +DA:1523,23 +DA:1524,22 +DA:1525,21 +DA:1526,25 +DA:1527,20 +DA:1528,28 +DA:1529,22 +DA:1530,26 +DA:1531,22 +DA:1532,20 +DA:1533,22 +DA:1534,26 +DA:1535,27 +DA:1536,22 +DA:1537,28 +DA:1538,28 +DA:1539,25 +DA:1540,26 +DA:1541,19 +DA:1542,27 +DA:1543,25 +DA:1544,18 +DA:1545,23 +DA:1546,27 +DA:1547,23 +DA:1548,23 +DA:1549,25 +DA:1550,23 +DA:1551,23 +DA:1552,23 +DA:1553,19 +DA:1554,21 +DA:1555,15 +DA:1556,21 +DA:1557,24 +DA:1558,26 +DA:1559,19 +DA:1560,24 +DA:1561,30 +DA:1562,22 +DA:1563,20 +DA:1564,24 +DA:1565,21 +DA:1566,24 +DA:1567,27 +DA:1568,21 +DA:1569,21 +DA:1570,25 +DA:1571,17 +DA:1572,19 +DA:1573,19 +DA:1574,25 +DA:1575,25 +DA:1576,19 +DA:1577,18 +DA:1578,24 +DA:1579,25 +DA:1580,19 +DA:1581,22 +DA:1582,23 +DA:1583,25 +DA:1584,27 +DA:1585,25 +DA:1586,19 +DA:1587,22 +DA:1588,23 +DA:1589,23 +DA:1590,20 +DA:1591,20 +DA:1592,22 +DA:1593,15 +DA:1594,23 +DA:1595,25 +DA:1596,25 +DA:1597,25 +DA:1598,25 +DA:1599,19 +DA:1600,20 +DA:1601,23 +DA:1602,22 +DA:1603,26 +DA:1604,24 +DA:1605,22 +DA:1606,19 +DA:1607,28 +DA:1608,22 +DA:1609,18 +DA:1610,24 +DA:1611,27 +DA:1612,19 +DA:1613,25 +DA:1614,24 +DA:1615,23 +DA:1616,24 +DA:1617,24 +DA:1618,19 +DA:1619,24 +DA:1620,17 +DA:1621,17 +DA:1622,22 +DA:1623,21 +DA:1624,20 +DA:1625,16 +DA:1626,25 +DA:1627,19 +DA:1628,21 +DA:1629,22 +DA:1630,23 +DA:1631,21 +DA:1632,22 +DA:1633,22 +DA:1634,23 +DA:1635,17 +DA:1636,25 +DA:1637,26 +DA:1638,19 +DA:1639,23 +DA:1640,27 +DA:1641,22 +DA:1642,22 +DA:1643,16 +DA:1644,24 +DA:1645,26 +DA:1646,23 +DA:1647,54 +DA:1648,325 +DA:1649,54 +DA:1650,312 +DA:1651,48 +DA:1652,299 +DA:1653,49 +DA:1654,317 +DA:1655,50 +DA:1656,255 +DA:1657,41 +DA:1658,251 +DA:1659,41 +DA:1660,271 +DA:1661,45 +DA:1662,276 +DA:1663,49 +DA:1664,273 +DA:1665,43 +DA:1666,276 +DA:1667,45 +DA:1668,283 +DA:1669,47 +DA:1670,263 +DA:1671,42 +DA:1672,272 +DA:1673,50 +DA:1674,305 +DA:1675,51 +DA:1676,285 +DA:1677,46 +DA:1678,281 +DA:1679,84 +DA:1680,28 +DA:1681,1207 +DA:1682,27 +DA:1683,37 +DA:1684,37 +DA:1685,115 +DA:1686,73 +DA:1687,43 +DA:1688,147 +DA:1689,42 +DA:1690,138 +DA:1691,40 +DA:1692,150 +DA:1693,316 +DA:1694,342 +DA:1695,221 +DA:1696,243 +DA:1697,254 +DA:1698,125 +DA:1699,248 +DA:1700,271 +DA:1701,214 +DA:1702,264 +DA:1703,227 +DA:1704,279 +DA:1705,215 +DA:1706,242 +DA:1707,268 +DA:1708,254 +DA:1709,344 +DA:1710,235 +DA:1711,38 +DA:1712,40 +DA:1713,43 +DA:1714,40 +DA:1715,37 +DA:1716,44 +DA:1717,42 +DA:1718,41 +DA:1719,40 +DA:1720,46 +DA:1721,45 +DA:1722,39 +DA:1723,37 +DA:1724,43 +DA:1725,44 +DA:1726,39 +DA:1727,38 +DA:1728,37 +DA:1729,40 +DA:1730,43 +DA:1731,48 +DA:1732,46 +DA:1733,40 +DA:1734,78 +DA:1735,25 +DA:1736,242 +DA:1737,1178 +DA:1738,36 +DA:1739,55 +DA:1740,25 +DA:1741,85 +DA:1742,75 +DA:1744,76 +DA:1746,76 +DA:1748,73 +DA:1788,17 +DA:1808,13 +DA:1828,15 +DA:1848,13 +DA:2212,18 +DA:2214,19 +DA:2216,17 +DA:2218,21 +DA:2220,16 +DA:2222,23 +DA:2224,22 +DA:2226,19 +DA:2228,21 +DA:2230,18 +DA:2232,22 +DA:2234,21 +DA:2236,12 +DA:2243,18 +DA:2250,23 +DA:2257,11 +DA:3717,24 +DA:3719,22 +DA:3721,28 +DA:3723,24 +DA:3725,25 +DA:3727,23 +DA:3729,25 +DA:3731,27 +DA:3733,23 +DA:3735,23 +DA:3737,26 +DA:3739,29 +DA:3741,21 +DA:3742,14 +DA:3743,21 +DA:3744,20 +DA:3745,9346 +DA:3746,9376 +DA:3747,9346 +DA:3748,9352 +DA:3749,60 +DA:3750,18 +DA:3751,23 +DA:3752,59 +DA:3753,23 +DA:3754,25 +DA:3755,56 +DA:3756,21 +DA:3757,30 +DA:3758,56 +DA:3759,23 +DA:3760,21 +DA:3761,27 +DA:3762,20 +DA:3763,24 +DA:3764,25 +DA:3765,22 +DA:3766,19 +DA:3767,23 +DA:3768,16 +DA:3769,24 +DA:3770,28 +DA:3771,24 +DA:3772,16 +DA:3773,231 +DA:3774,241 +DA:3775,243 +DA:3776,240 +DA:3832,21 +DA:3926,26 +DA:5412,25 +DA:5414,17 +DA:5416,24 +DA:5418,31 +DA:5420,24 +DA:5422,30 +DA:5424,30 +DA:5426,26 +DA:5428,25 +DA:5430,21 +DA:5432,22 +DA:5434,30 +DA:5436,9324 +DA:5437,9302 +DA:5438,9285 +DA:5439,9295 +DA:5440,57 +DA:5441,48 +DA:5442,23 +DA:5443,22 +DA:5444,1215 +DA:5445,1118 +DA:5446,9293 +DA:5447,26 +DA:5448,24 +DA:5449,54 +DA:5450,59 +DA:5451,25 +DA:5452,26 +DA:5453,1143 +DA:5454,1110 +DA:5455,9366 +DA:5456,25 +DA:5457,28 +DA:5458,59 +DA:5459,52 +DA:5460,23 +DA:5461,29 +DA:5462,1160 +DA:5463,1159 +DA:5464,9322 +DA:5465,23 +DA:5466,29 +DA:5467,53 +DA:5468,60 +DA:5469,28 +DA:5470,25 +DA:5471,1144 +DA:5472,1150 +DA:5473,9316 +DA:5474,24 +DA:5475,24 +DA:5578,23 +DA:7027,24 +DA:7028,189 +DA:7029,32 +DA:7030,193 +DA:7031,105 +DA:7032,1143 +DA:7033,310 +DA:7034,322 +DA:7035,196 +DA:7036,243 +DA:7037,250 +DA:7038,108 +DA:7039,240 +DA:7040,282 +DA:7041,197 +DA:7042,244 +DA:7043,217 +DA:7044,285 +DA:7045,202 +DA:7046,219 +DA:7047,233 +DA:7048,231 +DA:7049,339 +DA:7050,33 +DA:7051,122 +DA:7052,337 +DA:7053,65 +DA:7054,33 +DA:7055,35 +DA:7056,137 +DA:7057,552 +DA:7058,63 +DA:7059,33 +DA:7060,28 +DA:7061,152 +DA:7062,34 +DA:7063,39 +DA:7064,36 +DA:7065,37 +DA:7066,41 +DA:7067,32 +DA:7068,33 +DA:7069,22 +DA:7070,155 +DA:7071,24 +DA:7072,40 +DA:7073,40 +DA:7074,45 +DA:7075,30 +DA:7076,42 +DA:7077,46 +DA:7078,6212 +DA:7079,1185 +DA:7084,30 +DA:7087,26 +DA:7090,36 +DA:7094,22 +DA:7117,220 +DA:7122,115 +DA:7127,226 +DA:7129,218 +DA:7131,123 +DA:7133,235 +DA:7135,229 +DA:7137,118 +DA:7139,226 +DA:7145,21 +DA:7147,23 +DA:7149,25 +DA:7151,26 +DA:7153,25 +DA:7155,23 +DA:7157,24 +DA:7159,25 +DA:7161,23 +DA:7163,21 +DA:7165,27 +DA:7167,28 +DA:9895,380 +DA:9896,459 +DA:9897,446 +DA:9898,542 +DA:9899,427 +DA:9900,510 +DA:9901,417 +DA:9902,481 +DA:9903,391 +DA:9904,478 +DA:9905,381 +DA:9906,474 +DA:9907,447 +DA:9908,539 +DA:9911,85 +DA:9912,83 +DA:9913,82 +DA:9914,88 +DA:9915,88 +DA:9916,84 +DA:9917,87 +DA:9919,127730 +DA:9920,272 +DA:9921,136 +DA:9922,136 +DA:9923,136 +DA:9924,136 +DA:9925,136 +DA:9926,136 +DA:9927,136 +DA:9928,136 +DA:9929,136 +DA:9930,136 +DA:9931,136 +DA:9932,136 +DA:9933,136 +DA:9934,136 +DA:9935,136 +DA:9936,136 +DA:9937,136 +DA:9938,136 +DA:9939,136 +DA:9940,136 +DA:9941,136 +DA:9942,136 +DA:9943,136 +DA:9944,136 +DA:9945,136 +DA:9946,136 +DA:9947,136 +DA:9948,136 +DA:9949,136 +DA:9950,136 +DA:9951,136 +DA:9952,136 +DA:9953,136 +DA:9954,136 +DA:9955,136 +DA:9956,136 +DA:9957,136 +DA:9958,136 +DA:9959,136 +DA:9960,136 +DA:9961,136 +DA:9962,136 +DA:9963,136 +DA:9964,136 +DA:9965,136 +DA:9966,136 +DA:9967,136 +DA:9968,136 +DA:9969,136 +DA:9970,136 +DA:9971,136 +DA:9972,136 +DA:9973,136 +DA:9974,136 +DA:9975,136 +DA:9976,136 +DA:9977,136 +DA:9978,136 +DA:9979,136 +DA:9980,136 +DA:9981,136 +DA:9982,136 +DA:9983,136 +DA:9984,136 +DA:9985,136 +DA:9986,136 +DA:9987,136 +DA:9988,136 +DA:9989,136 +DA:9990,136 +DA:9991,136 +DA:9992,136 +DA:9993,136 +DA:9994,136 +DA:9995,136 +DA:9996,136 +DA:9997,136 +DA:9998,136 +DA:9999,136 +DA:10000,136 +DA:10001,136 +DA:10002,136 +DA:10003,136 +DA:10004,136 +DA:10005,136 +DA:10006,136 +DA:10007,136 +DA:10008,136 +DA:10009,136 +DA:10010,136 +DA:10011,136 +DA:10012,136 +DA:10013,136 +DA:10014,136 +DA:10015,136 +DA:10016,136 +DA:10017,136 +DA:10018,136 +DA:10019,136 +DA:10020,136 +DA:10021,136 +DA:10022,136 +DA:10023,136 +DA:10024,136 +DA:10025,136 +DA:10026,136 +DA:10027,136 +DA:10028,136 +DA:10029,136 +DA:10030,136 +DA:10031,136 +DA:10032,136 +DA:10033,136 +DA:10034,136 +DA:10035,136 +DA:10036,136 +DA:10037,136 +DA:10038,136 +DA:10039,136 +DA:10040,136 +DA:10041,136 +DA:10042,136 +DA:10043,136 +DA:10044,136 +DA:10045,136 +DA:10046,136 +DA:10047,136 +DA:10048,136 +DA:10049,136 +DA:10050,136 +DA:10051,136 +DA:10052,136 +DA:10053,136 +DA:10054,136 +DA:10055,136 +DA:10056,136 +DA:10057,136 +DA:10058,136 +DA:10059,136 +DA:10060,136 +DA:10061,136 +DA:10062,136 +DA:10063,136 +DA:10064,136 +DA:10065,136 +DA:10066,136 +DA:10067,136 +DA:10068,136 +DA:10069,136 +DA:10070,136 +DA:10071,136 +DA:10072,136 +DA:10073,136 +DA:10074,136 +DA:10075,136 +DA:10076,136 +DA:10077,136 +DA:10078,136 +DA:10079,136 +DA:10080,136 +DA:10081,136 +DA:10082,136 +DA:10083,136 +DA:10084,136 +DA:10085,136 +DA:10086,136 +DA:10087,136 +DA:10088,136 +DA:10089,136 +DA:10090,136 +DA:10091,136 +DA:10092,136 +DA:10093,136 +DA:10094,136 +DA:10095,136 +DA:10096,136 +DA:10097,136 +DA:10098,136 +DA:10099,136 +DA:10100,136 +DA:10101,136 +DA:10102,136 +DA:10103,136 +DA:10104,136 +DA:10105,136 +DA:10106,136 +DA:10107,136 +DA:10108,136 +DA:10109,136 +DA:10110,136 +DA:10111,136 +DA:10112,136 +DA:10113,136 +DA:10114,136 +DA:10115,136 +DA:10116,136 +DA:10117,136 +DA:10118,136 +DA:10119,136 +DA:10120,136 +DA:10121,136 +DA:10122,136 +DA:10123,136 +DA:10124,136 +DA:10125,136 +DA:10126,136 +DA:10127,136 +DA:10128,136 +DA:10129,136 +DA:10130,136 +DA:10131,136 +DA:10132,136 +DA:10133,136 +DA:10134,136 +DA:10135,136 +DA:10136,136 +DA:10137,136 +DA:10138,136 +DA:10139,136 +DA:10140,136 +DA:10141,136 +DA:10142,136 +DA:10143,136 +DA:10144,136 +DA:10145,136 +DA:10146,136 +DA:10147,136 +DA:10148,136 +DA:10149,136 +DA:10150,136 +DA:10151,136 +DA:10152,136 +DA:10153,136 +DA:10154,136 +DA:10155,136 +DA:10156,136 +DA:10157,136 +DA:10158,136 +DA:10159,136 +DA:10160,136 +DA:10161,136 +DA:10162,136 +DA:10163,136 +DA:10164,136 +DA:10165,136 +DA:10166,136 +DA:10167,136 +DA:10168,136 +DA:10169,136 +DA:10170,136 +DA:10171,136 +DA:10172,136 +DA:10173,136 +DA:10174,136 +DA:10175,136 +DA:10176,136 +DA:10177,136 +DA:10178,136 +DA:10179,136 +DA:10180,136 +DA:10181,136 +DA:10182,136 +DA:10183,136 +DA:10184,136 +DA:10185,136 +DA:10186,136 +DA:10187,136 +DA:10188,136 +DA:10189,136 +DA:10190,136 +DA:10191,136 +DA:10192,136 +DA:10193,136 +DA:10194,136 +DA:10195,136 +DA:10196,136 +DA:10197,136 +DA:10198,136 +DA:10199,136 +DA:10200,136 +DA:10201,136 +DA:10202,136 +DA:10203,136 +DA:10204,136 +DA:10205,136 +DA:10206,136 +DA:10207,136 +DA:10208,136 +DA:10209,136 +DA:10210,136 +DA:10211,136 +DA:10212,136 +DA:10213,136 +DA:10214,136 +DA:10215,136 +DA:10216,136 +DA:10217,136 +DA:10218,136 +DA:10219,136 +DA:10220,136 +DA:10221,136 +DA:10222,136 +DA:10223,136 +DA:10224,136 +DA:10225,136 +DA:10226,136 +DA:10227,136 +DA:10228,136 +DA:10229,136 +DA:10230,136 +DA:10231,136 +DA:10232,136 +DA:10233,136 +DA:10234,136 +DA:10235,136 +DA:10236,136 +DA:10237,136 +DA:10238,136 +DA:10239,136 +DA:10240,136 +DA:10241,136 +DA:10242,136 +DA:10243,136 +DA:10244,136 +DA:10245,136 +DA:10246,136 +DA:10247,136 +DA:10248,136 +DA:10249,136 +DA:10250,136 +DA:10251,136 +DA:10252,136 +DA:10253,136 +DA:10254,136 +DA:10255,136 +DA:10256,136 +DA:10257,136 +DA:10258,136 +DA:10259,136 +DA:10260,136 +DA:10261,136 +DA:10262,136 +DA:10263,136 +DA:10264,136 +DA:10265,136 +DA:10266,136 +DA:10267,136 +DA:10268,136 +DA:10269,136 +DA:10270,136 +DA:10271,136 +DA:10272,136 +DA:10273,136 +DA:10274,136 +DA:10275,136 +DA:10276,136 +DA:10277,136 +DA:10278,136 +DA:10279,136 +DA:10280,136 +DA:10281,136 +DA:10282,136 +DA:10283,136 +DA:10284,136 +DA:10285,136 +DA:10286,136 +DA:10287,136 +DA:10288,136 +DA:10289,136 +DA:10290,136 +DA:10291,136 +DA:10292,136 +DA:10293,136 +DA:10294,136 +DA:10295,136 +DA:10296,136 +DA:10297,136 +DA:10298,136 +DA:10299,136 +DA:10300,136 +DA:10301,136 +DA:10302,136 +DA:10303,136 +DA:10304,136 +DA:10305,136 +DA:10306,136 +DA:10307,136 +DA:10308,136 +DA:10309,136 +DA:10310,136 +DA:10311,136 +DA:10312,136 +DA:10313,136 +DA:10314,136 +DA:10315,136 +DA:10316,136 +DA:10317,136 +DA:10318,136 +DA:10319,136 +DA:10320,136 +DA:10321,136 +DA:10322,136 +DA:10323,136 +DA:10324,136 +DA:10325,136 +DA:10326,136 +DA:10327,136 +DA:10328,136 +DA:10329,136 +DA:10330,136 +DA:10331,136 +DA:10332,136 +DA:10333,136 +DA:10334,136 +DA:10335,136 +DA:10336,136 +DA:10337,136 +DA:10338,136 +DA:10339,136 +DA:10340,136 +DA:10341,136 +DA:10342,136 +DA:10343,136 +DA:10344,136 +DA:10345,136 +DA:10346,136 +DA:10347,136 +DA:10348,136 +DA:10349,136 +DA:10350,136 +DA:10351,136 +DA:10352,136 +DA:10353,136 +DA:10354,136 +DA:10355,136 +DA:10356,136 +DA:10357,136 +DA:10358,136 +DA:10359,136 +DA:10360,136 +DA:10361,136 +DA:10362,136 +DA:10363,136 +DA:10364,136 +DA:10365,136 +DA:10366,136 +DA:10367,136 +DA:10368,136 +DA:10369,136 +DA:10370,136 +DA:10371,136 +DA:10372,136 +DA:10373,136 +DA:10374,136 +DA:10375,136 +DA:10376,136 +DA:10377,136 +DA:10378,136 +DA:10379,136 +DA:10380,136 +DA:10381,136 +DA:10382,136 +DA:10383,136 +DA:10384,136 +DA:10385,136 +DA:10386,136 +DA:10387,136 +DA:10388,136 +DA:10389,136 +DA:10390,136 +DA:10391,136 +DA:10392,136 +DA:10393,136 +DA:10394,136 +DA:10395,136 +DA:10396,136 +DA:10397,136 +DA:10398,136 +DA:10399,136 +DA:10400,136 +DA:10401,136 +DA:10402,136 +DA:10403,136 +DA:10404,136 +DA:10405,136 +DA:10406,136 +DA:10407,136 +DA:10408,136 +DA:10409,136 +DA:10410,136 +DA:10411,136 +DA:10412,136 +DA:10413,136 +DA:10414,136 +DA:10415,136 +DA:10416,136 +DA:10417,136 +DA:10418,136 +DA:10419,136 +DA:10420,136 +DA:10421,136 +DA:10422,136 +DA:10423,136 +DA:10424,136 +DA:10425,136 +DA:10426,136 +DA:10427,136 +DA:10428,136 +DA:10429,136 +DA:10430,136 +DA:10431,136 +DA:10432,136 +DA:10433,136 +DA:10434,136 +DA:10435,136 +DA:10436,136 +DA:10437,136 +DA:10438,136 +DA:10439,136 +DA:10440,136 +DA:10441,136 +DA:10442,136 +DA:10443,136 +DA:10444,136 +DA:10445,136 +DA:10446,136 +DA:10447,136 +DA:10448,136 +DA:10449,136 +DA:10450,136 +DA:10451,136 +DA:10452,136 +DA:10453,136 +DA:10454,136 +DA:10455,136 +DA:10456,136 +DA:10457,136 +DA:10458,136 +DA:10459,136 +DA:10460,136 +DA:10461,136 +DA:10462,136 +DA:10463,136 +DA:10464,136 +DA:10465,136 +DA:10466,136 +DA:10467,136 +DA:10468,136 +DA:10469,136 +DA:10470,136 +DA:10471,136 +DA:10472,136 +DA:10473,136 +DA:10474,136 +DA:10475,136 +DA:10476,136 +DA:10477,136 +DA:10478,136 +DA:10479,136 +DA:10480,136 +DA:10481,136 +DA:10482,136 +DA:10483,136 +DA:10484,136 +DA:10485,136 +DA:10486,136 +DA:10487,136 +DA:10488,136 +DA:10489,136 +DA:10490,136 +DA:10491,136 +DA:10492,136 +DA:10493,136 +DA:10494,136 +DA:10495,136 +DA:10496,136 +DA:10497,136 +DA:10498,136 +DA:10499,136 +DA:10500,136 +DA:10501,136 +DA:10502,136 +DA:10503,136 +DA:10504,136 +DA:10505,136 +DA:10506,136 +DA:10507,136 +DA:10508,136 +DA:10509,136 +DA:10510,136 +DA:10511,136 +DA:10512,136 +DA:10513,136 +DA:10514,136 +DA:10515,136 +DA:10516,136 +DA:10517,136 +DA:10518,136 +DA:10519,136 +DA:10520,136 +DA:10521,136 +DA:10522,136 +DA:10523,136 +DA:10524,136 +DA:10525,136 +DA:10526,136 +DA:10527,136 +DA:10528,136 +DA:10529,136 +DA:10530,136 +DA:10531,136 +DA:10532,136 +DA:10533,136 +DA:10534,136 +DA:10535,136 +DA:10536,136 +DA:10537,136 +DA:10538,136 +DA:10539,136 +DA:10540,136 +DA:10541,136 +DA:10542,136 +DA:10543,136 +DA:10544,136 +DA:10545,136 +DA:10546,136 +DA:10547,136 +DA:10548,136 +DA:10549,136 +DA:10550,136 +DA:10551,136 +DA:10552,136 +DA:10553,136 +DA:10554,136 +DA:10555,136 +DA:10556,136 +DA:10557,136 +DA:10558,136 +DA:10559,136 +DA:10560,136 +DA:10561,136 +DA:10562,136 +DA:10563,136 +DA:10564,136 +DA:10565,136 +DA:10566,136 +DA:10567,136 +DA:10568,136 +DA:10569,136 +DA:10570,136 +DA:10571,136 +DA:10572,136 +DA:10573,136 +DA:10574,136 +DA:10575,136 +DA:10576,136 +DA:10577,136 +DA:10578,136 +DA:10579,136 +DA:10580,136 +DA:10581,136 +DA:10582,136 +DA:10583,136 +DA:10584,136 +DA:10585,136 +DA:10586,136 +DA:10587,136 +DA:10588,136 +DA:10589,136 +DA:10590,136 +DA:10591,136 +DA:10592,136 +DA:10593,136 +DA:10594,136 +DA:10595,136 +DA:10596,136 +DA:10597,136 +DA:10598,136 +DA:10599,136 +DA:10600,136 +DA:10601,136 +DA:10602,136 +DA:10603,136 +DA:10604,136 +DA:10605,136 +DA:10606,136 +DA:10607,136 +DA:10608,136 +DA:10609,136 +DA:10610,136 +DA:10611,136 +DA:10612,136 +DA:10613,136 +DA:10614,136 +DA:10615,136 +DA:10616,136 +DA:10617,136 +DA:10618,136 +DA:10619,136 +DA:10620,136 +DA:10621,136 +DA:10622,136 +DA:10623,136 +DA:10624,136 +DA:10625,136 +DA:10626,136 +DA:10627,136 +DA:10628,136 +DA:10629,136 +DA:10630,136 +DA:10631,136 +DA:10632,136 +DA:10633,136 +DA:10634,136 +DA:10635,136 +DA:10636,136 +DA:10637,136 +DA:10638,136 +DA:10639,136 +DA:10640,136 +DA:10641,136 +DA:10642,136 +DA:10643,136 +DA:10644,136 +DA:10645,136 +DA:10646,136 +DA:10647,136 +DA:10648,136 +DA:10649,136 +DA:10650,136 +DA:10651,136 +DA:10652,136 +DA:10653,136 +DA:10654,136 +DA:10655,136 +DA:10656,136 +DA:10657,136 +DA:10658,136 +DA:10659,136 +DA:10660,136 +DA:10661,136 +DA:10662,136 +DA:10663,136 +DA:10664,136 +DA:10665,136 +DA:10666,136 +DA:10667,136 +DA:10668,136 +DA:10669,136 +DA:10670,136 +DA:10671,136 +DA:10672,136 +DA:10673,136 +DA:10674,136 +DA:10675,136 +DA:10676,136 +DA:10677,136 +DA:10678,136 +DA:10679,136 +DA:10680,136 +DA:10681,136 +DA:10682,136 +DA:10683,136 +DA:10684,136 +DA:10685,136 +DA:10686,136 +DA:10687,136 +DA:10688,136 +DA:10689,136 +DA:10690,136 +DA:10691,136 +DA:10692,136 +DA:10693,136 +DA:10694,136 +DA:10695,136 +DA:10696,136 +DA:10697,136 +DA:10698,136 +DA:10699,136 +DA:10700,136 +DA:10701,136 +DA:10702,136 +DA:10703,136 +DA:10704,136 +DA:10705,136 +DA:10706,136 +DA:10707,136 +DA:10708,136 +DA:10709,136 +DA:10710,136 +DA:10711,136 +DA:10712,136 +DA:10713,136 +DA:10714,136 +DA:10715,136 +DA:10716,136 +DA:10717,136 +DA:10718,136 +DA:10719,136 +DA:10720,136 +DA:10721,136 +DA:10722,136 +DA:10723,136 +DA:10724,136 +DA:10725,136 +DA:10726,136 +DA:10727,136 +DA:10728,136 +DA:10729,136 +DA:10730,136 +DA:10731,136 +DA:10732,136 +DA:10733,136 +DA:10734,136 +DA:10735,136 +DA:10736,136 +DA:10737,136 +DA:10738,136 +DA:10739,136 +DA:10740,136 +DA:10741,136 +DA:10742,136 +DA:10743,136 +DA:10744,136 +DA:10745,136 +DA:10746,136 +DA:10747,136 +DA:10748,136 +DA:10749,136 +DA:10750,136 +DA:10751,136 +DA:10752,136 +DA:10753,136 +DA:10754,136 +DA:10755,136 +DA:10756,136 +DA:10757,136 +DA:10758,136 +DA:10759,136 +DA:10760,136 +DA:10761,136 +DA:10762,136 +DA:10763,136 +DA:10764,136 +DA:10765,136 +DA:10766,136 +DA:10767,136 +DA:10768,136 +DA:10769,136 +DA:10770,136 +DA:10771,136 +DA:10772,136 +DA:10773,136 +DA:10774,136 +DA:10775,136 +DA:10776,136 +DA:10777,136 +DA:10778,136 +DA:10779,136 +DA:10780,136 +DA:10781,136 +DA:10782,136 +DA:10783,136 +DA:10784,136 +DA:10785,136 +DA:10786,136 +DA:10787,136 +DA:10788,136 +DA:10789,136 +DA:10790,136 +DA:10791,136 +DA:10792,136 +DA:10793,136 +DA:10794,136 +DA:10795,136 +DA:10796,136 +DA:10797,136 +DA:10798,136 +DA:10799,136 +DA:10801,63729 +DA:10802,63729 +DA:10803,63729 +DA:10804,63729 +DA:10805,63729 +DA:10806,63729 +DA:10807,63729 +DA:10808,63729 +DA:10809,63729 +DA:10810,63729 +DA:10811,63729 +DA:10812,63729 +DA:10813,63729 +DA:10814,63729 +DA:10815,63729 +DA:10816,63729 +DA:10817,63729 +DA:10818,63729 +DA:10819,63729 +DA:10820,63729 +DA:10821,63729 +DA:10822,63729 +DA:10823,63729 +DA:10824,63729 +DA:10825,63729 +DA:10826,63729 +DA:10827,63729 +DA:10828,63729 +DA:10829,63729 +DA:10830,63729 +DA:10831,63729 +DA:10832,63729 +DA:10833,63729 +DA:10834,63729 +DA:10835,63729 +DA:10836,63729 +DA:10837,63729 +DA:10838,63729 +DA:10839,63729 +DA:10840,63729 +DA:10841,63729 +DA:10842,63729 +DA:10843,63729 +DA:10844,63729 +DA:10845,63729 +DA:10846,63729 +DA:10847,63729 +DA:10848,63729 +DA:10849,63729 +DA:10850,63729 +DA:10851,63729 +DA:10852,63729 +DA:10853,63729 +DA:10854,63729 +DA:10855,63729 +DA:10856,63729 +DA:10857,63729 +DA:10858,63729 +DA:10859,63729 +DA:10860,63729 +DA:10861,63729 +DA:10862,63729 +DA:10863,63729 +DA:10864,63729 +DA:10865,63729 +DA:10866,63729 +DA:10867,63729 +DA:10868,63729 +DA:10869,63729 +DA:10870,63729 +DA:10871,63729 +DA:10872,63729 +DA:10873,63729 +DA:10874,63729 +DA:10875,63729 +DA:10876,63729 +DA:10877,63729 +DA:10878,63729 +DA:10879,63729 +DA:10880,63729 +DA:10881,63729 +DA:10882,63729 +DA:10883,63729 +DA:10884,63729 +DA:10885,63729 +DA:10886,63729 +DA:10887,63729 +DA:10888,63729 +DA:10889,63729 +DA:10890,63729 +DA:10891,63729 +DA:10892,63729 +DA:10893,63729 +DA:10894,63729 +DA:10895,63729 +DA:10896,63729 +DA:10897,63729 +DA:10898,63729 +DA:10899,63729 +DA:10900,63729 +DA:10901,63729 +DA:10902,63729 +DA:10903,63729 +DA:10904,63729 +DA:10905,63729 +DA:10906,63729 +DA:10907,63729 +DA:10908,63729 +DA:10909,63729 +DA:10910,63729 +DA:10911,63729 +DA:10912,63729 +DA:10913,63729 +DA:10914,63729 +DA:10915,63729 +DA:10916,63729 +DA:10917,63729 +DA:10918,63729 +DA:10919,63729 +DA:10920,63729 +DA:10921,63729 +DA:10922,63729 +DA:10923,63729 +DA:10924,63729 +DA:10925,63729 +DA:10926,63729 +DA:10927,63729 +DA:10928,63729 +DA:10929,63729 +DA:10930,63729 +DA:10931,63729 +DA:10932,63729 +DA:10933,63729 +DA:10934,63729 +DA:10935,63729 +DA:10936,63729 +DA:10937,63729 +DA:10938,63729 +DA:10939,63729 +DA:10940,63729 +DA:10941,63729 +DA:10942,63729 +DA:10943,8350 +DA:10944,4175 +DA:10945,4175 +DA:10946,4175 +DA:10947,4175 +DA:10948,4175 +DA:10949,4175 +DA:10950,4175 +DA:10951,4175 +DA:10952,4175 +DA:10953,4175 +DA:10954,4175 +DA:10955,4175 +DA:10956,4175 +DA:10957,4175 +DA:10958,4175 +DA:10959,4175 +DA:10960,4175 +DA:10961,4175 +DA:10962,4175 +DA:10963,4175 +DA:10964,4175 +DA:10965,4175 +DA:10966,4175 +DA:10967,4175 +DA:10968,4175 +DA:10969,4175 +DA:10970,4175 +DA:10971,4175 +DA:10972,4175 +DA:10973,4175 +DA:10974,4175 +DA:10975,4175 +DA:10976,4175 +DA:10977,4175 +DA:10978,4175 +DA:10979,4175 +DA:10980,4175 +DA:10981,4175 +DA:10982,4175 +DA:10983,4175 +DA:10984,4175 +DA:10985,4175 +DA:10986,4175 +DA:10987,4175 +DA:10988,4175 +DA:10989,4175 +DA:10990,4175 +DA:10991,4175 +DA:10992,4175 +DA:10993,4175 +DA:10994,4175 +DA:10995,4175 +DA:10996,4175 +DA:10997,4175 +DA:10998,4175 +DA:10999,4175 +DA:11000,4175 +DA:11001,4175 +DA:11002,4175 +DA:11003,4175 +DA:11004,4175 +DA:11005,4175 +DA:11006,4175 +DA:11007,4175 +DA:11008,4175 +DA:11009,4175 +DA:11010,4175 +DA:11011,4175 +DA:11012,4175 +DA:11013,4175 +DA:11014,4175 +DA:11015,4175 +DA:11016,4175 +DA:11017,4175 +DA:11018,4175 +DA:11019,4175 +DA:11020,4175 +DA:11021,4175 +DA:11022,4175 +DA:11023,4175 +DA:11024,4175 +DA:11025,4175 +DA:11026,4175 +DA:11027,4175 +DA:11028,4175 +DA:11029,4175 +DA:11030,4175 +DA:11031,4175 +DA:11032,4175 +DA:11033,4175 +DA:11034,4175 +DA:11035,4175 +DA:11036,4175 +DA:11037,4175 +DA:11038,4175 +DA:11039,4175 +DA:11040,4175 +DA:11041,4175 +DA:11042,4175 +DA:11043,4175 +DA:11044,4175 +DA:11045,4175 +DA:11046,4175 +DA:11047,4175 +DA:11048,4175 +DA:11049,4175 +DA:11050,4175 +DA:11051,4175 +DA:11052,4175 +DA:11053,4175 +DA:11054,4175 +DA:11055,4175 +DA:11056,4175 +DA:11057,4175 +DA:11058,4175 +DA:11059,4175 +DA:11060,4175 +DA:11061,4175 +DA:11062,4175 +DA:11063,4175 +DA:11064,4175 +DA:11065,4175 +DA:11066,4175 +DA:11067,4175 +DA:11068,4175 +DA:11069,4175 +DA:11070,4175 +DA:11071,4175 +DA:11072,4175 +DA:11073,4175 +DA:11074,4175 +DA:11075,4175 +DA:11076,4175 +DA:11077,4175 +DA:11078,4175 +DA:11079,4175 +DA:11080,4175 +DA:11081,4175 +DA:11082,4175 +DA:11083,4175 +DA:11084,4175 +DA:11085,4175 +DA:11086,4175 +DA:11087,4175 +DA:11088,4175 +DA:11089,4175 +DA:11090,4175 +DA:11091,4175 +DA:11092,4175 +DA:11093,4175 +DA:11094,4175 +DA:11095,4175 +DA:11096,4175 +DA:11097,4175 +DA:11098,4175 +DA:11099,4175 +DA:11100,4175 +DA:11101,4175 +DA:11102,4175 +DA:11103,4175 +DA:11104,4175 +DA:11105,4175 +DA:11106,4175 +DA:11107,4175 +DA:11108,4175 +DA:11109,4175 +DA:11110,4175 +DA:11111,4175 +DA:11112,4175 +DA:11113,4175 +DA:11114,4175 +DA:11115,4175 +DA:11116,4175 +DA:11117,4175 +DA:11118,4175 +DA:11119,4175 +DA:11120,4175 +DA:11121,4175 +DA:11122,4175 +DA:11123,4175 +DA:11124,4175 +DA:11125,4175 +DA:11126,4175 +DA:11127,4175 +DA:11128,4175 +DA:11129,4175 +DA:11130,4175 +DA:11131,4175 +DA:11132,4175 +DA:11133,4175 +DA:11134,4175 +DA:11135,4175 +DA:11136,4175 +DA:11137,4175 +DA:11138,4175 +DA:11139,4175 +DA:11140,4175 +DA:11141,4175 +DA:11142,4175 +DA:11143,4175 +DA:11144,4175 +DA:11145,4175 +DA:11146,4175 +DA:11147,4175 +DA:11148,4175 +DA:11149,4175 +DA:11150,4175 +DA:11151,4175 +DA:11152,4175 +DA:11153,4175 +DA:11154,4175 +DA:11155,4175 +DA:11156,4175 +DA:11157,4175 +DA:11158,4175 +DA:11159,4175 +DA:11160,4175 +DA:11161,4175 +DA:11162,4175 +DA:11163,4175 +DA:11164,4175 +DA:11165,4175 +DA:11166,4175 +DA:11167,4175 +DA:11168,4175 +DA:11169,4175 +DA:11170,4175 +DA:11171,4175 +DA:11172,4175 +DA:11173,4175 +DA:11174,4175 +DA:11175,4175 +DA:11176,4175 +DA:11177,4175 +DA:11178,4175 +DA:11179,4175 +DA:11180,4175 +DA:11181,4175 +DA:11182,4175 +DA:11183,4175 +DA:11184,4175 +DA:11185,4175 +DA:11186,4175 +DA:11187,4175 +DA:11188,4175 +DA:11189,4175 +DA:11190,4175 +DA:11191,4175 +DA:11192,4175 +DA:11193,4175 +DA:11194,4175 +DA:11195,4175 +DA:11196,4175 +DA:11197,4175 +DA:11198,4175 +DA:11199,4175 +DA:11200,4175 +DA:11201,4175 +DA:11202,4175 +DA:11204,8252 +DA:11205,4126 +DA:11206,4126 +DA:11207,4126 +DA:11208,4126 +DA:11209,4126 +DA:11210,4126 +DA:11211,4126 +DA:11212,4126 +DA:11213,4126 +DA:11214,4126 +DA:11215,4126 +DA:11216,4126 +DA:11217,4126 +DA:11218,4126 +DA:11219,4126 +DA:11220,4126 +DA:11221,4126 +DA:11222,4126 +DA:11223,4126 +DA:11224,4126 +DA:11225,4126 +DA:11226,4126 +DA:11227,4126 +DA:11228,4126 +DA:11229,4126 +DA:11230,4126 +DA:11231,4126 +DA:11232,4126 +DA:11233,4126 +DA:11234,4126 +DA:11235,4126 +DA:11236,4126 +DA:11237,4126 +DA:11238,4126 +DA:11239,4126 +DA:11240,4126 +DA:11241,4126 +DA:11242,4126 +DA:11243,4126 +DA:11244,4126 +DA:11245,4126 +DA:11246,4126 +DA:11247,4126 +DA:11248,4126 +DA:11249,4126 +DA:11250,4126 +DA:11251,4126 +DA:11252,4126 +DA:11253,4126 +DA:11254,4126 +DA:11255,4126 +DA:11256,4126 +DA:11257,4126 +DA:11258,4126 +DA:11259,4126 +DA:11260,4126 +DA:11261,4126 +DA:11262,4126 +DA:11263,4126 +DA:11264,4126 +DA:11265,4126 +DA:11266,4126 +DA:11267,4126 +DA:11268,4126 +DA:11269,4126 +DA:11270,4126 +DA:11271,4126 +DA:11272,4126 +DA:11273,4126 +DA:11274,4126 +DA:11275,4126 +DA:11276,4126 +DA:11277,4126 +DA:11278,4126 +DA:11279,4126 +DA:11280,4126 +DA:11281,4126 +DA:11282,4126 +DA:11283,4126 +DA:11284,4126 +DA:11285,4126 +DA:11286,4126 +DA:11287,4126 +DA:11288,4126 +DA:11289,4126 +DA:11290,4126 +DA:11291,4126 +DA:11292,4126 +DA:11293,4126 +DA:11294,4126 +DA:11295,4126 +DA:11296,4126 +DA:11297,4126 +DA:11298,4126 +DA:11299,4126 +DA:11300,4126 +DA:11301,4126 +DA:11302,4126 +DA:11303,4126 +DA:11304,4126 +DA:11305,4126 +DA:11306,4126 +DA:11307,4126 +DA:11308,4126 +DA:11309,4126 +DA:11310,4126 +DA:11311,4126 +DA:11312,4126 +DA:11313,4126 +DA:11314,4126 +DA:11315,4126 +DA:11316,4126 +DA:11317,4126 +DA:11318,4126 +DA:11319,4126 +DA:11320,4126 +DA:11321,4126 +DA:11322,4126 +DA:11323,4126 +DA:11324,4126 +DA:11325,4126 +DA:11326,4126 +DA:11327,4126 +DA:11328,4126 +DA:11329,4126 +DA:11330,4126 +DA:11331,4126 +DA:11332,4126 +DA:11333,4126 +DA:11334,4126 +DA:11335,4126 +DA:11336,4126 +DA:11337,4126 +DA:11338,4126 +DA:11339,4126 +DA:11340,4126 +DA:11341,4126 +DA:11342,4126 +DA:11343,4126 +DA:11344,4126 +DA:11345,4126 +DA:11346,4126 +DA:11347,4126 +DA:11348,4126 +DA:11349,4126 +DA:11350,4126 +DA:11351,4126 +DA:11352,4126 +DA:11353,4126 +DA:11354,4126 +DA:11355,4126 +DA:11356,4126 +DA:11357,4126 +DA:11358,4126 +DA:11359,4126 +DA:11360,4126 +DA:11361,4126 +DA:11362,4126 +DA:11363,4126 +DA:11364,4126 +DA:11365,4126 +DA:11366,4126 +DA:11367,4126 +DA:11368,4126 +DA:11369,4126 +DA:11370,4126 +DA:11371,4126 +DA:11372,4126 +DA:11373,4126 +DA:11374,4126 +DA:11375,4126 +DA:11376,4126 +DA:11377,4126 +DA:11378,4126 +DA:11379,4126 +DA:11380,4126 +DA:11381,4126 +DA:11382,4126 +DA:11383,4126 +DA:11384,4126 +DA:11385,4126 +DA:11386,4126 +DA:11387,4126 +DA:11388,4126 +DA:11389,4126 +DA:11390,4126 +DA:11391,4126 +DA:11392,4126 +DA:11393,4126 +DA:11394,4126 +DA:11395,4126 +DA:11396,4126 +DA:11397,4126 +DA:11398,4126 +DA:11399,4126 +DA:11400,4126 +DA:11401,4126 +DA:11402,4126 +DA:11403,4126 +DA:11404,4126 +DA:11405,4126 +DA:11406,4126 +DA:11407,4126 +DA:11408,4126 +DA:11409,4126 +DA:11411,8150 +DA:11412,4075 +DA:11413,4075 +DA:11414,4075 +DA:11415,4075 +DA:11416,4075 +DA:11417,4075 +DA:11418,4075 +DA:11419,4075 +DA:11420,4075 +DA:11421,4075 +DA:11422,4075 +DA:11423,4075 +DA:11424,4075 +DA:11425,4075 +DA:11426,4075 +DA:11427,4075 +DA:11428,4075 +DA:11429,4075 +DA:11430,4075 +DA:11431,4075 +DA:11432,4075 +DA:11433,4075 +DA:11434,4075 +DA:11435,4075 +DA:11436,4075 +DA:11437,4075 +DA:11438,4075 +DA:11439,4075 +DA:11440,4075 +DA:11441,4075 +DA:11442,4075 +DA:11443,4075 +DA:11444,4075 +DA:11445,4075 +DA:11446,4075 +DA:11447,4075 +DA:11448,4075 +DA:11449,4075 +DA:11450,4075 +DA:11451,4075 +DA:11452,4075 +DA:11453,4075 +DA:11454,4075 +DA:11455,4075 +DA:11456,4075 +DA:11457,4075 +DA:11458,4075 +DA:11459,4075 +DA:11460,4075 +DA:11461,4075 +DA:11462,4075 +DA:11463,4075 +DA:11464,4075 +DA:11465,4075 +DA:11466,4075 +DA:11467,4075 +DA:11468,4075 +DA:11469,4075 +DA:11470,4075 +DA:11471,4075 +DA:11472,4075 +DA:11473,4075 +DA:11474,4075 +DA:11475,4075 +DA:11476,4075 +DA:11477,4075 +DA:11478,4075 +DA:11479,4075 +DA:11480,4075 +DA:11481,4075 +DA:11482,4075 +DA:11483,4075 +DA:11484,4075 +DA:11485,4075 +DA:11486,4075 +DA:11487,4075 +DA:11488,4075 +DA:11489,4075 +DA:11490,4075 +DA:11491,4075 +DA:11492,4075 +DA:11493,4075 +DA:11494,4075 +DA:11495,4075 +DA:11496,4075 +DA:11497,4075 +DA:11498,4075 +DA:11499,4075 +DA:11500,4075 +DA:11501,4075 +DA:11502,4075 +DA:11503,4075 +DA:11504,4075 +DA:11505,4075 +DA:11506,4075 +DA:11507,4075 +DA:11508,4075 +DA:11509,4075 +DA:11510,4075 +DA:11511,4075 +DA:11512,4075 +DA:11513,4075 +DA:11514,4075 +DA:11515,4075 +DA:11516,4075 +DA:11517,4075 +DA:11518,4075 +DA:11519,4075 +DA:11520,4075 +DA:11521,4075 +DA:11522,4075 +DA:11523,4075 +DA:11524,4075 +DA:11525,4075 +DA:11526,4075 +DA:11527,4075 +DA:11528,4075 +DA:11529,4075 +DA:11530,4075 +DA:11531,4075 +DA:11532,4075 +DA:11533,4075 +DA:11534,4075 +DA:11535,4075 +DA:11536,4075 +DA:11537,4075 +DA:11538,4075 +DA:11539,4075 +DA:11540,4075 +DA:11541,4075 +DA:11542,4075 +DA:11543,4075 +DA:11544,4075 +DA:11545,4075 +DA:11546,4075 +DA:11547,4075 +DA:11548,4075 +DA:11549,4075 +DA:11550,4075 +DA:11551,4075 +DA:11552,4075 +DA:11553,4075 +DA:11554,4075 +DA:11555,4075 +DA:11556,4075 +DA:11557,4075 +DA:11558,4075 +DA:11559,4075 +DA:11560,4075 +DA:11561,4075 +DA:11562,4075 +DA:11563,4075 +DA:11564,4075 +DA:11565,4075 +DA:11566,4075 +DA:11567,4075 +DA:11568,4075 +DA:11569,4075 +DA:11570,4075 +DA:11571,4075 +DA:11572,4075 +DA:11573,4075 +DA:11574,4075 +DA:11575,4075 +DA:11576,4075 +DA:11577,4075 +DA:11578,4075 +DA:11579,4075 +DA:11580,4075 +DA:11581,4075 +DA:11582,4075 +DA:11583,4075 +DA:11584,4075 +DA:11585,4075 +DA:11586,4075 +DA:11587,4075 +DA:11588,4075 +DA:11589,4075 +DA:11590,4075 +DA:11591,4075 +DA:11592,4075 +DA:11593,4075 +DA:11594,4075 +DA:11595,4075 +DA:11596,4075 +DA:11597,4075 +DA:11598,4075 +DA:11599,4075 +DA:11600,4075 +DA:11601,4075 +DA:11602,4075 +DA:11603,4075 +DA:11604,4075 +DA:11605,4075 +DA:11606,4075 +DA:11607,4075 +DA:11608,4075 +DA:11609,4075 +DA:11610,4075 +DA:11611,4075 +DA:11612,4075 +DA:11613,4075 +DA:11614,4075 +DA:11615,4075 +DA:11616,4075 +DA:11617,4075 +DA:11618,4075 +DA:11619,4075 +DA:11620,4075 +DA:11621,4075 +DA:11622,4075 +DA:11623,4075 +DA:11624,4075 +DA:11625,4075 +DA:11626,4075 +DA:11627,4075 +DA:11628,4075 +DA:11629,4075 +DA:11630,4075 +DA:11631,4075 +DA:11632,4075 +DA:11633,4075 +DA:11634,4075 +DA:11635,4075 +DA:11636,4075 +DA:11637,4075 +DA:11638,4075 +DA:11639,4075 +DA:11640,4075 +DA:11641,4075 +DA:11642,4075 +DA:11643,4075 +DA:11644,4075 +DA:11645,4075 +DA:11646,4075 +DA:11647,4075 +DA:11648,4075 +DA:11649,4075 +DA:11650,4075 +DA:11651,4075 +DA:11652,4075 +DA:11653,4075 +DA:11654,4075 +DA:11655,4075 +DA:11656,4075 +DA:11657,4075 +DA:11658,4075 +DA:11659,4075 +DA:11660,4075 +DA:11661,4075 +DA:11662,4075 +DA:11663,4075 +DA:11664,4075 +DA:11665,4075 +DA:11666,4075 +DA:11667,4075 +DA:11668,4075 +DA:11669,4075 +DA:11670,4075 +DA:11671,4075 +DA:11672,4075 +DA:11673,4075 +DA:11674,4075 +DA:11675,4075 +DA:11676,4075 +DA:11677,4075 +DA:11678,4075 +DA:11679,4075 +DA:11680,4075 +DA:11681,4075 +DA:11682,4075 +DA:11683,4075 +DA:11684,4075 +DA:11685,4075 +DA:11686,4075 +DA:11687,4075 +DA:11688,4075 +DA:11690,63729 +DA:11691,63729 +DA:11692,63729 +DA:11693,63729 +DA:11694,63729 +DA:11695,63729 +DA:11696,63729 +DA:11697,63729 +DA:11698,63729 +DA:11699,63729 +DA:11700,63729 +DA:11701,63729 +DA:11702,63729 +DA:11703,63729 +DA:11704,63729 +DA:11705,63729 +DA:11706,63729 +DA:11707,63729 +DA:11708,63729 +DA:11709,63729 +DA:11710,63729 +DA:11711,63729 +DA:11712,63729 +DA:11713,63729 +DA:11714,63729 +DA:11715,63729 +DA:11716,63729 +DA:11717,63729 +DA:11718,63729 +DA:11719,63729 +DA:11720,63729 +DA:11721,63729 +DA:11722,63729 +DA:11723,63729 +DA:11724,63729 +DA:11725,63729 +DA:11726,63729 +DA:11727,63729 +DA:11728,63729 +DA:11729,63729 +DA:11730,63729 +DA:11731,63729 +DA:11732,63729 +DA:11733,63729 +DA:11734,63729 +DA:11735,63729 +DA:11736,63729 +DA:11737,63729 +DA:11738,63729 +DA:11739,63729 +DA:11740,63729 +DA:11741,63729 +DA:11742,63729 +DA:11743,63729 +DA:11744,63729 +DA:11745,63729 +DA:11746,63729 +DA:11747,63729 +DA:11748,63729 +DA:11749,63729 +DA:11750,63729 +DA:11751,63729 +DA:11752,63729 +DA:11753,63729 +DA:11754,63729 +DA:11755,63729 +DA:11756,63729 +DA:11757,63729 +DA:11758,63729 +DA:11759,63729 +DA:11760,63729 +DA:11761,63729 +DA:11762,63729 +DA:11763,63729 +DA:11764,63729 +DA:11765,63729 +DA:11766,63729 +DA:11767,63729 +DA:11768,63729 +DA:11769,63729 +DA:11770,63729 +DA:11771,63729 +DA:11772,63729 +DA:11773,63729 +DA:11774,63729 +DA:11775,63729 +DA:11776,63729 +DA:11777,63729 +DA:11778,63729 +DA:11779,63729 +DA:11780,63729 +DA:11781,63729 +DA:11782,63729 +DA:11783,63729 +DA:11784,63729 +DA:11785,63729 +DA:11786,63729 +DA:11787,63729 +DA:11788,63729 +DA:11789,63729 +DA:11790,63729 +DA:11791,63729 +DA:11792,63729 +DA:11793,63729 +DA:11794,63729 +DA:11795,63729 +DA:11796,63729 +DA:11797,63729 +DA:11798,63729 +DA:11799,63729 +DA:11800,63729 +DA:11801,63729 +DA:11802,63729 +DA:11803,63729 +DA:11804,63729 +DA:11805,63729 +DA:11806,63729 +DA:11807,63729 +DA:11808,63729 +DA:11809,63729 +DA:11810,63729 +DA:11811,63729 +DA:11812,63729 +DA:11813,63729 +DA:11814,63729 +DA:11815,63729 +DA:11816,63729 +DA:11817,63729 +DA:11818,63729 +DA:11819,63729 +DA:11820,63729 +DA:11821,63729 +DA:11822,63729 +DA:11823,63729 +DA:11824,63729 +DA:11825,63729 +DA:11826,63729 +DA:11827,63729 +DA:11828,63729 +DA:11829,63729 +DA:11830,63729 +DA:11831,63729 +DA:11832,63729 +DA:11833,63729 +DA:11834,63729 +DA:11835,63729 +DA:11836,63729 +DA:11837,26 +DA:11838,13 +DA:11839,24 +DA:11840,12 +DA:11841,0 +DA:11842,0 +DA:11843,4 +DA:11844,2 +DA:11845,2 +DA:11846,1 +DA:11847,0 +DA:11848,0 +DA:11849,0 +DA:11850,0 +DA:11851,0 +DA:11852,0 +DA:11853,0 +DA:11854,0 +DA:11855,0 +DA:11856,0 +DA:11857,0 +DA:11858,0 +DA:11859,0 +DA:11860,0 +DA:11861,0 +DA:11862,0 +DA:11863,0 +DA:11864,0 +DA:11865,0 +DA:11866,0 +DA:11867,0 +DA:11868,0 +DA:11869,0 +DA:11870,0 +DA:11871,0 +DA:11872,0 +DA:11873,0 +DA:11874,0 +DA:11875,2 +DA:11876,1 +DA:11877,0 +DA:11878,0 +DA:11879,0 +DA:11880,0 +DA:11881,2 +DA:11882,1 +DA:11883,2 +DA:11884,1 +DA:11885,0 +DA:11886,0 +DA:11887,0 +DA:11888,0 +DA:11889,0 +DA:11890,0 +DA:11891,0 +DA:11892,0 +DA:11893,0 +DA:11894,0 +DA:11895,2 +DA:11896,1 +DA:11897,0 +DA:11898,0 +DA:11899,4 +DA:11900,2 +DA:11901,0 +DA:11902,0 +DA:11903,0 +DA:11904,0 +DA:11905,0 +DA:11906,0 +DA:11907,0 +DA:11908,0 +DA:11909,0 +DA:11910,0 +DA:11911,0 +DA:11912,0 +DA:11913,0 +DA:11914,0 +DA:11915,0 +DA:11916,0 +DA:11917,0 +DA:11918,0 +DA:11919,0 +DA:11920,0 +DA:11921,0 +DA:11922,0 +DA:11923,2 +DA:11924,1 +DA:11925,0 +DA:11926,0 +DA:11927,0 +DA:11928,0 +DA:11929,0 +DA:11930,0 +DA:11931,0 +DA:11932,0 +DA:11933,0 +DA:11934,0 +DA:11935,0 +DA:11936,0 +DA:11937,0 +DA:11938,0 +DA:11939,0 +DA:11940,0 +DA:11941,0 +DA:11942,0 +DA:11943,0 +DA:11944,0 +DA:11945,0 +DA:11946,0 +DA:11947,0 +DA:11948,0 +DA:11949,0 +DA:11950,0 +DA:11951,0 +DA:11952,0 +DA:11953,0 +DA:11954,0 +DA:11955,0 +DA:11956,0 +DA:11957,0 +DA:11958,0 +DA:11959,0 +DA:11960,0 +DA:11961,0 +DA:11962,0 +DA:11963,0 +DA:11964,0 +DA:11965,0 +DA:11966,0 +DA:11967,0 +DA:11968,0 +DA:11969,0 +DA:11970,0 +DA:11971,0 +DA:11972,0 +DA:11973,0 +DA:11974,0 +DA:11975,2 +DA:11976,1 +DA:11977,4 +DA:11978,2 +DA:11979,0 +DA:11980,0 +DA:11981,0 +DA:11982,0 +DA:11983,0 +DA:11984,0 +DA:11985,0 +DA:11986,0 +DA:11987,0 +DA:11988,0 +DA:11989,0 +DA:11990,0 +DA:11991,0 +DA:11992,0 +DA:11993,0 +DA:11994,0 +DA:11995,0 +DA:11996,0 +DA:11997,0 +DA:11998,0 +DA:11999,0 +DA:12000,0 +DA:12001,0 +DA:12002,0 +DA:12003,0 +DA:12004,0 +DA:12005,0 +DA:12006,0 +DA:12007,0 +DA:12008,0 +DA:12009,0 +DA:12010,0 +DA:12011,0 +DA:12012,0 +DA:12013,0 +DA:12014,0 +DA:12015,0 +DA:12016,0 +DA:12017,0 +DA:12018,0 +DA:12019,0 +DA:12020,0 +DA:12021,0 +DA:12022,0 +DA:12023,0 +DA:12024,0 +DA:12025,0 +DA:12026,0 +DA:12027,0 +DA:12028,0 +DA:12029,0 +DA:12030,0 +DA:12031,0 +DA:12032,0 +DA:12033,0 +DA:12034,0 +DA:12035,0 +DA:12036,0 +DA:12037,0 +DA:12038,0 +DA:12039,0 +DA:12040,0 +DA:12041,0 +DA:12042,0 +DA:12043,0 +DA:12044,0 +DA:12045,0 +DA:12046,0 +DA:12047,0 +DA:12048,0 +DA:12049,0 +DA:12050,0 +DA:12051,0 +DA:12052,0 +DA:12053,0 +DA:12054,0 +DA:12055,0 +DA:12056,0 +DA:12057,0 +DA:12058,0 +DA:12059,0 +DA:12060,0 +DA:12061,0 +DA:12062,0 +DA:12063,0 +DA:12064,0 +DA:12065,0 +DA:12066,0 +DA:12067,2 +DA:12068,1 +DA:12069,2 +DA:12070,1 +DA:12071,0 +DA:12072,0 +DA:12073,0 +DA:12074,0 +DA:12075,0 +DA:12076,0 +DA:12077,0 +DA:12078,0 +DA:12079,2 +DA:12080,1 +DA:12081,0 +DA:12082,0 +DA:12083,0 +DA:12084,0 +DA:12085,0 +DA:12086,0 +DA:12087,0 +DA:12088,0 +DA:12089,0 +DA:12090,0 +DA:12091,2 +DA:12092,1 +DA:12093,0 +DA:12094,0 +DA:12095,0 +DA:12096,0 +DA:12097,0 +DA:12098,0 +DA:12099,0 +DA:12100,0 +DA:12101,0 +DA:12102,0 +DA:12103,0 +DA:12104,0 +DA:12105,0 +DA:12106,0 +DA:12107,0 +DA:12108,0 +DA:12109,0 +DA:12110,0 +DA:12111,0 +DA:12112,0 +DA:12113,0 +DA:12114,0 +DA:12115,0 +DA:12116,0 +DA:12117,0 +DA:12118,0 +DA:12119,0 +DA:12120,0 +DA:12121,0 +DA:12122,0 +DA:12123,0 +DA:12124,0 +DA:12125,0 +DA:12126,0 +DA:12127,0 +DA:12128,0 +DA:12129,0 +DA:12130,0 +DA:12131,0 +DA:12132,0 +DA:12133,0 +DA:12134,0 +DA:12135,0 +DA:12136,0 +DA:12137,0 +DA:12138,0 +DA:12139,0 +DA:12140,0 +DA:12141,0 +DA:12142,0 +DA:12143,0 +DA:12144,0 +DA:12145,0 +DA:12146,0 +DA:12147,0 +DA:12148,0 +DA:12149,0 +DA:12150,0 +DA:12151,0 +DA:12152,0 +DA:12153,0 +DA:12154,0 +DA:12155,0 +DA:12156,0 +DA:12157,0 +DA:12158,0 +DA:12159,0 +DA:12160,0 +DA:12161,0 +DA:12162,0 +DA:12163,0 +DA:12164,0 +DA:12165,0 +DA:12166,0 +DA:12167,0 +DA:12168,0 +DA:12169,0 +DA:12170,0 +DA:12171,0 +DA:12172,0 +DA:12173,0 +DA:12174,0 +DA:12175,0 +DA:12176,0 +DA:12177,0 +DA:12178,0 +DA:12179,0 +DA:12180,0 +DA:12181,0 +DA:12182,0 +DA:12183,0 +DA:12184,0 +DA:12185,0 +DA:12186,0 +DA:12187,0 +DA:12188,0 +DA:12189,0 +DA:12190,0 +DA:12191,0 +DA:12192,0 +DA:12193,0 +DA:12194,0 +DA:12195,0 +DA:12196,0 +DA:12197,0 +DA:12198,0 +DA:12199,0 +DA:12200,0 +DA:12201,0 +DA:12202,0 +DA:12203,0 +DA:12204,0 +DA:12205,0 +DA:12206,0 +DA:12207,0 +DA:12208,0 +DA:12209,0 +DA:12210,0 +DA:12211,0 +DA:12212,0 +DA:12213,0 +DA:12214,0 +DA:12215,0 +DA:12216,0 +DA:12217,0 +DA:12218,0 +DA:12219,2 +DA:12220,1 +DA:12221,0 +DA:12222,0 +DA:12223,0 +DA:12224,0 +DA:12225,0 +DA:12226,0 +DA:12227,0 +DA:12228,0 +DA:12229,0 +DA:12230,0 +DA:12231,0 +DA:12232,0 +DA:12233,0 +DA:12234,0 +DA:12235,0 +DA:12236,0 +DA:12237,0 +DA:12238,0 +DA:12239,0 +DA:12240,0 +DA:12241,0 +DA:12242,0 +DA:12243,0 +DA:12244,0 +DA:12245,0 +DA:12246,0 +DA:12247,2 +DA:12248,1 +DA:12249,2 +DA:12250,1 +DA:12251,0 +DA:12252,0 +DA:12253,0 +DA:12254,0 +DA:12255,0 +DA:12256,0 +DA:12257,0 +DA:12258,0 +DA:12259,0 +DA:12260,0 +DA:12261,0 +DA:12262,0 +DA:12263,0 +DA:12264,0 +DA:12265,0 +DA:12266,0 +DA:12267,0 +DA:12268,0 +DA:12269,0 +DA:12270,0 +DA:12271,0 +DA:12272,0 +DA:12273,0 +DA:12274,0 +DA:12275,0 +DA:12276,0 +DA:12277,0 +DA:12278,0 +DA:12279,0 +DA:12280,0 +DA:12281,0 +DA:12282,0 +DA:12283,0 +DA:12284,0 +DA:12285,0 +DA:12286,0 +DA:12287,2 +DA:12288,1 +DA:12289,0 +DA:12290,0 +DA:12291,0 +DA:12292,0 +DA:12293,0 +DA:12294,0 +DA:12295,0 +DA:12296,0 +DA:12297,0 +DA:12298,0 +DA:12299,0 +DA:12300,0 +DA:12301,0 +DA:12302,0 +DA:12303,0 +DA:12304,0 +DA:12305,2 +DA:12306,1 +DA:12307,0 +DA:12308,0 +DA:12309,0 +DA:12310,0 +DA:12311,0 +DA:12312,0 +DA:12313,2 +DA:12314,1 +DA:12315,2 +DA:12316,1 +DA:12317,0 +DA:12318,0 +DA:12319,0 +DA:12320,0 +DA:12321,0 +DA:12322,0 +DA:12323,0 +DA:12324,0 +DA:12325,0 +DA:12326,0 +DA:12327,0 +DA:12328,0 +DA:12329,0 +DA:12330,0 +DA:12331,0 +DA:12332,0 +DA:12333,0 +DA:12334,0 +DA:12335,0 +DA:12336,0 +DA:12337,0 +DA:12338,0 +DA:12339,0 +DA:12340,0 +DA:12341,0 +DA:12342,0 +DA:12343,0 +DA:12344,0 +DA:12345,0 +DA:12346,0 +DA:12347,0 +DA:12348,0 +DA:12349,63729 +DA:12350,63729 +DA:12351,63729 +DA:12352,63729 +DA:12353,63729 +DA:12354,63729 +DA:12355,63729 +DA:12356,63729 +DA:12357,128 +DA:12358,64 +DA:12359,8252 +DA:12360,4126 +DA:12361,4126 +DA:12362,4126 +DA:12363,4126 +DA:12364,4126 +DA:12365,4126 +DA:12366,4126 +DA:12367,4126 +DA:12368,4126 +DA:12369,4126 +DA:12370,4126 +DA:12371,4126 +DA:12372,4126 +DA:12373,4126 +DA:12374,4126 +DA:12375,4126 +DA:12376,4126 +DA:12377,4126 +DA:12378,4126 +DA:12379,4126 +DA:12380,4126 +DA:12381,4126 +DA:12382,4126 +DA:12383,4126 +DA:12384,4126 +DA:12385,4126 +DA:12386,4126 +DA:12387,4126 +DA:12388,4126 +DA:12389,4126 +DA:12390,4126 +DA:12391,4126 +DA:12392,4126 +DA:12393,4126 +DA:12394,4126 +DA:12395,4126 +DA:12396,4126 +DA:12397,4126 +DA:12398,4126 +DA:12399,4126 +DA:12400,4126 +DA:12401,4126 +DA:12402,4126 +DA:12403,4126 +DA:12404,4126 +DA:12405,4126 +DA:12406,4126 +DA:12407,4126 +DA:12408,4126 +DA:12409,4126 +DA:12410,4126 +DA:12411,4126 +DA:12412,4126 +DA:12413,4126 +DA:12414,4126 +DA:12415,4126 +DA:12416,4126 +DA:12417,4126 +DA:12418,4126 +DA:12419,4126 +DA:12420,4126 +DA:12421,4126 +DA:12422,4126 +DA:12423,4126 +DA:12424,4126 +DA:12425,4126 +DA:12426,4126 +DA:12427,4126 +DA:12428,4126 +DA:12429,4126 +DA:12430,4126 +DA:12431,4126 +DA:12432,4126 +DA:12433,4126 +DA:12434,4126 +DA:12435,4126 +DA:12436,4126 +DA:12437,4126 +DA:12438,4126 +DA:12439,4126 +DA:12440,4126 +DA:12441,4126 +DA:12442,4126 +DA:12443,4126 +DA:12444,4126 +DA:12445,4126 +DA:12446,4126 +DA:12447,4126 +DA:12448,4126 +DA:12449,4126 +DA:12450,4126 +DA:12451,4126 +DA:12452,4126 +DA:12453,4126 +DA:12454,4126 +DA:12455,4126 +DA:12456,4126 +DA:12457,4126 +DA:12458,4126 +DA:12459,4126 +DA:12460,4126 +DA:12461,4126 +DA:12462,4126 +DA:12463,4126 +DA:12464,4126 +DA:12465,4126 +DA:12466,4126 +DA:12467,4126 +DA:12468,4126 +DA:12469,4126 +DA:12470,4126 +DA:12471,4126 +DA:12472,4126 +DA:12473,4126 +DA:12474,4126 +DA:12475,4126 +DA:12476,4126 +DA:12477,4126 +DA:12478,4126 +DA:12479,4126 +DA:12480,4126 +DA:12481,4126 +DA:12482,4126 +DA:12483,4126 +DA:12484,4126 +DA:12485,4126 +DA:12486,4126 +DA:12487,4126 +DA:12488,4126 +DA:12489,4126 +DA:12490,4126 +DA:12491,4126 +DA:12492,4126 +DA:12493,4126 +DA:12494,4126 +DA:12495,4126 +DA:12496,4126 +DA:12497,4126 +DA:12498,4126 +DA:12499,4126 +DA:12500,4126 +DA:12501,4126 +DA:12502,4126 +DA:12503,4126 +DA:12504,4126 +DA:12505,4126 +DA:12506,4126 +DA:12507,4126 +DA:12508,4126 +DA:12510,63729 +DA:12514,127694 +DA:12515,63847 +DA:12516,63847 +DA:12517,63847 +DA:12518,63847 +DA:12519,63847 +DA:12520,63847 +DA:12521,82 +DA:12522,41 +DA:12523,41 +DA:12524,41 +DA:12525,41 +DA:12526,41 +DA:12527,41 +DA:12528,41 +DA:12529,41 +DA:12530,41 +DA:12531,41 +DA:12532,41 +DA:12533,41 +DA:12534,41 +DA:12535,41 +DA:12536,41 +DA:12537,41 +DA:12538,41 +DA:12539,41 +DA:12540,41 +DA:12541,41 +DA:12542,41 +DA:12543,41 +DA:12544,41 +DA:12545,41 +DA:12546,41 +DA:12547,41 +DA:12548,41 +DA:12549,41 +DA:12550,41 +DA:12551,41 +DA:12552,41 +DA:12553,41 +DA:12554,41 +DA:12555,41 +DA:12556,41 +DA:12557,41 +DA:12558,41 +DA:12559,41 +DA:12560,41 +DA:12561,41 +DA:12562,41 +DA:12563,41 +DA:12564,41 +DA:12565,41 +DA:12566,41 +DA:12567,41 +DA:12568,41 +DA:12569,41 +DA:12570,41 +DA:12571,41 +DA:12572,41 +DA:12573,41 +DA:12574,41 +DA:12575,41 +DA:12576,41 +DA:12577,41 +DA:12578,41 +DA:12579,41 +DA:12580,41 +DA:12581,41 +DA:12582,41 +DA:12583,41 +DA:12584,41 +DA:12585,41 +DA:12586,41 +DA:12587,41 +DA:12588,41 +DA:12589,41 +DA:12590,41 +DA:12591,41 +DA:12592,41 +DA:12593,41 +DA:12594,41 +DA:12595,41 +DA:12596,41 +DA:12597,41 +DA:12598,41 +DA:12599,41 +DA:12600,41 +DA:12601,41 +DA:12602,41 +DA:12603,41 +DA:12604,41 +DA:12605,41 +DA:12606,41 +DA:12607,41 +DA:12608,41 +DA:12609,41 +DA:12610,41 +DA:12611,41 +DA:12612,41 +DA:12613,41 +DA:12614,41 +DA:12615,41 +DA:12616,41 +DA:12617,41 +DA:12618,41 +DA:12619,41 +DA:12620,41 +DA:12621,41 +DA:12622,41 +DA:12623,41 +DA:12624,41 +DA:12625,41 +DA:12626,41 +DA:12627,41 +DA:12628,41 +DA:12629,41 +DA:12630,41 +DA:12631,41 +DA:12632,41 +DA:12633,41 +DA:12634,41 +DA:12635,41 +DA:12636,41 +DA:12637,41 +DA:12638,41 +DA:12639,41 +DA:12640,41 +DA:12641,41 +DA:12642,41 +DA:12644,8252 +DA:12645,4126 +DA:12646,4126 +DA:12648,8150 +DA:12649,4075 +DA:12650,4075 +DA:12652,94 +DA:12653,47 +DA:12654,47 +DA:12655,47 +DA:12656,47 +DA:12657,47 +DA:12658,47 +DA:12659,47 +DA:12660,47 +DA:12661,47 +DA:12662,47 +DA:12663,47 +DA:12664,47 +DA:12665,47 +DA:12666,47 +DA:12667,47 +DA:12668,47 +DA:12669,47 +DA:12670,47 +DA:12671,47 +DA:12672,47 +DA:12673,47 +DA:12674,47 +DA:12675,47 +DA:12676,47 +DA:12677,47 +DA:12678,47 +DA:12679,47 +DA:12680,47 +DA:12681,47 +DA:12682,47 +DA:12683,47 +DA:12684,47 +DA:12685,47 +DA:12686,47 +DA:12687,47 +DA:12688,47 +DA:12689,47 +DA:12690,47 +DA:12691,47 +DA:12692,47 +DA:12693,47 +DA:12694,47 +DA:12695,47 +DA:12696,47 +DA:12697,47 +DA:12698,47 +DA:12699,47 +DA:12700,47 +DA:12701,47 +DA:12702,47 +DA:12703,47 +DA:12704,47 +DA:12705,47 +DA:12706,47 +DA:12707,47 +DA:12708,47 +DA:12709,47 +DA:12710,47 +DA:12711,47 +DA:12712,47 +DA:12713,47 +DA:12714,47 +DA:12715,47 +DA:12716,47 +DA:12717,47 +DA:12718,47 +DA:12719,47 +DA:12720,47 +DA:12721,47 +DA:12722,47 +DA:12723,47 +DA:12724,47 +DA:12725,47 +DA:12726,47 +DA:12727,47 +DA:12728,47 +DA:12729,47 +DA:12730,47 +DA:12731,47 +DA:12732,47 +DA:12733,47 +DA:12734,47 +DA:12735,47 +DA:12736,47 +DA:12737,47 +DA:12738,47 +DA:12739,47 +DA:12740,47 +DA:12741,47 +DA:12742,47 +DA:12744,63847 +DA:12745,63847 +DA:12746,63847 +DA:12747,63847 +DA:12748,63847 +DA:12749,63847 +DA:12750,63847 +DA:12751,63847 +DA:12752,63847 +DA:12753,63847 +DA:12754,63847 +DA:12755,63847 +DA:12756,63847 +DA:12757,63847 +DA:12764,58 +DA:13888,17 +DA:13889,12 +DA:13890,12 +DA:13891,12 +DA:13892,12 +DA:13893,12 +DA:13894,12 +DA:13895,12 +DA:13896,12 +DA:13897,12 +DA:13898,12 +DA:13899,12 +DA:13900,12 +DA:13901,12 +DA:13902,12 +DA:13903,12 +DA:13904,12 +DA:13905,12 +DA:13906,12 +DA:13907,12 +DA:13908,12 +DA:13909,12 +DA:13910,12 +DA:13911,12 +DA:13912,12 +DA:13913,12 +DA:13914,12 +DA:13915,12 +DA:13916,12 +DA:13917,12 +DA:13918,12 +DA:13919,12 +DA:13920,12 +DA:13921,12 +DA:13922,12 +DA:13923,12 +DA:13924,12 +DA:13925,12 +DA:13926,12 +DA:13927,12 +DA:13928,12 +DA:13929,12 +DA:13930,12 +DA:13931,12 +DA:13932,12 +DA:13933,12 +DA:13934,12 +DA:13935,12 +DA:13936,12 +DA:13937,12 +DA:13938,12 +DA:13939,12 +DA:13940,12 +DA:13941,12 +DA:13942,12 +DA:13943,12 +DA:13944,12 +DA:13945,12 +DA:13946,12 +DA:13947,12 +DA:13948,12 +DA:13949,12 +DA:13950,12 +DA:13951,12 +DA:13952,12 +DA:13953,12 +DA:13954,12 +DA:13955,12 +DA:13956,12 +DA:13957,12 +DA:13958,12 +DA:13959,12 +DA:13960,12 +DA:13961,12 +DA:13962,12 +DA:13963,12 +DA:13964,12 +DA:13965,12 +DA:13966,12 +DA:13967,12 +DA:13968,12 +DA:13969,12 +DA:13970,12 +DA:13971,12 +DA:13972,12 +DA:13973,12 +DA:13974,12 +DA:13975,12 +DA:13976,12 +DA:13977,12 +DA:13978,12 +DA:13979,12 +DA:13980,12 +DA:13981,12 +DA:13982,12 +DA:13983,12 +DA:13984,12 +DA:13985,12 +DA:13986,12 +DA:13987,12 +DA:13988,12 +DA:13989,12 +DA:13990,12 +DA:13991,12 +DA:13992,12 +DA:13993,12 +DA:13994,12 +DA:13995,12 +DA:13996,12 +DA:13997,12 +DA:13998,12 +DA:13999,12 +DA:14000,12 +DA:14001,12 +DA:14002,12 +DA:14003,12 +DA:14004,12 +DA:14005,12 +DA:14006,12 +DA:14007,12 +DA:14008,12 +DA:14009,12 +DA:14010,12 +DA:14011,12 +DA:14012,12 +DA:14013,12 +DA:14014,12 +DA:14015,12 +DA:14016,12 +DA:14017,12 +DA:14018,12 +DA:14019,12 +DA:14020,12 +DA:14021,12 +DA:14022,12 +DA:14023,12 +DA:14024,12 +DA:14025,12 +DA:14026,12 +DA:14027,12 +DA:14028,12 +DA:14029,12 +DA:14030,12 +DA:14031,12 +DA:14032,12 +DA:14033,12 +DA:14034,12 +DA:14035,12 +DA:14036,12 +DA:14037,12 +DA:14038,12 +DA:14039,12 +DA:14040,12 +DA:14041,12 +DA:14042,12 +DA:14043,12 +DA:14044,12 +DA:14045,12 +DA:14046,12 +DA:14047,12 +DA:14048,12 +DA:14049,12 +DA:14050,12 +DA:14051,12 +DA:14052,12 +DA:14053,12 +DA:14054,12 +DA:14055,12 +DA:14056,12 +DA:14057,12 +DA:14058,12 +DA:14059,12 +DA:14060,12 +DA:14061,12 +DA:14062,12 +DA:14063,12 +DA:14064,12 +DA:14065,12 +DA:14066,12 +DA:14067,12 +DA:14068,12 +DA:14069,12 +DA:14070,12 +DA:14071,12 +DA:14072,12 +DA:14073,12 +DA:14074,12 +DA:14075,12 +DA:14076,12 +DA:14077,12 +DA:14078,12 +DA:14079,12 +DA:14080,12 +DA:14081,12 +DA:14082,12 +DA:14083,12 +DA:14084,12 +DA:14085,12 +DA:14086,12 +DA:14087,12 +DA:14088,12 +DA:14089,12 +DA:14090,12 +DA:14091,12 +DA:14092,12 +DA:14093,12 +DA:14094,12 +DA:14095,12 +DA:14096,12 +DA:14097,12 +DA:14098,12 +DA:14099,12 +DA:14100,12 +DA:14101,12 +DA:14102,12 +DA:14103,12 +DA:14104,12 +DA:14105,12 +DA:14106,12 +DA:14107,12 +DA:14108,12 +DA:14109,12 +DA:14110,12 +DA:14111,12 +DA:14112,12 +DA:14113,12 +DA:14114,12 +DA:14115,12 +DA:14116,12 +DA:14117,12 +DA:14118,12 +DA:14119,12 +DA:14120,12 +DA:14121,12 +DA:14122,12 +DA:14123,12 +DA:14124,12 +DA:14125,12 +DA:14126,12 +DA:14127,12 +DA:14128,12 +DA:14129,12 +DA:14130,12 +DA:14131,12 +DA:14132,12 +DA:14133,12 +DA:14134,12 +DA:14135,12 +DA:14136,12 +DA:14137,12 +DA:14138,12 +DA:14139,12 +DA:14140,12 +DA:14141,12 +DA:14142,12 +DA:14143,12 +DA:14144,12 +DA:14145,12 +DA:14146,12 +DA:14147,12 +DA:14148,12 +DA:14149,12 +DA:14150,12 +DA:14151,12 +DA:14152,12 +DA:14153,12 +DA:14154,12 +DA:14155,12 +DA:14156,12 +DA:14157,12 +DA:14158,12 +DA:14159,12 +DA:14160,12 +DA:14161,12 +DA:14162,12 +DA:14163,12 +DA:14164,12 +DA:14165,12 +DA:14166,12 +DA:14167,12 +DA:14168,12 +DA:14169,12 +DA:14170,12 +DA:14171,12 +DA:14172,12 +DA:14173,12 +DA:14174,12 +DA:14175,12 +DA:14176,12 +DA:14177,12 +DA:14178,12 +DA:14179,12 +DA:14180,12 +DA:14181,12 +DA:14182,12 +DA:14183,12 +DA:14184,12 +DA:14185,12 +DA:14186,12 +DA:14187,12 +DA:14188,12 +DA:14189,12 +DA:14190,12 +DA:14191,12 +DA:14192,12 +DA:14193,12 +DA:14194,12 +DA:14195,12 +DA:14196,12 +DA:14197,12 +DA:14198,12 +DA:14199,12 +DA:14200,12 +DA:14201,12 +DA:14202,12 +DA:14203,12 +DA:14204,12 +DA:14205,12 +DA:14206,12 +DA:14207,12 +DA:14208,12 +DA:14209,12 +DA:14210,12 +DA:14211,12 +DA:14212,12 +DA:14213,12 +DA:14214,12 +DA:14215,12 +DA:14216,12 +DA:14217,12 +DA:14218,12 +DA:14219,12 +DA:14220,12 +DA:14221,12 +DA:14222,12 +DA:14223,12 +DA:14224,12 +DA:14225,12 +DA:14226,12 +DA:14227,12 +DA:14228,12 +DA:14229,12 +DA:14230,12 +DA:14231,12 +DA:14232,12 +DA:14233,12 +DA:14234,12 +DA:14235,12 +DA:14236,12 +DA:14237,12 +DA:14238,12 +DA:14239,12 +DA:14240,12 +DA:14241,12 +DA:14242,12 +DA:14243,12 +DA:14244,12 +DA:14245,12 +DA:14246,12 +DA:14247,12 +DA:14248,12 +DA:14249,12 +DA:14250,12 +DA:14251,12 +DA:14252,12 +DA:14253,12 +DA:14254,12 +DA:14255,12 +DA:14256,12 +DA:14257,12 +DA:14258,12 +DA:14259,12 +DA:14260,12 +DA:14261,12 +DA:14262,12 +DA:14263,12 +DA:14264,12 +DA:14265,12 +DA:14266,12 +DA:14267,12 +DA:14268,12 +DA:14269,12 +DA:14270,12 +DA:14271,12 +DA:14272,12 +DA:14273,12 +DA:14274,12 +DA:14275,12 +DA:14276,12 +DA:14277,12 +DA:14278,12 +DA:14279,12 +DA:14280,12 +DA:14281,12 +DA:14282,12 +DA:14283,12 +DA:14284,12 +DA:14285,12 +DA:14286,12 +DA:14287,12 +DA:14288,12 +DA:14289,12 +DA:14290,12 +DA:14291,12 +DA:14292,12 +DA:14293,12 +DA:14294,12 +DA:14295,12 +DA:14296,12 +DA:14297,12 +DA:14298,12 +DA:14299,12 +DA:14300,12 +DA:14301,12 +DA:14302,12 +DA:14303,12 +DA:14304,12 +DA:14305,12 +DA:14306,12 +DA:14307,12 +DA:14308,12 +DA:14309,12 +DA:14310,12 +DA:14311,12 +DA:14312,12 +DA:14313,12 +DA:14314,12 +DA:14315,12 +DA:14316,12 +DA:14317,12 +DA:14318,12 +DA:14319,12 +DA:14320,12 +DA:14321,12 +DA:14322,12 +DA:14323,12 +DA:14324,12 +DA:14325,12 +DA:14326,12 +DA:14327,12 +DA:14328,12 +DA:14329,12 +DA:14330,12 +DA:14331,12 +DA:14332,12 +DA:14333,12 +DA:14334,12 +DA:14335,12 +DA:14336,12 +DA:14337,12 +DA:14338,12 +DA:14339,12 +DA:14340,12 +DA:14341,12 +DA:14342,12 +DA:14343,12 +DA:14344,12 +DA:14345,12 +DA:14346,12 +DA:14347,12 +DA:14348,12 +DA:14349,12 +DA:14350,12 +DA:14351,12 +DA:14352,12 +DA:14353,12 +DA:14354,12 +DA:14355,12 +DA:14356,12 +DA:14357,12 +DA:14358,12 +DA:14359,12 +DA:14360,12 +DA:14361,12 +DA:14362,12 +DA:14363,12 +DA:14364,12 +DA:14365,12 +DA:14366,12 +DA:14367,12 +DA:14368,12 +DA:14369,12 +DA:14370,12 +DA:14371,12 +DA:14372,12 +DA:14373,12 +DA:14374,12 +DA:14375,12 +DA:14376,12 +DA:14377,12 +DA:14378,12 +DA:14379,12 +DA:14380,12 +DA:14381,12 +DA:14382,12 +DA:14383,12 +DA:14384,12 +DA:14385,12 +DA:14386,12 +DA:14387,12 +DA:14388,12 +DA:14389,12 +DA:14390,12 +DA:14391,12 +DA:14392,12 +DA:14393,12 +DA:14394,12 +DA:14395,12 +DA:14396,12 +DA:14397,12 +DA:14398,12 +DA:14399,12 +DA:14400,12 +DA:14401,12 +DA:14402,12 +DA:14403,12 +DA:14404,12 +DA:14405,12 +DA:14406,12 +DA:14407,12 +DA:14408,12 +DA:14409,12 +DA:14410,12 +DA:14411,12 +DA:14412,12 +DA:14413,12 +DA:14414,12 +DA:14415,12 +DA:14416,12 +DA:14417,12 +DA:14418,12 +DA:14419,12 +DA:14420,12 +DA:14421,12 +DA:14422,12 +DA:14423,12 +DA:14424,12 +DA:14425,12 +DA:14426,12 +DA:14427,12 +DA:14428,12 +DA:14429,12 +DA:14430,12 +DA:14431,12 +DA:14432,12 +DA:14433,12 +DA:14434,12 +DA:14435,12 +DA:14436,12 +DA:14437,12 +DA:14438,12 +DA:14439,12 +DA:14440,12 +DA:14441,12 +DA:14442,12 +DA:14443,12 +DA:14444,12 +DA:14445,12 +DA:14446,12 +DA:14447,12 +DA:14448,12 +DA:14449,12 +DA:14450,12 +DA:14451,12 +DA:14452,12 +DA:14453,12 +DA:14454,12 +DA:14455,12 +DA:14456,12 +DA:14457,12 +DA:14458,12 +DA:14459,12 +DA:14460,12 +DA:14461,12 +DA:14462,12 +DA:14463,12 +DA:14464,12 +DA:14465,12 +DA:14466,12 +DA:14467,12 +DA:14468,12 +DA:14469,12 +DA:14470,12 +DA:14471,12 +DA:14472,12 +DA:14473,12 +DA:14474,12 +DA:14475,12 +DA:14476,12 +DA:14477,12 +DA:14478,12 +DA:14479,12 +DA:14480,12 +DA:14481,12 +DA:14482,12 +DA:14483,12 +DA:14484,12 +DA:14485,12 +DA:14486,12 +DA:14487,12 +DA:14488,12 +DA:14489,12 +DA:14490,12 +DA:14491,12 +DA:14492,12 +DA:14493,12 +DA:14494,12 +DA:14495,12 +DA:14496,12 +DA:14497,12 +DA:14498,12 +DA:14499,12 +DA:14500,12 +DA:14501,12 +DA:14502,12 +DA:14503,12 +DA:14504,12 +DA:14505,12 +DA:14506,12 +DA:14507,12 +DA:14508,12 +DA:14509,12 +DA:14510,12 +DA:14511,12 +DA:14512,12 +DA:14513,12 +DA:14514,12 +DA:14515,12 +DA:14516,12 +DA:14517,12 +DA:14518,12 +DA:14519,12 +DA:14520,12 +DA:14521,12 +DA:14522,12 +DA:14523,12 +DA:14524,12 +DA:14525,12 +DA:14526,12 +DA:14527,12 +DA:14528,12 +DA:14529,12 +DA:14530,12 +DA:14531,12 +DA:14532,12 +DA:14533,12 +DA:14534,12 +DA:14535,12 +DA:14536,12 +DA:14537,12 +DA:14538,12 +DA:14539,12 +DA:14540,12 +DA:14541,12 +DA:14542,12 +DA:14543,12 +DA:14544,12 +DA:14545,12 +DA:14546,12 +DA:14547,12 +DA:14548,12 +DA:14549,12 +DA:14550,12 +DA:14551,12 +DA:14552,12 +DA:14553,12 +DA:14554,12 +DA:14555,12 +DA:14556,12 +DA:14557,12 +DA:14558,12 +DA:14559,12 +DA:14560,12 +DA:14561,12 +DA:14562,12 +DA:14563,12 +DA:14564,12 +DA:14565,12 +DA:14566,12 +DA:14567,12 +DA:14568,12 +DA:14569,12 +DA:14570,12 +DA:14571,12 +DA:14572,12 +DA:14573,12 +DA:14574,12 +DA:14575,12 +DA:14576,12 +DA:14577,12 +DA:14578,12 +DA:14579,12 +DA:14580,12 +DA:14581,12 +DA:14582,12 +DA:14583,12 +DA:14584,12 +DA:14585,12 +DA:14586,12 +DA:14587,12 +DA:14588,12 +DA:14589,12 +DA:14590,12 +DA:14591,12 +DA:14592,12 +DA:14593,12 +DA:14594,12 +DA:14595,12 +DA:14596,12 +DA:14597,12 +DA:14598,12 +DA:14599,12 +DA:14600,12 +DA:14601,12 +DA:14602,12 +DA:14603,12 +DA:14604,12 +DA:14605,12 +DA:14606,12 +DA:14607,12 +DA:14608,12 +DA:14609,12 +DA:14610,12 +DA:14611,12 +DA:14612,12 +DA:14613,12 +DA:14614,12 +DA:14615,12 +DA:14616,12 +DA:14617,12 +DA:14618,12 +DA:14619,12 +DA:14620,12 +DA:14621,12 +DA:14622,12 +DA:14623,12 +DA:14624,12 +DA:14625,12 +DA:14626,12 +DA:14627,12 +DA:14628,12 +DA:14629,12 +DA:14630,12 +DA:14631,12 +DA:14632,12 +DA:14633,12 +DA:14634,12 +DA:14635,12 +DA:14636,12 +DA:14637,12 +DA:14638,12 +DA:14639,12 +DA:14640,12 +DA:14641,12 +DA:14642,12 +DA:14643,12 +DA:14644,12 +DA:14645,12 +DA:14646,12 +DA:14647,12 +DA:14648,12 +DA:14649,12 +DA:14650,12 +DA:14651,12 +DA:14652,12 +DA:14653,12 +DA:14654,12 +DA:14655,12 +DA:14656,12 +DA:14657,12 +DA:14658,12 +DA:14659,12 +DA:14660,12 +DA:14661,12 +DA:14662,12 +DA:14663,12 +DA:14664,12 +DA:14665,12 +DA:14666,12 +DA:14667,12 +DA:14668,12 +DA:14669,12 +DA:14670,12 +DA:14671,12 +DA:14672,12 +DA:14673,12 +DA:14674,12 +DA:14675,12 +DA:14676,12 +DA:14677,12 +DA:14678,12 +DA:14679,12 +DA:14680,12 +DA:14681,12 +DA:14682,12 +DA:14683,12 +DA:14684,12 +DA:14685,12 +DA:14686,12 +DA:14687,12 +DA:14688,12 +DA:14689,12 +DA:14690,12 +DA:14691,12 +DA:14692,12 +DA:14693,12 +DA:14694,12 +DA:14695,12 +DA:14696,12 +DA:14697,12 +DA:14698,12 +DA:14699,12 +DA:14700,12 +DA:14701,12 +DA:14702,12 +DA:14703,12 +DA:14704,12 +DA:14705,12 +DA:14706,12 +DA:14707,12 +DA:14708,12 +DA:14709,12 +DA:14710,12 +DA:14711,12 +DA:14712,12 +DA:14713,12 +DA:14714,12 +DA:14715,12 +DA:14716,12 +DA:14717,12 +DA:14718,12 +DA:14719,12 +DA:14720,12 +DA:14721,12 +DA:14722,12 +DA:14723,12 +DA:14724,12 +DA:14725,12 +DA:14726,12 +DA:14727,12 +DA:14728,12 +DA:14729,12 +DA:14730,12 +DA:14731,12 +DA:14732,12 +DA:14733,12 +DA:14734,12 +DA:14735,12 +DA:14736,12 +DA:14737,12 +DA:14738,12 +DA:14739,12 +DA:14740,12 +DA:14741,12 +DA:14742,12 +DA:14743,12 +DA:14744,12 +DA:14745,12 +DA:14746,12 +DA:14747,12 +DA:14748,12 +DA:14749,12 +DA:14750,12 +DA:14751,12 +DA:14752,12 +DA:14753,12 +DA:14754,12 +DA:14755,12 +DA:14756,12 +DA:14757,12 +DA:14758,12 +DA:14759,12 +DA:14760,12 +DA:14761,12 +DA:14762,12 +DA:14763,12 +DA:14764,12 +DA:14765,12 +DA:14766,12 +DA:14767,12 +end_of_record +SF:/home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/out/picker_out_BPUTop/Predictor_top.sv +DA:3,127786 +DA:4,62 +DA:5,57 +DA:6,139 +DA:7,9891 +DA:8,13 +DA:9,11 +DA:10,15 +DA:11,10 +DA:12,599 +DA:13,574 +DA:14,53 +DA:15,62 +DA:16,597 +DA:17,10 +DA:18,9 +DA:19,15 +DA:20,9402 +DA:21,127 +DA:22,20 +DA:23,26 +DA:24,196 +DA:25,77 +DA:26,73 +DA:27,31 +DA:28,29 +DA:29,1201 +DA:30,1411 +DA:31,127 +DA:32,98 +DA:33,9440 +DA:34,41 +DA:35,27 +DA:36,24 +DA:37,9341 +DA:38,130 +DA:39,22 +DA:40,33 +DA:41,195 +DA:42,76 +DA:43,73 +DA:44,43 +DA:45,38 +DA:46,1217 +DA:47,1419 +DA:48,136 +DA:49,131 +DA:50,9386 +DA:51,37 +DA:52,27 +DA:53,26 +DA:54,11660 +DA:55,373 +DA:56,400 +DA:57,237 +DA:58,277 +DA:59,307 +DA:60,144 +DA:61,252 +DA:62,295 +DA:63,260 +DA:64,298 +DA:65,253 +DA:66,324 +DA:67,217 +DA:68,279 +DA:69,251 +DA:70,258 +DA:71,345 +DA:72,256 +DA:73,32 +DA:74,29 +DA:75,26 +DA:76,28 +DA:77,25 +DA:78,33 +DA:79,27 +DA:80,25 +DA:81,20 +DA:82,25 +DA:83,25 +DA:84,25 +DA:85,35 +DA:86,36 +DA:87,26 +DA:88,27 +DA:89,30 +DA:90,25 +DA:91,23 +DA:92,31 +DA:93,28 +DA:94,27 +DA:95,29 +DA:96,26 +DA:97,194 +DA:98,48 +DA:99,274 +DA:100,149 +DA:101,80 +DA:102,41 +DA:103,183 +DA:104,40 +DA:105,214 +DA:106,31 +DA:107,160 +DA:108,1348 +DA:109,33 +DA:110,119 +DA:111,411 +DA:112,59 +DA:113,34 +DA:114,29 +DA:115,125 +DA:116,676 +DA:117,70 +DA:118,30 +DA:119,31 +DA:120,140 +DA:121,33 +DA:122,35 +DA:123,29 +DA:124,40 +DA:125,36 +DA:126,27 +DA:127,32 +DA:128,82 +DA:129,73 +DA:130,1356 +DA:131,69 +DA:132,57 +DA:133,59 +DA:134,149 +DA:135,89 +DA:136,58 +DA:137,198 +DA:138,45 +DA:139,178 +DA:140,64 +DA:141,166 +DA:142,432 +DA:143,409 +DA:144,316 +DA:145,337 +DA:146,379 +DA:147,210 +DA:148,322 +DA:149,366 +DA:150,287 +DA:151,315 +DA:152,278 +DA:153,339 +DA:154,303 +DA:155,327 +DA:156,326 +DA:157,309 +DA:158,429 +DA:159,323 +DA:160,68 +DA:161,59 +DA:162,57 +DA:163,66 +DA:164,62 +DA:165,60 +DA:166,64 +DA:167,54 +DA:168,55 +DA:169,59 +DA:170,59 +DA:171,58 +DA:172,59 +DA:173,53 +DA:174,61 +DA:175,60 +DA:176,60 +DA:177,55 +DA:178,60 +DA:179,59 +DA:180,55 +DA:181,54 +DA:182,63 +DA:183,124 +DA:184,85 +DA:185,250 +DA:186,1248 +DA:187,53 +DA:188,109 +DA:189,84 +DA:190,94 +DA:191,1423 +DA:192,504 +DA:193,514 +DA:194,492 +DA:195,317 +DA:196,541 +DA:197,358 +DA:198,423 +DA:199,377 +DA:200,326 +DA:201,370 +DA:202,323 +DA:203,366 +DA:204,328 +DA:205,422 +DA:206,418 +DA:207,427 +DA:208,445 +DA:209,100 +DA:210,212 +DA:211,452 +DA:212,129 +DA:213,94 +DA:214,91 +DA:215,346 +DA:216,705 +DA:217,180 +DA:218,97 +DA:219,106 +DA:220,332 +DA:221,83 +DA:222,89 +DA:223,87 +DA:224,83 +DA:225,89 +DA:226,88 +DA:227,90 +DA:228,100 +DA:229,274 +DA:230,100 +DA:231,84 +DA:232,56 +DA:233,65 +DA:234,74 +DA:235,65 +DA:236,68 +DA:237,6415 +DA:238,1272 +DA:239,28 +DA:240,176 +DA:241,57 +DA:242,55 +DA:243,55 +DA:244,56 +DA:245,52 +DA:246,1105 +DA:247,474 +DA:248,548 +DA:249,500 +DA:250,488 +DA:251,485 +DA:252,485 +DA:253,522 +DA:1014,0 +DA:1016,0 +DA:1019,255388 +DA:1021,127694 +DA:1024,127694 +DA:1026,63847 +DA:1029,120 +DA:1031,60 +DA:1034,127694 +DA:1036,63847 +DA:1039,120 +DA:1041,60 +DA:1044,255446 +DA:1046,127723 +DA:1049,0 +DA:1051,0 +DA:1054,255446 +DA:1056,127723 +DA:1059,0 +DA:1061,0 +DA:1064,255446 +DA:1066,127723 +DA:1069,0 +DA:1071,0 +DA:1074,255446 +DA:1076,127723 +DA:1079,0 +DA:1081,0 +DA:1084,255446 +DA:1086,127723 +DA:1089,0 +DA:1091,0 +DA:1094,255446 +DA:1096,127723 +DA:1099,0 +DA:1101,0 +DA:1104,255446 +DA:1106,127723 +DA:1109,0 +DA:1111,0 +DA:1114,255446 +DA:1116,127723 +DA:1119,0 +DA:1121,0 +DA:1124,255446 +DA:1126,127723 +DA:1129,0 +DA:1131,0 +DA:1134,255446 +DA:1136,127723 +DA:1139,0 +DA:1141,0 +DA:1144,255446 +DA:1146,127723 +DA:1149,0 +DA:1151,0 +DA:1154,255446 +DA:1156,127723 +DA:1159,0 +DA:1161,0 +DA:1164,255446 +DA:1166,127723 +DA:1169,0 +DA:1171,0 +DA:1174,255446 +DA:1176,127723 +DA:1179,0 +DA:1181,0 +DA:1184,255446 +DA:1186,127723 +DA:1189,0 +DA:1191,0 +DA:1194,255446 +DA:1196,127723 +DA:1199,0 +DA:1201,0 +DA:1204,255446 +DA:1206,127723 +DA:1209,0 +DA:1211,0 +DA:1214,255446 +DA:1216,127723 +DA:1219,0 +DA:1221,0 +DA:1224,255446 +DA:1226,127723 +DA:1229,0 +DA:1231,0 +DA:1234,255446 +DA:1236,127723 +DA:1239,0 +DA:1241,0 +DA:1244,255446 +DA:1246,127723 +DA:1249,0 +DA:1251,0 +DA:1254,255446 +DA:1256,127723 +DA:1259,0 +DA:1261,0 +DA:1264,255446 +DA:1266,127723 +DA:1269,0 +DA:1271,0 +DA:1274,255446 +DA:1276,127723 +DA:1279,0 +DA:1281,0 +DA:1284,255446 +DA:1286,127723 +DA:1289,0 +DA:1291,0 +DA:1294,255446 +DA:1296,127723 +DA:1299,0 +DA:1301,0 +DA:1304,255446 +DA:1306,127723 +DA:1309,0 +DA:1311,0 +DA:1314,255446 +DA:1316,127723 +DA:1319,0 +DA:1321,0 +DA:1324,255446 +DA:1326,127723 +DA:1329,0 +DA:1331,0 +DA:1334,255446 +DA:1336,127723 +DA:1339,0 +DA:1341,0 +DA:1344,255446 +DA:1346,127723 +DA:1349,0 +DA:1351,0 +DA:1354,255446 +DA:1356,127723 +DA:1359,0 +DA:1361,0 +DA:1364,255446 +DA:1366,127723 +DA:1369,0 +DA:1371,0 +DA:1374,255446 +DA:1376,127723 +DA:1379,0 +DA:1381,0 +DA:1384,255446 +DA:1386,127723 +DA:1389,0 +DA:1391,0 +DA:1394,255446 +DA:1396,127723 +DA:1399,0 +DA:1401,0 +DA:1404,255446 +DA:1406,127723 +DA:1409,0 +DA:1411,0 +DA:1414,255446 +DA:1416,127723 +DA:1419,0 +DA:1421,0 +DA:1424,255446 +DA:1426,127723 +DA:1429,0 +DA:1431,0 +DA:1434,255446 +DA:1436,127723 +DA:1439,0 +DA:1441,0 +DA:1444,255446 +DA:1446,127723 +DA:1449,0 +DA:1451,0 +DA:1454,255446 +DA:1456,127723 +DA:1459,0 +DA:1461,0 +DA:1464,255446 +DA:1466,127723 +DA:1469,0 +DA:1471,0 +DA:1474,255446 +DA:1476,127723 +DA:1479,0 +DA:1481,0 +DA:1484,255446 +DA:1486,127723 +DA:1489,0 +DA:1491,0 +DA:1494,255446 +DA:1496,127723 +DA:1499,0 +DA:1501,0 +DA:1504,255446 +DA:1506,127723 +DA:1509,0 +DA:1511,0 +DA:1514,255446 +DA:1516,127723 +DA:1519,0 +DA:1521,0 +DA:1524,255446 +DA:1526,127723 +DA:1529,0 +DA:1531,0 +DA:1534,255446 +DA:1536,127723 +DA:1539,0 +DA:1541,0 +DA:1544,255446 +DA:1546,127723 +DA:1549,0 +DA:1551,0 +DA:1554,255446 +DA:1556,127723 +DA:1559,0 +DA:1561,0 +DA:1564,255446 +DA:1566,127723 +DA:1569,0 +DA:1571,0 +DA:1574,255446 +DA:1576,127723 +DA:1579,0 +DA:1581,0 +DA:1584,255446 +DA:1586,127723 +DA:1589,0 +DA:1591,0 +DA:1594,255446 +DA:1596,127723 +DA:1599,0 +DA:1601,0 +DA:1604,255446 +DA:1606,127723 +DA:1609,0 +DA:1611,0 +DA:1614,255446 +DA:1616,127723 +DA:1619,0 +DA:1621,0 +DA:1624,255446 +DA:1626,127723 +DA:1629,0 +DA:1631,0 +DA:1634,255446 +DA:1636,127723 +DA:1639,0 +DA:1641,0 +DA:1644,255446 +DA:1646,127723 +DA:1649,0 +DA:1651,0 +DA:1654,255446 +DA:1656,127723 +DA:1659,0 +DA:1661,0 +DA:1664,255446 +DA:1666,127723 +DA:1669,0 +DA:1671,0 +DA:1674,255446 +DA:1676,127723 +DA:1679,0 +DA:1681,0 +DA:1684,255446 +DA:1686,127723 +DA:1689,0 +DA:1691,0 +DA:1694,255446 +DA:1696,127723 +DA:1699,0 +DA:1701,0 +DA:1704,255446 +DA:1706,127723 +DA:1709,0 +DA:1711,0 +DA:1714,255446 +DA:1716,127723 +DA:1719,0 +DA:1721,0 +DA:1724,255446 +DA:1726,127723 +DA:1729,0 +DA:1731,0 +DA:1734,255446 +DA:1736,127723 +DA:1739,0 +DA:1741,0 +DA:1744,255446 +DA:1746,127723 +DA:1749,0 +DA:1751,0 +DA:1754,255446 +DA:1756,127723 +DA:1759,0 +DA:1761,0 +DA:1764,255446 +DA:1766,127723 +DA:1769,0 +DA:1771,0 +DA:1774,255446 +DA:1776,127723 +DA:1779,0 +DA:1781,0 +DA:1784,255446 +DA:1786,127723 +DA:1789,0 +DA:1791,0 +DA:1794,255446 +DA:1796,127723 +DA:1799,0 +DA:1801,0 +DA:1804,255446 +DA:1806,127723 +DA:1809,0 +DA:1811,0 +DA:1814,255446 +DA:1816,127723 +DA:1819,0 +DA:1821,0 +DA:1824,255446 +DA:1826,127723 +DA:1829,0 +DA:1831,0 +DA:1834,255446 +DA:1836,127723 +DA:1839,0 +DA:1841,0 +DA:1844,255446 +DA:1846,127723 +DA:1849,0 +DA:1851,0 +DA:1854,255446 +DA:1856,127723 +DA:1859,0 +DA:1861,0 +DA:1864,255446 +DA:1866,127723 +DA:1869,0 +DA:1871,0 +DA:1874,255446 +DA:1876,127723 +DA:1879,0 +DA:1881,0 +DA:1884,255446 +DA:1886,127723 +DA:1889,0 +DA:1891,0 +DA:1894,255446 +DA:1896,127723 +DA:1899,0 +DA:1901,0 +DA:1904,255446 +DA:1906,127723 +DA:1909,0 +DA:1911,0 +DA:1914,255446 +DA:1916,127723 +DA:1919,0 +DA:1921,0 +DA:1924,255446 +DA:1926,127723 +DA:1929,0 +DA:1931,0 +DA:1934,255446 +DA:1936,127723 +DA:1939,0 +DA:1941,0 +DA:1944,255446 +DA:1946,127723 +DA:1949,0 +DA:1951,0 +DA:1954,255446 +DA:1956,127723 +DA:1959,0 +DA:1961,0 +DA:1964,255446 +DA:1966,127723 +DA:1969,0 +DA:1971,0 +DA:1974,255446 +DA:1976,127723 +DA:1979,0 +DA:1981,0 +DA:1984,255446 +DA:1986,127723 +DA:1989,0 +DA:1991,0 +DA:1994,255446 +DA:1996,127723 +DA:1999,0 +DA:2001,0 +DA:2004,255446 +DA:2006,127723 +DA:2009,0 +DA:2011,0 +DA:2014,255446 +DA:2016,127723 +DA:2019,0 +DA:2021,0 +DA:2024,255446 +DA:2026,127723 +DA:2029,0 +DA:2031,0 +DA:2034,255446 +DA:2036,127723 +DA:2039,0 +DA:2041,0 +DA:2044,255446 +DA:2046,127723 +DA:2049,0 +DA:2051,0 +DA:2054,255446 +DA:2056,127723 +DA:2059,0 +DA:2061,0 +DA:2064,255446 +DA:2066,127723 +DA:2069,0 +DA:2071,0 +DA:2074,255446 +DA:2076,127723 +DA:2079,0 +DA:2081,0 +DA:2084,255446 +DA:2086,127723 +DA:2089,0 +DA:2091,0 +DA:2094,255446 +DA:2096,127723 +DA:2099,0 +DA:2101,0 +DA:2104,255446 +DA:2106,127723 +DA:2109,0 +DA:2111,0 +DA:2114,255446 +DA:2116,127723 +DA:2119,0 +DA:2121,0 +DA:2124,255446 +DA:2126,127723 +DA:2129,0 +DA:2131,0 +DA:2134,255446 +DA:2136,127723 +DA:2139,0 +DA:2141,0 +DA:2144,255446 +DA:2146,127723 +DA:2149,0 +DA:2151,0 +DA:2154,255446 +DA:2156,127723 +DA:2159,0 +DA:2161,0 +DA:2164,255446 +DA:2166,127723 +DA:2169,0 +DA:2171,0 +DA:2174,255446 +DA:2176,127723 +DA:2179,0 +DA:2181,0 +DA:2184,255446 +DA:2186,127723 +DA:2189,0 +DA:2191,0 +DA:2194,255446 +DA:2196,127723 +DA:2199,0 +DA:2201,0 +DA:2204,255446 +DA:2206,127723 +DA:2209,0 +DA:2211,0 +DA:2214,255446 +DA:2216,127723 +DA:2219,0 +DA:2221,0 +DA:2224,255446 +DA:2226,127723 +DA:2229,0 +DA:2231,0 +DA:2234,255446 +DA:2236,127723 +DA:2239,0 +DA:2241,0 +DA:2244,255446 +DA:2246,127723 +DA:2249,0 +DA:2251,0 +DA:2254,255446 +DA:2256,127723 +DA:2259,0 +DA:2261,0 +DA:2264,127694 +DA:2266,63847 +DA:2269,158 +DA:2271,79 +DA:2274,127694 +DA:2276,63847 +DA:2279,158 +DA:2281,79 +DA:2284,127694 +DA:2286,63847 +DA:2289,158 +DA:2291,79 +DA:2294,127694 +DA:2296,63847 +DA:2299,138 +DA:2301,69 +DA:2304,127694 +DA:2306,63847 +DA:2309,122 +DA:2311,61 +DA:2314,127694 +DA:2316,63847 +DA:2319,106 +DA:2321,53 +DA:2324,127694 +DA:2326,63847 +DA:2329,122 +DA:2331,61 +DA:2334,127694 +DA:2336,63847 +DA:2339,122 +DA:2341,61 +DA:2344,127694 +DA:2346,63847 +DA:2349,122 +DA:2351,61 +DA:2354,127694 +DA:2356,63847 +DA:2359,138 +DA:2361,69 +DA:2364,127694 +DA:2366,63847 +DA:2369,106 +DA:2371,53 +DA:2374,127694 +DA:2376,63847 +DA:2379,122 +DA:2381,61 +DA:2384,127694 +DA:2386,63847 +DA:2389,138 +DA:2391,69 +DA:2394,127694 +DA:2396,63847 +DA:2399,122 +DA:2401,61 +DA:2404,127694 +DA:2406,63847 +DA:2409,154 +DA:2411,77 +DA:2414,127694 +DA:2416,63847 +DA:2419,154 +DA:2421,77 +DA:2424,127694 +DA:2426,63847 +DA:2429,154 +DA:2431,77 +DA:2434,127694 +DA:2436,63847 +DA:2439,154 +DA:2441,77 +DA:2444,127694 +DA:2446,63847 +DA:2449,154 +DA:2451,77 +DA:2454,127694 +DA:2456,63847 +DA:2459,154 +DA:2461,77 +DA:2464,127694 +DA:2466,63847 +DA:2469,154 +DA:2471,77 +DA:2474,127694 +DA:2476,63847 +DA:2479,154 +DA:2481,77 +DA:2484,127694 +DA:2486,63847 +DA:2489,154 +DA:2491,77 +DA:2494,127694 +DA:2496,63847 +DA:2499,154 +DA:2501,77 +DA:2504,127694 +DA:2506,63847 +DA:2509,154 +DA:2511,77 +DA:2514,127694 +DA:2516,63847 +DA:2519,154 +DA:2521,77 +DA:2524,127694 +DA:2526,63847 +DA:2529,154 +DA:2531,77 +DA:2534,127694 +DA:2536,63847 +DA:2539,154 +DA:2541,77 +DA:2544,127694 +DA:2546,63847 +DA:2549,154 +DA:2551,77 +DA:2554,127694 +DA:2556,63847 +DA:2559,154 +DA:2561,77 +DA:2564,127694 +DA:2566,63847 +DA:2569,154 +DA:2571,77 +DA:2574,127694 +DA:2576,63847 +DA:2579,154 +DA:2581,77 +DA:2584,127694 +DA:2586,63847 +DA:2589,118 +DA:2591,59 +DA:2594,127694 +DA:2596,63847 +DA:2599,118 +DA:2601,59 +DA:2604,127694 +DA:2606,63847 +DA:2609,118 +DA:2611,59 +DA:2614,127694 +DA:2616,63847 +DA:2619,118 +DA:2621,59 +DA:2624,127694 +DA:2626,63847 +DA:2629,118 +DA:2631,59 +DA:2634,127694 +DA:2636,63847 +DA:2639,118 +DA:2641,59 +DA:2644,127694 +DA:2646,63847 +DA:2649,118 +DA:2651,59 +DA:2654,127694 +DA:2656,63847 +DA:2659,118 +DA:2661,59 +DA:2664,127694 +DA:2666,63847 +DA:2669,118 +DA:2671,59 +DA:2674,127694 +DA:2676,63847 +DA:2679,118 +DA:2681,59 +DA:2684,127694 +DA:2686,63847 +DA:2689,118 +DA:2691,59 +DA:2694,127694 +DA:2696,63847 +DA:2699,118 +DA:2701,59 +DA:2704,127694 +DA:2706,63847 +DA:2709,118 +DA:2711,59 +DA:2714,127694 +DA:2716,63847 +DA:2719,118 +DA:2721,59 +DA:2724,127694 +DA:2726,63847 +DA:2729,118 +DA:2731,59 +DA:2734,127694 +DA:2736,63847 +DA:2739,118 +DA:2741,59 +DA:2744,127694 +DA:2746,63847 +DA:2749,118 +DA:2751,59 +DA:2754,127694 +DA:2756,63847 +DA:2759,118 +DA:2761,59 +DA:2764,127694 +DA:2766,63847 +DA:2769,118 +DA:2771,59 +DA:2774,127694 +DA:2776,63847 +DA:2779,118 +DA:2781,59 +DA:2784,127694 +DA:2786,63847 +DA:2789,118 +DA:2791,59 +DA:2794,127694 +DA:2796,63847 +DA:2799,118 +DA:2801,59 +DA:2804,127694 +DA:2806,63847 +DA:2809,118 +DA:2811,59 +DA:2814,127694 +DA:2816,63847 +DA:2819,158 +DA:2821,79 +DA:2824,127694 +DA:2826,63847 +DA:2829,158 +DA:2831,79 +DA:2834,127694 +DA:2836,63847 +DA:2839,106 +DA:2841,53 +DA:2844,127694 +DA:2846,63847 +DA:2849,138 +DA:2851,69 +DA:2854,127694 +DA:2856,63847 +DA:2859,106 +DA:2861,53 +DA:2864,127694 +DA:2866,63847 +DA:2869,158 +DA:2871,79 +DA:2874,127694 +DA:2876,63847 +DA:2879,158 +DA:2881,79 +DA:2884,127694 +DA:2886,63847 +DA:2889,206 +DA:2891,103 +DA:2894,127694 +DA:2896,63847 +DA:2899,206 +DA:2901,103 +DA:2904,127694 +DA:2906,63847 +DA:2909,202 +DA:2911,101 +DA:2914,127694 +DA:2916,63847 +DA:2919,202 +DA:2921,101 +DA:2924,127694 +DA:2926,63847 +DA:2929,202 +DA:2931,101 +DA:2934,127694 +DA:2936,63847 +DA:2939,94 +DA:2941,47 +DA:2944,127694 +DA:2946,63847 +DA:2949,202 +DA:2951,101 +DA:2954,127694 +DA:2956,63847 +DA:2959,202 +DA:2961,101 +DA:2964,127694 +DA:2966,63847 +DA:2969,202 +DA:2971,101 +DA:2974,127694 +DA:2976,63847 +DA:2979,202 +DA:2981,101 +DA:2984,127694 +DA:2986,63847 +DA:2989,202 +DA:2991,101 +DA:2994,127694 +DA:2996,63847 +DA:2999,202 +DA:3001,101 +DA:3004,127694 +DA:3006,63847 +DA:3009,202 +DA:3011,101 +DA:3014,127694 +DA:3016,63847 +DA:3019,94 +DA:3021,47 +DA:3024,127694 +DA:3026,63847 +DA:3029,202 +DA:3031,101 +DA:3034,127694 +DA:3036,63847 +DA:3039,202 +DA:3041,101 +DA:3044,127694 +DA:3046,63847 +DA:3049,202 +DA:3051,101 +DA:3054,127694 +DA:3056,63847 +DA:3059,202 +DA:3061,101 +DA:3064,127694 +DA:3066,63847 +DA:3069,202 +DA:3071,101 +DA:3074,127694 +DA:3076,63847 +DA:3079,202 +DA:3081,101 +DA:3084,127694 +DA:3086,63847 +DA:3089,178 +DA:3091,89 +DA:3094,127694 +DA:3096,63847 +DA:3099,178 +DA:3101,89 +DA:3104,127694 +DA:3106,63847 +DA:3109,178 +DA:3111,89 +DA:3114,127694 +DA:3116,63847 +DA:3119,178 +DA:3121,89 +DA:3124,127694 +DA:3126,63847 +DA:3129,178 +DA:3131,89 +DA:3134,127694 +DA:3136,63847 +DA:3139,202 +DA:3141,101 +DA:3144,127694 +DA:3146,63847 +DA:3149,202 +DA:3151,101 +DA:3154,127694 +DA:3156,63847 +DA:3159,202 +DA:3161,101 +DA:3164,127694 +DA:3166,63847 +DA:3169,202 +DA:3171,101 +DA:3174,127694 +DA:3176,63847 +DA:3179,202 +DA:3181,101 +DA:3184,127694 +DA:3186,63847 +DA:3189,202 +DA:3191,101 +DA:3194,127694 +DA:3196,63847 +DA:3199,178 +DA:3201,89 +DA:3204,127694 +DA:3206,63847 +DA:3209,178 +DA:3211,89 +DA:3214,127694 +DA:3216,63847 +DA:3219,178 +DA:3221,89 +DA:3224,127694 +DA:3226,63847 +DA:3229,178 +DA:3231,89 +DA:3234,127694 +DA:3236,63847 +DA:3239,178 +DA:3241,89 +DA:3244,127694 +DA:3246,63847 +DA:3249,178 +DA:3251,89 +DA:3254,127694 +DA:3256,63847 +DA:3259,178 +DA:3261,89 +DA:3264,127694 +DA:3266,63847 +DA:3269,202 +DA:3271,101 +DA:3274,127694 +DA:3276,63847 +DA:3279,202 +DA:3281,101 +DA:3284,127694 +DA:3286,63847 +DA:3289,202 +DA:3291,101 +DA:3294,127694 +DA:3296,63847 +DA:3299,150 +DA:3301,75 +DA:3304,127694 +DA:3306,63847 +DA:3309,114 +DA:3311,57 +DA:3314,127694 +DA:3316,63847 +DA:3319,142 +DA:3321,71 +DA:3324,127694 +DA:3326,63847 +DA:3329,142 +DA:3331,71 +DA:3334,127694 +DA:3336,63847 +DA:3339,142 +DA:3341,71 +DA:3344,127694 +DA:3346,63847 +DA:3349,142 +DA:3351,71 +DA:3354,127694 +DA:3356,63847 +DA:3359,142 +DA:3361,71 +DA:3364,127694 +DA:3366,63847 +DA:3369,142 +DA:3371,71 +DA:3374,127694 +DA:3376,63847 +DA:3379,58 +DA:3381,29 +DA:3384,127694 +DA:3386,63847 +DA:3389,58 +DA:3391,29 +DA:3394,127694 +DA:3396,63847 +DA:3399,102 +DA:3401,51 +DA:3404,127694 +DA:3406,63847 +DA:3409,104 +DA:3411,52 +DA:3414,127694 +DA:3416,63847 +DA:3419,110 +DA:3421,55 +DA:3424,127694 +DA:3426,63847 +DA:3429,112 +DA:3431,56 +DA:3434,127694 +DA:3436,63847 +DA:3439,108 +DA:3441,54 +DA:3444,127694 +DA:3446,63847 +DA:3449,102 +DA:3451,51 +DA:3454,255446 +DA:3456,127723 +DA:3459,0 +DA:3461,0 +DA:3464,255446 +DA:3466,127723 +DA:3469,0 +DA:3471,0 +DA:3474,255446 +DA:3476,127723 +DA:3479,0 +DA:3481,0 +DA:3484,255446 +DA:3486,127723 +DA:3489,0 +DA:3491,0 +DA:3494,255446 +DA:3496,127723 +DA:3499,0 +DA:3501,0 +DA:3504,255446 +DA:3506,127723 +DA:3509,0 +DA:3511,0 +DA:3514,255446 +DA:3516,127723 +DA:3519,0 +DA:3521,0 +DA:3526,58 +DA:3527,29 +DA:3528,29 +end_of_record diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/ruby.png b/tests/bpu_top/reports/report-20241027201927/line_dat/ruby.png new file mode 100644 index 0000000..991b6d4 Binary files /dev/null and b/tests/bpu_top/reports/report-20241027201927/line_dat/ruby.png differ diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/snow.png b/tests/bpu_top/reports/report-20241027201927/line_dat/snow.png new file mode 100644 index 0000000..2cdae10 Binary files /dev/null and b/tests/bpu_top/reports/report-20241027201927/line_dat/snow.png differ diff --git a/tests/bpu_top/reports/report-20241027201927/line_dat/updown.png b/tests/bpu_top/reports/report-20241027201927/line_dat/updown.png new file mode 100644 index 0000000..aa56a23 Binary files /dev/null and b/tests/bpu_top/reports/report-20241027201927/line_dat/updown.png differ diff --git a/tests/bpu_top/reports/report-20241027201927/report-20241027201927.html b/tests/bpu_top/reports/report-20241027201927/report-20241027201927.html new file mode 100644 index 0000000..209c25d --- /dev/null +++ b/tests/bpu_top/reports/report-20241027201927/report-20241027201927.html @@ -0,0 +1,54773 @@ + XiangShan-BPU UT-Test Report
XiangShan-BPU UT-Test Report

Summary

29
29 passed

Line Coverage

Coverage Rate Hint Lines Total Lines Detail
71.27% 15643 21948 View Details

Functional Coverage

Coverage Rate Hint Points Total Points Detail
0% 0 0 View Details

Tests

test_bpu_top_all.py 29 0:04:38.082693

PASSED test_redirect_signals_sanity 0:00:09.533072

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc153d480>
+begin exec test_redirect_signals_sanity test
+test_update_signals_sanity IS OVER
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	143
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	143

Teardown

PASSED test_normal_sanity 0:00:09.140642

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc153df30>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_signals_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	287
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	287

Teardown

PASSED test_normal_update_cfi_sanity 0:00:09.083435

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc153e9e0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_signals_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	431
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	431

Teardown

PASSED test_normal_redirect_cfi_sanity 0:00:09.358788

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc153f490>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	575
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	575

Teardown

PASSED test_update_signals_sanity 0:00:08.759901

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc153ff40>
+begin exec test_update_signals_sanity test
+test_update_signals_sanity IS OVER
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	719
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	719

Teardown

PASSED test_update_fold_hist_sanity 0:00:09.724301

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0634a60>
+begin exec test_update_fold_hist_sanity test
+test_update_signals_sanity IS OVER
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	863
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	863

Teardown

PASSED test_update_FtbEntry_foldHist_once_signals 0:00:08.642993

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0635630>
+begin exec test_update_fold_hist_sanity test
+test_update_signals_sanity IS OVER
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	1007
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	1007

Teardown

PASSED test_update_FtbEntry_foldHist_twice_signals 0:00:08.948329

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0636170>
+begin exec test_update_fold_hist_sanity test
+test_update_signals_sanity IS OVER
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	1151
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	1151

Teardown

PASSED test_sub_predi_all_enable_reset 0:00:09.939243

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0636b90>
+begin exec env test
+set_sub_predic_ctrl_en Begin exec
+1
+test_sub_predi_all_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	1295
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	1295

Teardown

PASSED test_sub_predi_UFTB_disable 0:00:09.261189

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0637640>
+begin exec test
+set_sub_predic_ctrl_en Begin exec
+test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	1439
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	1439

Teardown

PASSED test_sub_predi_FTB_disable 0:00:09.499094

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc05cc160>
+set_sub_predic_ctrl_en Begin exec
+1
+test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	1583
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	1583

Teardown

PASSED test_sub_predi_TAGE_disable 0:00:09.249898

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc05ccc10>
+set_sub_predic_ctrl_en Begin exec
+1
+test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	1727
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	1727

Teardown

PASSED test_sub_predi_SC_disable 0:00:10.285772

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc05cd6c0>
+set_sub_predic_ctrl_en Begin exec
+1
+test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	1871
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	1871

Teardown

PASSED test_sub_predi_RAS_disable 0:00:09.578681

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc05ce170>
+set_sub_predic_ctrl_en Begin exec
+1
+test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	2015
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	2015

Teardown

PASSED test_normal_redirect_cfi_twice 0:00:08.796561

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc05cec20>
+begin exec test_redirect_cfi_sanity test
+test_normal_sanity IS OVER
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	2159
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	2159

Teardown

PASSED test_normal_redirect_cfi_twice_1 0:00:09.505974

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc05cf6d0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	2303
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	2303

Teardown

PASSED test_normal_redirect_cfi_twice_2 0:00:10.462607

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc00101f0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	2447
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	2447

Teardown

PASSED test_normal_redirect_cfi_twice_3 0:00:09.440641

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0010ca0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	2591
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	2591

Teardown

PASSED test_normal_redirect_cfi_twice_4 0:00:10.002389

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc1529990>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	2735
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	2735

Teardown

PASSED test_update_FtbEntry_foldHist_signals_1 0:00:09.761200

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0011ea0>
+begin exec test_update_fold_hist_sanity test
+test_update_signals_sanity IS OVER
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	2879
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	2879

Teardown

PASSED test_update_FtbEntry_foldHist_signals_2 0:00:09.625023

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc00129e0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_update_fold_hist_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_update_signals_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	3023
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	3023

Teardown

PASSED test_update_FtbEntry_foldHist_signals_3 0:00:09.548938

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc0013520>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_update_fold_hist_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_update_signals_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	3167
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	3167

Teardown

PASSED test_update_FtbEntry_foldHist_signals_4 0:00:09.491244

Setup

Captured stdout setup
INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/glcc/xianshan/env-xs-ov-00-bpu/tests/bpu_top/env/../../../out/picker_out_BPUTop/UT_Predictor/libUTPredictor.so
+INFO: Using main namespace
+INFO: Shared DPI Library Path: /home/xianshan/gl
Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc037c0d0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_update_fold_hist_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_update_signals_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	3311
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	3311

Teardown

PASSED test_update_FtbEntry_foldHist_signals_5 0:00:10.470490

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc037cc10>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_update_fold_hist_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_update_signals_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	3455
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	3455

Teardown

PASSED test_update_FtbEntry_foldHist_signals_6 0:00:09.641382

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc037d750>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_update_fold_hist_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+test_update_signals_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	3599
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	3599

Teardown

PASSED test_normal_redirect_cfi_twice_5 0:00:09.641413

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc037e170>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	3743
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	3743

Teardown

PASSED test_normal_redirect_cfi_twice_6 0:00:10.898214

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc037ec20>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	3887
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	3887

Teardown

PASSED test_normal_redirect_cfi_twice_7 0:00:09.819208

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cc037f6d0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	4031
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	4031

Teardown

PASSED test_normal_redirect_cfi_twice_8 0:00:09.972072

Setup

Captured stderr setup
MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+MLVP_INFO @bundle.py:810:	dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+MLVP_INFO @bundle.py:810:	dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+MLVP_INFO @bundle.py:810:	dut's signal "io_reset_vector" is connected to "io_reset_vector"
+MLVP_INFO @bundle.py:810:	dut's signal "reset" is connected to "reset"
+
Captured log setup
INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_btb_enable" is connected to "io_ctrl_btb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ras_enable" is connected to "io_ctrl_ras_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_sc_enable" is connected to "io_ctrl_sc_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_tage_enable" is connected to "io_ctrl_tage_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_ctrl_ubtb_enable" is connected to "io_ctrl_ubtb_enable"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_carry" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_carry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isCall" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isJalr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isJalr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_isRet" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_pftAddr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_ftb_entry_valid" is connected to "io_ftq_to_bpu_update_bits_ftb_entry_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_0" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_br_taken_mask_1" is connected to "io_ftq_to_bpu_update_bits_br_taken_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_bits" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_bits"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_cfi_idx_valid" is connected to "io_ftq_to_bpu_update_bits_cfi_idx_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_full_target" is connected to "io_ftq_to_bpu_update_bits_full_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_jmp_taken" is connected to "io_ftq_to_bpu_update_bits_jmp_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_meta" is connected to "io_ftq_to_bpu_update_bits_meta"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_0" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_1" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_mispred_mask_2" is connected to "io_ftq_to_bpu_update_bits_mispred_mask_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_old_entry" is connected to "io_ftq_to_bpu_update_bits_old_entry"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_pc" is connected to "io_ftq_to_bpu_update_bits_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_update_bits_spec_info_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_update_valid" is connected to "io_ftq_to_bpu_update_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_NOS_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSR_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_TOSW_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_addIntoHist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_flag"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_histPtr_value"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_lastBrNumOH"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pc"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isCall"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRVC"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_pd_isRet"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_sctr"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_shift"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_ssp"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_taken"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_target" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_target"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_level" is connected to "io_ftq_to_bpu_redirect_bits_level"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_0_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_10_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_11_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_12_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_13_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_14_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_15_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_16_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_17_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_1_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_2_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_3_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_4_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_5_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_6_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_7_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_8_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_folded_hist_hist_9_folded_hist"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"
+INFO     MLVP:bundle.py:810 dut's signal "io_bpu_to_ftq_resp_ready" is connected to "io_bpu_to_ftq_resp_ready"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_0_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_1_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_2_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_3_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_4_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_0"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_1"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_2"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3" is connected to "io_ftq_to_bpu_redirect_bits_cfiUpdate_afhob_afhob_5_bits_3"
+INFO     MLVP:bundle.py:810 dut's signal "io_ftq_to_bpu_redirect_valid" is connected to "io_ftq_to_bpu_redirect_valid"
+INFO     MLVP:bundle.py:810 dut's signal "io_reset_vector" is connected to "io_reset_vector"
+INFO     MLVP:bundle.py:810 dut's signal "reset" is connected to "reset"

Call

Captured stdout call
<function mlvp_request.<locals>.start_code at 0x759cb1f981f0>
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+begin exec test_redirect_cfi_sanity test
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+set_sub_predic_ctrl_en Begin exec
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+test_normal_sanity IS OVER
+1
+
Captured stderr call
MLVP_INFO @logger.py:147:	Log Summary
+============
+* Report counts by severity
+INFO:	4175
+
+
Captured log call
INFO     MLVP:logger.py:147 Log Summary
+============
+* Report counts by severity
+INFO:	4175

Teardown

\ No newline at end of file diff --git a/tests/bpu_top/test_bpu_sanity.py b/tests/bpu_top/test_bpu_sanity.py deleted file mode 100644 index 20db893..0000000 --- a/tests/bpu_top/test_bpu_sanity.py +++ /dev/null @@ -1,100 +0,0 @@ -from config import * - -import os -os.sys.path.append(TESTS_PATH) -os.sys.path.append(DUT_PATH) - -from UT_Predictor import * -import random - -import mlvp -import logging - -import mlvp.funcov as fc -from mlvp.reporter import * - -import asyncio - -import test_bpu_sanity as bpu - -""" -#######Usr function ####### -""" - -async def cfg_default(dut: DUTPredictor): - set_bpu_ctrl(dut,1) - reset_bpu(dut,1) - dut.io_reset_vector.value = 0x1000 - dut.io_bpu_to_ftq_resp_ready.value = 1 - await dut.AStep(2) - reset_bpu(dut,0) - await dut.AStep(2050) - -def reset_bpu(dut: DUTPredictor,data: int): - dut.reset.value = data - -def set_bpu_ctrl(dut: DUTPredictor,data: int) -> None: - dut.io_ctrl_btb_enable.value = data - dut.io_ctrl_ubtb_enable.value= data - dut.io_ctrl_ras_enable.value = data - dut.io_ctrl_tage_enable.value= data - dut.io_ctrl_sc_enable.value = data - -async def set_bpu_ftq(dut: DUTPredictor) -> None: - dut.io_bpu_to_ftq_resp_ready.value = 0 - await dut.AStep(2) - dut.io_bpu_to_ftq_resp_ready.value = 1 - -def set_pin_bits(pin: int, high: int, low: int, value:int) -> int: - """ - Function: set pin's bits from low to high as value - - Args: - -pin:dut.pin.value - -high: - -low:can from zero start - -value:the set value - - Returns: the calculated value - """ - low_high_mask = ((1 << (high - low + 1)) -1) << low - cleard_pin = pin & ~low_high_mask - shifted_value = value << low - pin = cleard_pin | shifted_value - return pin - - -#def set_bits(pin,high: int,low: int ,value: int): - -async def bpu_sanity_test(): - dut: DUTPredictor = DUTPredictor( - waveform_filename="report/BPUTop.fst", coverage_filename="report/BPUTop_coverage.dat") -# print(TEST_PATH) - print("Init DUT is OK!!!") - dut.InitClock("clock") - - task = asyncio.create_task(dut.RunStep(20)) #create a async task - dut.reset.value = 0 - await dut.AStep(2) - dut.reset.value = 1 - - await dut.AStep(1) - set_bpu_ctrl(dut,1) - await dut.AStep(2) - set_bpu_ctrl(dut,0) - -# dut.io_reset_vector.value = set_pin_bits(dut.io_reset_vector.value, 2, 1, 3) - - #Finish - await task - dut.Finish() - print("test_bpu_sanity() exec over!!!") - - -def tet_bpu_sanity(request): - asyncio.run(bpu_sanity_test()) - set_line_coverage(request, "report/BPUTop_coverage.dat") - -if __name__ == "__main__": - asyncio.run(bpu_sanity_test()) - diff --git a/tests/bpu_top/test_bpu_sub_uftb_enable.py b/tests/bpu_top/test_bpu_sub_uftb_enable.py deleted file mode 100644 index 0cebaf6..0000000 --- a/tests/bpu_top/test_bpu_sub_uftb_enable.py +++ /dev/null @@ -1,113 +0,0 @@ -from config import * - -import os -os.sys.path.append(TESTS_PATH) -os.sys.path.append(DUT_PATH) - -from UT_Predictor import * -import random - -import mlvp -import logging - -import mlvp.funcov as fc -from mlvp.reporter import * - -import asyncio - -import test_bpu_sanity as bpu - -""" -#######Usr function ####### -""" - -def cfg_ftq_entry_value_for_uftb(dut: DUTPredictor): - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid.value = 0b1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset.value= 0xA - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower.value = 0xABC - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat.value = 0x3 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing = 0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset.value = 0xB - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower.value = 0xCCCCC - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat.value = 0x2 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing.value = 0x0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_pftAddr.value = 0xC - dut.io_ftq_to_bpu_update_bits_ftb_entry_carry.value = 0x0 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_1.value = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isCall= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isRet = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isJalr= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call = 0x1 - -async def bpu_sub_uftb_enable_test(dut: DUTPredictor): - await dut.AStep(2050) - dut.reset.value = 1 - await dut.AStep(2) - dut.reset.value = 0 - for i in range(32): - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1000 + 0x20 * i - cfg_ftq_entry_value_for_uftb(dut) - await dut.AStep(1) - - dut.io_ftq_to_bpu_update_valid.value = 0 - await dut.AStep(1) - await dut.AStep(2050) -# await dut.AStep(2100) - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1200 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - #The second the update PC - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1080 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - #The third the update PC - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1180 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - -# dut.io_ctrl_ubtb_enable.value = 0 - -async def main(): - dut: DUTPredictor = DUTPredictor( - waveform_filename="report/BPUTop.fst", coverage_filename="report/BPUTop_coverage.dat") -# print(TEST_PATH) - print("Init DUT is OK!!!") - dut.InitClock("clock") -# task = asyncio.create_task(dut.RunStep(2100)) #create a async task - task = asyncio.create_task(dut.RunStep(5000)) #create a async task - task_cfg_default = asyncio.create_task(bpu.cfg_default(dut)) - task_test_bpu_sub_uftb_enable = asyncio.create_task(bpu_sub_uftb_enable_test(dut)) - - - #TEST Here - await task_test_bpu_sub_uftb_enable - - #Finish - await task_cfg_default - await task - dut.Finish() - print("test_bpu_sanity() exec over!!!") - - -def test_bpu_sub_uftb_enable(): - asyncio.run(main()) - -if __name__ == "__main__": - asyncio.run(main()) - diff --git a/tests/bpu_top/test_bpu_sub_uftb_enable_disable.py b/tests/bpu_top/test_bpu_sub_uftb_enable_disable.py deleted file mode 100644 index bbe4a99..0000000 --- a/tests/bpu_top/test_bpu_sub_uftb_enable_disable.py +++ /dev/null @@ -1,121 +0,0 @@ -from config import * - -import os -os.sys.path.append(TESTS_PATH) -os.sys.path.append(DUT_PATH) - -from UT_Predictor import * -import random - -import mlvp -import logging - -import mlvp.funcov as fc -from mlvp.reporter import * - -import asyncio - -import test_bpu_sanity as bpu - - -""" -#######Usr function ####### -""" - -def cfg_ftq_entry_value_for_uftb(dut: DUTPredictor): - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid.value = 0b1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset.value= 0xA - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower.value = 0xABC - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat.value = 0x3 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing = 0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset.value = 0xB - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower.value = 0xCCCCC - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat.value = 0x2 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing.value = 0x0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_pftAddr.value = 0xC - dut.io_ftq_to_bpu_update_bits_ftb_entry_carry.value = 0x0 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_1.value = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isCall= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isRet = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isJalr= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call = 0x1 - -async def bpu_sub_uftb_enable_disable_test(dut: DUTPredictor): - await dut.AStep(2050) - dut.reset.value = 1 - await dut.AStep(2) - dut.reset.value = 0 - for i in range(32): - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1000 + 0x20 * i - cfg_ftq_entry_value_for_uftb(dut) - await dut.AStep(1) - - dut.io_ftq_to_bpu_update_valid.value = 0 - await dut.AStep(1) - await dut.AStep(2050) - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1200 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - #The second the update PC - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1080 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - - ##uftb_enable reset - dut.io_ctrl_ubtb_enable.value = 0 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1200 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - #The second the update PC - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1080 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) -# dut.io_ctrl_ubtb_enable.value = 0 - -async def main(): - dut: DUTPredictor = DUTPredictor( - waveform_filename="report/BPUTop.fst", coverage_filename="report/BPUTop_coverage.dat") -# print(TEST_PATH) - print("Init DUT is OK!!!") - dut.InitClock("clock") -# task = asyncio.create_task(dut.RunStep(2100)) #create a async task - task = asyncio.create_task(dut.RunStep(5000)) #create a async task - task_cfg_default = asyncio.create_task(bpu.cfg_default(dut)) - task_test_bpu_sub_uftb_enable_disable = asyncio.create_task(bpu_sub_uftb_enable_disable_test(dut)) - - - #TEST Here - await task_test_bpu_sub_uftb_enable_disable - - #Finish - await task_cfg_default - await task - dut.Finish() - print("test_bpu_sanity() exec over!!!") - -def test_bpu_sub_uftb_enable_disable(): - asyncio.run(main()) - -if __name__ == "__main__": - asyncio.run(main()) - diff --git a/tests/bpu_top/test_bpu_sub_uftb_entry_way_resp_hit.py b/tests/bpu_top/test_bpu_sub_uftb_entry_way_resp_hit.py deleted file mode 100644 index de46d33..0000000 --- a/tests/bpu_top/test_bpu_sub_uftb_entry_way_resp_hit.py +++ /dev/null @@ -1,99 +0,0 @@ -from config import * - -import os -os.sys.path.append(TESTS_PATH) -os.sys.path.append(DUT_PATH) - -from UT_Predictor import * -import random - -import mlvp -import logging - -import mlvp.funcov as fc -from mlvp.reporter import * - -import asyncio - -import test_bpu_sanity as bpu - - -""" -#######Usr function ####### -""" - -def cfg_ftq_entry_value_for_uftb(dut: DUTPredictor): - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid.value = 0b1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset.value= 0xA - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower.value = 0xABC - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat.value = 0x3 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing = 0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset.value = 0xB - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower.value = 0xCCCCC - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat.value = 0x2 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing.value = 0x0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_pftAddr.value = 0xC - dut.io_ftq_to_bpu_update_bits_ftb_entry_carry.value = 0x0 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_1.value = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isCall= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isRet = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isJalr= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call = 0x1 - -async def bpu_sub_uftb_entry_way_resp_hit_test(dut: DUTPredictor): - await dut.AStep(2050) - dut.reset.value = 1 - await dut.AStep(2) - dut.reset.value = 0 - for i in range(32): - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1000 + 0x20 * i - cfg_ftq_entry_value_for_uftb(dut) - await dut.AStep(1) - - dut.io_ftq_to_bpu_update_valid.value = 0 - await dut.AStep(1) - await dut.AStep(2050) -# await dut.AStep(2100) - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1200 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - -# dut.io_ctrl_ubtb_enable.value = 0 - -async def main(): - dut: DUTPredictor = DUTPredictor( - waveform_filename="report/BPUTop.fst", coverage_filename="report/BPUTop_coverage.dat") -# print(TEST_PATH) - print("Init DUT is OK!!!") - dut.InitClock("clock") -# task = asyncio.create_task(dut.RunStep(2100)) #create a async task - task = asyncio.create_task(dut.RunStep(5000)) #create a async task - task_cfg_default = asyncio.create_task(bpu.cfg_default(dut)) - task_test_bpu_sub_uftb_entry_way_resp_hit = asyncio.create_task(bpu_sub_uftb_entry_way_resp_hit_test(dut)) - - - #TEST Here - await task_test_bpu_sub_uftb_entry_way_resp_hit - - #Finish - await task_cfg_default - await task - dut.Finish() - print("test_bpu_sanity() exec over!!!") - -def test_bpu_sub_uftb_entry_way_resp_hit(): - asyncio.run(main()) - -if __name__ == "__main__": - asyncio.run(main()) - diff --git a/tests/bpu_top/test_bpu_sub_uftb_entry_way_update_hit.py b/tests/bpu_top/test_bpu_sub_uftb_entry_way_update_hit.py deleted file mode 100644 index 66bd02c..0000000 --- a/tests/bpu_top/test_bpu_sub_uftb_entry_way_update_hit.py +++ /dev/null @@ -1,93 +0,0 @@ -from config import * - -import os -os.sys.path.append(TESTS_PATH) -os.sys.path.append(DUT_PATH) - -from UT_Predictor import * -import random - -import mlvp -import logging - -import mlvp.funcov as fc -from mlvp.reporter import * - -import asyncio - -import test_bpu_sanity as bpu - -""" -#######Usr function ####### -""" - -def cfg_ftq_entry_value_for_uftb(dut: DUTPredictor): - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid.value = 0b1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset.value= 0xA - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower.value = 0xABC - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat.value = 0x3 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing = 0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset.value = 0xB - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower.value = 0xCCCCC - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat.value = 0x2 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing.value = 0x0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_pftAddr.value = 0xC - dut.io_ftq_to_bpu_update_bits_ftb_entry_carry.value = 0x0 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_1.value = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isCall= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isRet = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isJalr= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call = 0x1 - -async def bpu_sub_uftb_entry_way_update_hit_test(dut: DUTPredictor): - await dut.AStep(2050) - for i in range(32): - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1000 + 0x20 * i - cfg_ftq_entry_value_for_uftb(dut) - await dut.AStep(1) - - dut.io_ftq_to_bpu_update_valid.value = 0 - await dut.AStep(100) - for i in range(32): - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1000 + 0x20 * i - cfg_ftq_entry_value_for_uftb(dut) - await dut.AStep(1) - -# dut.io_ctrl_ubtb_enable.value = 0 - -async def main(): - dut: DUTPredictor = DUTPredictor( - waveform_filename="report/BPUTop.fst", coverage_filename="report/BPUTop_coverage.dat") -# print(TEST_PATH) - print("Init DUT is OK!!!") - dut.InitClock("clock") -# task = asyncio.create_task(dut.RunStep(2100)) #create a async task - task = asyncio.create_task(dut.RunStep(5000)) #create a async task - task_cfg_default = asyncio.create_task(bpu.cfg_default(dut)) - task_test_bpu_sub_uftb_entry_way_update_hit = asyncio.create_task(bpu_sub_uftb_entry_way_update_hit_test(dut)) - - - #TEST Here - await task_test_bpu_sub_uftb_entry_way_update_hit - - #Finish - await task_cfg_default - await task - dut.Finish() - - print("test_bpu_sanity() exec over!!!") - -def test_bpu_sub_uftb_entry_way_update_hit(): - asyncio.run(main()) - -if __name__ == "__main__": - asyncio.run(main()) - diff --git a/tests/bpu_top/test_bpu_sub_uftb_ready.py b/tests/bpu_top/test_bpu_sub_uftb_ready.py deleted file mode 100644 index ebfc989..0000000 --- a/tests/bpu_top/test_bpu_sub_uftb_ready.py +++ /dev/null @@ -1,87 +0,0 @@ -from config import * - -import os -os.sys.path.append(TESTS_PATH) -os.sys.path.append(DUT_PATH) - -from UT_Predictor import * -import random - -import mlvp -import logging - -import mlvp.funcov as fc -from mlvp.reporter import * - -import asyncio - -import test_bpu_sanity as bpu - -""" -#######Usr function ####### -""" - -def cfg_ftq_entry_value_for_uftb(dut: DUTPredictor): - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid.value = 0b1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset.value= 0xA - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower.value = 0xABC - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat.value = 0x3 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing = 0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset.value = 0xB - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower.value = 0xCCCCC - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat.value = 0x2 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing.value = 0x0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_pftAddr.value = 0xC - dut.io_ftq_to_bpu_update_bits_ftb_entry_carry.value = 0x0 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_1.value = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isCall= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isRet = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isJalr= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call = 0x1 - -async def bpu_sub_uftb_ready_test(dut: DUTPredictor): - await dut.AStep(2050) - - dut.io_bpu_to_ftq_resp_ready.value = 0 - await dut.AStep(100) - dut.io_bpu_to_ftq_resp_ready.value = 1 - await dut.AStep(100) - dut.io_bpu_to_ftq_resp_ready.value = 0 - await dut.AStep(100) - - -# dut.io_ctrl_ubtb_enable.value = 0 - -async def main(): - dut: DUTPredictor = DUTPredictor( - waveform_filename="report/BPUTop.fst", coverage_filename="report/BPUTop_coverage.dat") -# print(TEST_PATH) - print("Init DUT is OK!!!") - dut.InitClock("clock") -# task = asyncio.create_task(dut.RunStep(2100)) #create a async task - task = asyncio.create_task(dut.RunStep(5000)) #create a async task - task_cfg_default = asyncio.create_task(bpu.cfg_default(dut)) - task_test_bpu_sub_uftb_ready = asyncio.create_task(bpu_sub_uftb_ready_test(dut)) - - - #TEST Here - await task_test_bpu_sub_uftb_ready - - #Finish - await task_cfg_default - await task - dut.Finish() - print("mian() exec over!!!") - -def test_bpu_sub_uftb_ready(): - asyncio.run(main()) - -if __name__ == "__main__": - asyncio.run(main()) - diff --git a/tests/bpu_top/test_bpu_sub_uftb_reset.py b/tests/bpu_top/test_bpu_sub_uftb_reset.py deleted file mode 100644 index db77023..0000000 --- a/tests/bpu_top/test_bpu_sub_uftb_reset.py +++ /dev/null @@ -1,99 +0,0 @@ -from config import * - -import os -os.sys.path.append(TESTS_PATH) -os.sys.path.append(DUT_PATH) - -from UT_Predictor import * -import random - -import mlvp -import logging - -import mlvp.funcov as fc -from mlvp.reporter import * - -import asyncio - -import test_bpu_sanity as bpu - -""" -#######Usr function ####### -""" - -def cfg_ftq_entry_value_for_uftb(dut: DUTPredictor): - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_valid.value = 0b1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_offset.value= 0xA - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_lower.value = 0xABC - dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_tarStat.value = 0x3 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_brSlots_0_sharing = 0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_valid.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_offset.value = 0xB - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_lower.value = 0xCCCCC - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_tarStat.value = 0x2 - dut.io_ftq_to_bpu_update_bits_ftb_entry_tailSlot_sharing.value = 0x0 - - dut.io_ftq_to_bpu_update_bits_ftb_entry_pftAddr.value = 0xC - dut.io_ftq_to_bpu_update_bits_ftb_entry_carry.value = 0x0 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_ftb_entry_always_taken_1.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_0.value = 0x1 - dut.io_ftq_to_bpu_update_bits_br_taken_mask_1.value = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isCall= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isRet = 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_isJalr= 0x1 -# dut.io_ftq_to_bpu_update_bits_ftb_entry_last_may_be_rvi_call = 0x1 - -async def bpu_sub_uftb_reset_test(dut: DUTPredictor): - await dut.AStep(2050) - dut.reset.value = 1 - await dut.AStep(4) - dut.reset.value = 0 - for i in range(32): - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1000 + 0x20 * i - cfg_ftq_entry_value_for_uftb(dut) - await dut.AStep(1) - - dut.io_ftq_to_bpu_update_valid.value = 0 - await dut.AStep(1) - await dut.AStep(2050) - dut.reset.value = 1 -# await dut.AStep(2100) - dut.io_ftq_to_bpu_update_valid.value = 1 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x1200 - await dut.AStep(1) - dut.io_ftq_to_bpu_update_valid.value = 0 - dut.io_ftq_to_bpu_update_bits_pc.value = 0x0000 - await dut.AStep(1) - -# dut.io_ctrl_ubtb_enable.value = 0 - -async def main(): - dut: DUTPredictor = DUTPredictor( - waveform_filename="report/BPUTop.fst", coverage_filename="report/BPUTop_coverage.dat") -# print(TEST_PATH) - print("Init DUT is OK!!!") - dut.InitClock("clock") -# task = asyncio.create_task(dut.RunStep(2100)) #create a async task - task = asyncio.create_task(dut.RunStep(5000)) #create a async task - task_cfg_default = asyncio.create_task(bpu.cfg_default(dut)) - task_bpu_sub_uftb_reset_test = asyncio.create_task(bpu_sub_uftb_reset_test(dut)) - - - #TEST Here - await task_bpu_sub_uftb_reset_test - - #Finish - await task_cfg_default - await task - dut.Finish() - print("mian() exec over!!!") - -def test_bpu_sub_uftb_reset(): - asyncio.run(main()) - -if __name__ == "__main__": - asyncio.run(main()) - diff --git a/tests/bpu_top/test_bpu_reset_true.py b/tests/bpu_top/tests/test_bpu_reset_true.py similarity index 100% rename from tests/bpu_top/test_bpu_reset_true.py rename to tests/bpu_top/tests/test_bpu_reset_true.py diff --git a/tests/bpu_top/test_bpu_reset_vector.py b/tests/bpu_top/tests/test_bpu_reset_vector.py similarity index 100% rename from tests/bpu_top/test_bpu_reset_vector.py rename to tests/bpu_top/tests/test_bpu_reset_vector.py diff --git a/tests/bpu_top/tests/test_bpu_sub_ftb_sanity.py b/tests/bpu_top/tests/test_bpu_sub_ftb_sanity.py new file mode 100644 index 0000000..da6ac63 --- /dev/null +++ b/tests/bpu_top/tests/test_bpu_sub_ftb_sanity.py @@ -0,0 +1,22 @@ +import os +CFG_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(CFG_PATH) +from config import * +os.sys.path.append(FTB_PATH) +print(FTB_PATH + " !") +from bpu_dut import * + + +async def ftb_sanity_test(): + dut: bpu_dut = bpu_dut() + # waveform_filename="report/BPUTop.fst", + # coverage_filename="report/BPUTop_coverage.dat") + + print("ftb_sanity_test() exec over!!!") + dut.Finish() + +def test_ftb_sanity(request): + asyncio.run(ftb_sanity_test()) + +if __name__ == "__main__": + asyncio.run(ftb_sanity_test()) diff --git a/tests/bpu_top/tests/test_bpu_top_all.py b/tests/bpu_top/tests/test_bpu_top_all.py new file mode 100644 index 0000000..99ca134 --- /dev/null +++ b/tests/bpu_top/tests/test_bpu_top_all.py @@ -0,0 +1,4996 @@ +""" +Initialize before the test +""" +import os +ENV_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(ENV_PATH) +from env.config import * + +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +import mlvp +from mlvp import PreRequest +from UT_Predictor import * +import random + +""" +Testcase +""" + +from env import * +from env.bpu_bundle import * +from env.bpu_agent import * +from env.bpu_env import * + +import pytest + +#########################################py test################### +@pytest.fixture() +def mlvp_request(mlvp_pre_request: PreRequest): + mlvp.setup_logging(mlvp.INFO) + dut = mlvp_pre_request.create_dut(DUTPredictor,"clock") + + sub_predi_ctrl_bundle = SubPrediCtrlBundle() + sub_predi_ctrl_bundle.bind(dut) + update_ftb_entry_bundle = Ftq2BpuUpdateFtbEntryBundle() + update_ftb_entry_bundle.bind(dut) + update_other_bundle = Ftq2BpuUpdateOtherBundle() + update_other_bundle.bind(dut) + update_fold_hist_bundle = Ftq2BpuUpdateFoldHistBundle() + update_fold_hist_bundle.bind(dut) + redirect_other_bundle = Ftq2BpuRedirectOtherBundle() + redirect_other_bundle.bind(dut) + redirect_hist_fold_bundle = Ftq2BpuRedirectFoldHistBundle() + redirect_hist_fold_bundle.bind(dut) + redirect_afhob_bundle = Ftq2BpuRedirectAfhobBundle() + redirect_afhob_bundle.bind(dut) + + def start_code(): + mlvp.start_clock(dut) + return BpuEnv(sub_predi_ctrl_bundle, update_ftb_entry_bundle, update_other_bundle, + update_fold_hist_bundle, redirect_other_bundle,redirect_hist_fold_bundle, + redirect_afhob_bundle) + + return start_code + + +""" +Way: pytest +""" + +# below is the pytest +@pytest.mark.mlvp_async +async def test_redirect_signals_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_signals_sanity test") + + redirect_other_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1000, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + await env.redirect_other_agent.set_redirect_other_value(redirect_other_dict) + await task_clock + + print("test_update_signals_sanity IS OVER") + +@pytest.mark.mlvp_async +async def test_normal_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en() + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_signals_sanity test") + + await task_clock + print("test_normal_sanity IS OVER") + + + +##############################################update############# +@pytest.mark.mlvp_async +async def test_normal_update_cfi_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en() + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_signals_sanity test") + + update_pc = 0x1280 + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc)) + task_update_fold_hist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc)) + + await task_update_ftb_entry + await task_update_other + await task_update_fold_hist + + await task_clock + print("test_normal_sanity IS OVER") + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en() + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value()) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value()) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value()) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(1) + + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value()) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value()) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value()) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +###################################################################################### +""" +Way-2: pytest +""" +@pytest.mark.mlvp_async +async def test_update_signals_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + # set value + print("begin exec test_update_signals_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + ## This is Serial exec +# await env.update_ftb_entry_agent.set_update_ftb_entry_value(update_ftb_entry_dict) +# await env.update_other_agent.set_update_other_value(update_other_dict) + + ## This is Parrel exec + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + + await task_update_ftb_entry + await task_update_other + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_fold_hist_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + await env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict) + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_once_signals(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_twice_signals(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +###############################sub pridic########## +""" +pytest --mlvp-report +""" +@pytest.mark.mlvp_async +async def test_sub_predi_all_enable_reset(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + print("begin exec env test") + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + print("test_sub_predi_all_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + + await env.sub_predi_ctrl_agent.bundle.step(2100) + await env.sub_predi_ctrl_agent.reset(2) + await task_clock + + +@pytest.mark.mlvp_async +async def test_sub_predi_UFTB_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + enable_dict = { + 'ubtb_en' : 0, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + print("begin exec test") + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + +@pytest.mark.mlvp_async +async def test_sub_predi_FTB_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + + +@pytest.mark.mlvp_async +async def test_sub_predi_TAGE_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 0, + 'sc_en' : 1, + 'ras_en' : 1 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + +@pytest.mark.mlvp_async +async def test_sub_predi_SC_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 0, + 'ras_en' : 1 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + +@pytest.mark.mlvp_async +async def test_sub_predi_RAS_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 0 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + + +###############################################ADD ######################## + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(1) + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_1(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(1) + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_2(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_3(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_4(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_1(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1110, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_2(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1110, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_3(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 0 + } + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1110, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_4(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_5(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2428 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(20) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + +#################################### + await env.update_ftb_entry_agent.bundle.step(20) + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 0 + } + + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_6(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2428 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(20) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + +#################################### + await env.update_ftb_entry_agent.bundle.step(20) + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 0, + 'ras_en' : 0 + } + + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await env.update_ftb_entry_agent.bundle.step(20) + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 0 + } + + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await env.update_ftb_entry_agent.bundle.step(20) + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 0 + } + + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_5(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2480 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 0, + 'sc_en' : 1, + 'ras_en' : 1 + } + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(20) #for the Initial ram + + ####Third value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_6(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2480 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 1 + } + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(20) #for the Initial ram + + ####Third value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_7(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2480 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 0 + } + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(20) #for the Initial ram + + ####Third value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_8(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2480 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 0 + } + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(20) #for the Initial ram + + ####Third value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_normal_sanity IS OVER") diff --git a/tests/bpu_top/tests/test_mlvp.py b/tests/bpu_top/tests/test_mlvp.py new file mode 100644 index 0000000..667bdce --- /dev/null +++ b/tests/bpu_top/tests/test_mlvp.py @@ -0,0 +1,148 @@ +""" +Initialize before the test +""" +import os +ENV_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(ENV_PATH) +from env.config import * + +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +import mlvp +from mlvp import PreRequest +from UT_Predictor import * +import random + + +""" +Testcase +""" + +from env import * +from env.bpu_bundle import * +from env.bpu_agent import * +from env.bpu_env import * + +import pytest + +#########################################Concrete pytest################### + +# async def test_mlvp_demo(dut: DUTPredictor): +# mlvp.start_clock(dut) +# +# sub_predi_ctrl_bundle = SubPrediCtrlBundle() +# sub_predi_ctrl_bundle.bind(dut) +# io_spec_info_bundle = Ftq2BpuUpdateSpecInfoBundle() +# io_spec_info_bundle.bind(dut) +# +# env = BpuEnv(sub_predi_ctrl_bundle,io_spec_info_bundle) +# +# # reset +# await env.sub_predi_ctrl_agent.reset(dut, 2) +# # set value +# enable_dict = { +# 'ubtb_en' : 1, +# 'btb_en' : 1, +# 'tage_en' : 0, +# 'sc_en' : 1, +# 'ras_en' : 1 +# } +# print("begin exec env test") +# await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(dut, enable_dict) +# print("await 2 clock") +# await env.sub_predi_ctrl_agent.bundle.step(2) +# enable_dict = { +# 'ubtb_en' : 1, +# 'btb_en' : 1, +# 'tage_en' : 1, +# 'sc_en' : 1, +# 'ras_en' : 0 +# } +# await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(dut, enable_dict) +# +# # the another agent +# await env.spec_info_agent.reset(dut, 2) + +# async def test_mlvp_demo(dut: DUTPredictor): +# mlvp.start_clock(dut) +# +# sub_predi_ctrl_bundle = SubPrediCtrlBundle() +# sub_predi_ctrl_bundle.bind(dut) +# io_spec_info_bundle = Ftq2BpuUpdateSpecInfoBundle() +# io_spec_info_bundle.bind(dut) +# +# env = BpuEnv(sub_predi_ctrl_bundle,io_spec_info_bundle) +# +# # reset +# await env.sub_predi_ctrl_agent.reset(2) +# # set value +# enable_dict = { +# 'ubtb_en' : 1, +# 'btb_en' : 1, +# 'tage_en' : 0, +# 'sc_en' : 1, +# 'ras_en' : 1 +# } +# print("begin exec env test") +# await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + +# if __name__ == "__main__": +# dut = DUTPredictor() +# dut.InitClock("clock") +# +# mlvp.setup_logging(mlvp.INFO) +# # mlvp.run(test_sub_predi_enable_ctrl(mlvp_request)) +# mlvp.run(test_mlvp_demo(dut)) +# +# dut.Finish() + + +#########################################py test################### +def bpu_cover_point(dut:DUTPredictor ): + g = CovGroup("BPU Addition Function") + + return g + + +@pytest.fixture() +def mlvp_request(mlvp_pre_request: PreRequest): + mlvp.setup_logging(mlvp.INFO) + dut = mlvp_pre_request.create_dut(DUTPredictor,"clock") + + sub_predi_ctrl_bundle = SubPrediCtrlBundle() + sub_predi_ctrl_bundle.bind(dut) + io_spec_info_bundle = Ftq2BpuUpdateSpecInfoBundle() + io_spec_info_bundle.bind(dut) + + def start_code(): + mlvp.start_clock(dut) + return BpuEnv(sub_predi_ctrl_bundle,io_spec_info_bundle) + + return start_code + +""" +Way-2: pytest +""" +@pytest.mark.mlvp_async +async def test_request_demo(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + await env.sub_predi_ctrl_agent.reset(2) + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 0, + 'sc_en' : 1, + 'ras_en' : 1 + } + print("begin exec env test") + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + +if __name__ == "__main__": + print("test_request_demo") + mlvp.run(test_request_demo(mlvp_request)) diff --git a/tests/bpu_top/tests/test_redirect_signals.py b/tests/bpu_top/tests/test_redirect_signals.py new file mode 100644 index 0000000..30876f4 --- /dev/null +++ b/tests/bpu_top/tests/test_redirect_signals.py @@ -0,0 +1,3119 @@ +""" +Initialize before the test +""" +import os +ENV_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(ENV_PATH) +from env.config import * + +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +import mlvp +from mlvp import PreRequest +from UT_Predictor import * +import random + +""" +Testcase +""" + +from env import * +from env.bpu_bundle import * +from env.bpu_agent import * +from env.bpu_env import * + +import pytest + +#########################################py test################### +def bpu_cover_point(dut:DUTPredictor ): + g = CovGroup("BPU Addition Function") + + return g + + +@pytest.fixture() +def mlvp_request(mlvp_pre_request: PreRequest): + mlvp.setup_logging(mlvp.INFO) + dut = mlvp_pre_request.create_dut(DUTPredictor,"clock") + + sub_predi_ctrl_bundle = SubPrediCtrlBundle() + sub_predi_ctrl_bundle.bind(dut) + update_ftb_entry_bundle = Ftq2BpuUpdateFtbEntryBundle() + update_ftb_entry_bundle.bind(dut) + update_other_bundle = Ftq2BpuUpdateOtherBundle() + update_other_bundle.bind(dut) + update_fold_hist_bundle = Ftq2BpuUpdateFoldHistBundle() + update_fold_hist_bundle.bind(dut) + redirect_other_bundle = Ftq2BpuRedirectOtherBundle() + redirect_other_bundle.bind(dut) + redirect_hist_fold_bundle = Ftq2BpuRedirectFoldHistBundle() + redirect_hist_fold_bundle.bind(dut) + redirect_afhob_bundle = Ftq2BpuRedirectAfhobBundle() + redirect_afhob_bundle.bind(dut) + + def start_code(): + mlvp.start_clock(dut) + return BpuEnv(sub_predi_ctrl_bundle, update_ftb_entry_bundle, update_other_bundle, + update_fold_hist_bundle, redirect_other_bundle,redirect_hist_fold_bundle, + redirect_afhob_bundle) + + return start_code + + +""" +Way: pytest +""" + +""" +# below is the pytest +@pytest.mark.mlvp_async +async def test_redirect_signals_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_signals_sanity test") + + redirect_other_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1000, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + await env.redirect_other_agent.set_redirect_other_value(redirect_other_dict) + await task_clock + + print("test_update_signals_sanity IS OVER") + +@pytest.mark.mlvp_async +async def test_normal_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en() + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_signals_sanity test") + + await task_clock + print("test_normal_sanity IS OVER") +""" + + +@pytest.mark.mlvp_async +async def test_normal_update_cfi_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en() + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_signals_sanity test") + + update_pc = 0x1280 + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc)) + task_update_fold_hist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc)) + + await task_update_ftb_entry + await task_update_other + await task_update_fold_hist + + await task_clock + print("test_normal_sanity IS OVER") + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en() + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value()) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value()) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value()) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(1) + + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value()) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value()) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value()) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(1) + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_1(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(1) + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_2(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_3(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_3(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b111, + 'cfiUpdate_folded_hist_1' : 0b111, + 'cfiUpdate_folded_hist_2' : 0b111, + 'cfiUpdate_folded_hist_3' : 0b111, + 'cfiUpdate_folded_hist_4' : 0b111, + 'cfiUpdate_folded_hist_5' : 0b111, + 'cfiUpdate_folded_hist_6' : 0b111, + 'cfiUpdate_folded_hist_7' : 0b111, + 'cfiUpdate_folded_hist_8' : 0b111, + 'cfiUpdate_folded_hist_9' : 0b111, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_4(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1280 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_5(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2480 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 0, + 'sc_en' : 1, + 'ras_en' : 1 + } + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(20) #for the Initial ram + + ####Third value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_6(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2480 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 1 + } + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(20) #for the Initial ram + + ####Third value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_normal_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_normal_redirect_cfi_twice_7(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2480 + + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x2280, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 1, + 'cfiUpdate_pd_isRet' : 1, + 'cfiUpdate_ssp' : 1, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 1, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 1, + 'cfiUpdate_TOSR_value' : 1, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 1, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':1, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 1, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b100, + 'cfiUpdate_folded_hist_1' : 0b100, + 'cfiUpdate_folded_hist_2' : 0b100, + 'cfiUpdate_folded_hist_3' : 0b100, + 'cfiUpdate_folded_hist_4' : 0b100, + 'cfiUpdate_folded_hist_5' : 0b100, + 'cfiUpdate_folded_hist_6' : 0b100, + 'cfiUpdate_folded_hist_7' : 0b100, + 'cfiUpdate_folded_hist_8' : 0b100, + 'cfiUpdate_folded_hist_9' : 0b100, + + 'cfiUpdate_folded_hist_10': 0b100, + 'cfiUpdate_folded_hist_11': 0b100, + 'cfiUpdate_folded_hist_12': 0b100, + 'cfiUpdate_folded_hist_13': 0b100, + 'cfiUpdate_folded_hist_14': 0b100, + 'cfiUpdate_folded_hist_15': 0b100, + 'cfiUpdate_folded_hist_16': 0b100, + 'cfiUpdate_folded_hist_17': 0b100 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.redirect_other_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(2100) #for the Initial ram + # set value + print("begin exec test_redirect_cfi_sanity test") + +# await env.redirect_other_agent.set_redirect_other_value() + task_redirect_other = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other + await task_redirect_fold_hist + await task_redirect_afhob + + await env.redirect_other_agent.bundle.step(10) + + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + ####Second value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 0, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 0, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 0, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 0, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 0, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b0, + 'afhob_0_bits_1' : 0b0, + 'afhob_0_bits_2' : 0b0, + 'afhob_0_bits_3' : 0b0, + 'afhob_1_bits_0' : 0b0, + 'afhob_1_bits_1' : 0b0, + 'afhob_1_bits_2' : 0b0, + 'afhob_1_bits_3' : 0b0, + 'afhob_2_bits_0' : 0b0, + 'afhob_2_bits_1' : 0b0, + 'afhob_2_bits_2' : 0b0, + 'afhob_2_bits_3' : 0b0, + 'afhob_3_bits_0' : 0b0, + 'afhob_3_bits_1' : 0b0, + 'afhob_3_bits_2' : 0b0, + 'afhob_3_bits_3' : 0b0, + 'afhob_4_bits_0' : 0b0, + 'afhob_4_bits_1' : 0b0, + 'afhob_4_bits_2' : 0b0, + 'afhob_5_bits_0' : 0b0, + 'afhob_5_bits_1' : 0b0, + 'afhob_5_bits_2' : 0b0, + 'afhob_5_bits_3' : 0b0 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + +# await env.redirect_afhob_agent.set_redirect_afhob_value() + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 0 + } + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.redirect_other_agent.bundle.step(20) #for the Initial ram + + ####Third value + rediretc_ohter_dict = { + 'level' : 1, + 'cfiUpdate_pc' : 0x1480, + 'cfiUpdate_pd_isRVC' : 1, + 'cfiUpdate_pd_isCall' : 0, + 'cfiUpdate_pd_isRet' : 0, + 'cfiUpdate_ssp' : 0, + 'cfiUpdate_sctr' : 1, + 'cfiUpdate_TOSW_flag' : 0, + 'cfiUpdate_TOSW_value' : 1, + 'cfiUpdate_TOSR_flag' : 0, + 'cfiUpdate_TOSR_value' : 0, + 'cfiUpdate_NOS_flag' : 1, + 'cfiUpdate_NOS_value' : 0, + + 'cfiUpdate_lastBrNumOH' : 1, + 'cfiUpdate_histPtr_flag': 1, + 'cfiUpdate_histPtr_value':0, + 'cfiUpdate_target' : 1, + 'cfiUpdate_taken' : 0, + 'cfiUpdate_shift' : 1, + 'cfiUpdate_addIntoHist' : 1 + } + + redirect_fold_hist_dict = { + 'cfiUpdate_folded_hist_0' : 0b011, + 'cfiUpdate_folded_hist_1' : 0b011, + 'cfiUpdate_folded_hist_2' : 0b011, + 'cfiUpdate_folded_hist_3' : 0b011, + 'cfiUpdate_folded_hist_4' : 0b011, + 'cfiUpdate_folded_hist_5' : 0b011, + 'cfiUpdate_folded_hist_6' : 0b011, + 'cfiUpdate_folded_hist_7' : 0b011, + 'cfiUpdate_folded_hist_8' : 0b011, + 'cfiUpdate_folded_hist_9' : 0b011, + + 'cfiUpdate_folded_hist_10': 0b111, + 'cfiUpdate_folded_hist_11': 0b111, + 'cfiUpdate_folded_hist_12': 0b111, + 'cfiUpdate_folded_hist_13': 0b111, + 'cfiUpdate_folded_hist_14': 0b111, + 'cfiUpdate_folded_hist_15': 0b111, + 'cfiUpdate_folded_hist_16': 0b111, + 'cfiUpdate_folded_hist_17': 0b111 + } + + redirect_afhob_dict = { + 'afhob_0_bits_0' : 0b1, + 'afhob_0_bits_1' : 0b1, + 'afhob_0_bits_2' : 0b1, + 'afhob_0_bits_3' : 0b1, + 'afhob_1_bits_0' : 0b1, + 'afhob_1_bits_1' : 0b1, + 'afhob_1_bits_2' : 0b1, + 'afhob_1_bits_3' : 0b1, + 'afhob_2_bits_0' : 0b1, + 'afhob_2_bits_1' : 0b1, + 'afhob_2_bits_2' : 0b1, + 'afhob_2_bits_3' : 0b1, + 'afhob_3_bits_0' : 0b1, + 'afhob_3_bits_1' : 0b1, + 'afhob_3_bits_2' : 0b1, + 'afhob_3_bits_3' : 0b1, + 'afhob_4_bits_0' : 0b1, + 'afhob_4_bits_1' : 0b1, + 'afhob_4_bits_2' : 0b1, + 'afhob_5_bits_0' : 0b1, + 'afhob_5_bits_1' : 0b1, + 'afhob_5_bits_2' : 0b1, + 'afhob_5_bits_3' : 0b1 + } + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_redirect_other_1 = mlvp.create_task(env.redirect_other_agent.set_redirect_other_value(rediretc_ohter_dict)) + task_redirect_fold_hist_1 = mlvp.create_task(env.redirect_fold_hist_agent.set_redirect_fold_hist_value(redirect_fold_hist_dict)) + task_redirect_afhob_1 = mlvp.create_task(env.redirect_afhob_agent.set_redirect_afhob_value(redirect_afhob_dict)) + + await task_redirect_other_1 + await task_redirect_fold_hist_1 + await task_redirect_afhob_1 + + await env.redirect_other_agent.bundle.step(10) + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_normal_sanity IS OVER") diff --git a/tests/bpu_top/tests/test_sub_predi_enable.py b/tests/bpu_top/tests/test_sub_predi_enable.py new file mode 100644 index 0000000..52ed21c --- /dev/null +++ b/tests/bpu_top/tests/test_sub_predi_enable.py @@ -0,0 +1,202 @@ +""" +Initialize before the test +""" +import os + +ENV_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(ENV_PATH) +from env.config import * + +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +import mlvp +from mlvp import PreRequest +from UT_Predictor import * +import random + + +""" +Testcase +""" + +from env import * +from env.bpu_bundle import * +from env.bpu_agent import * +from env.bpu_env import * + +import pytest + + +#########################################py test################### +def bpu_cover_point(dut:DUTPredictor ): + g = CovGroup("BPU Addition Function") + + return g + + +@pytest.fixture() +def mlvp_request(mlvp_pre_request: PreRequest): + mlvp.setup_logging(mlvp.INFO) + dut = mlvp_pre_request.create_dut(DUTPredictor,"clock") + + sub_predi_ctrl_bundle = SubPrediCtrlBundle() + sub_predi_ctrl_bundle.bind(dut) + update_ftb_entry_bundle = Ftq2BpuUpdateFtbEntryBundle() + update_ftb_entry_bundle.bind(dut) + update_other_bundle = Ftq2BpuUpdateOtherBundle() + update_other_bundle.bind(dut) + update_fold_hist_bundle = Ftq2BpuUpdateFoldHistBundle() + update_fold_hist_bundle.bind(dut) + redirect_other_bundle = Ftq2BpuRedirectOtherBundle() + redirect_other_bundle.bind(dut) + redirect_hist_fold_bundle = Ftq2BpuRedirectFoldHistBundle() + redirect_hist_fold_bundle.bind(dut) + redirect_afhob_bundle = Ftq2BpuRedirectAfhobBundle() + redirect_afhob_bundle.bind(dut) + + def start_code(): + mlvp.start_clock(dut) + return BpuEnv(sub_predi_ctrl_bundle, update_ftb_entry_bundle, update_other_bundle, + update_fold_hist_bundle, redirect_other_bundle,redirect_hist_fold_bundle, + redirect_afhob_bundle) + + return start_code + +""" +pytest --mlvp-report +""" +@pytest.mark.mlvp_async +async def test_sub_predi_all_enable_reset(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + print("begin exec env test") + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + print("test_sub_predi_all_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + + await env.sub_predi_ctrl_agent.bundle.step(2100) + await env.sub_predi_ctrl_agent.reset(2) + await task_clock + + +@pytest.mark.mlvp_async +async def test_sub_predi_UFTB_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + enable_dict = { + 'ubtb_en' : 0, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + print("begin exec test") + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + +@pytest.mark.mlvp_async +async def test_sub_predi_FTB_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + + +@pytest.mark.mlvp_async +async def test_sub_predi_TAGE_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 0, + 'sc_en' : 1, + 'ras_en' : 1 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + +@pytest.mark.mlvp_async +async def test_sub_predi_SC_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 0, + 'ras_en' : 1 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock + +@pytest.mark.mlvp_async +async def test_sub_predi_RAS_disable(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + + task_clock = mlvp.create_task(env.sub_predi_ctrl_agent.bundle.step(2200)) + # reset for reset + await env.sub_predi_ctrl_agent.reset(2) + # set value ==similar to ref + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 0 + } + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + print("test_sub_predi_uftb_enable Is Over!!!!!!!!!!!!!!!!!!!!!!!") + await task_clock \ No newline at end of file diff --git a/tests/bpu_top/tests/test_update_signals.py b/tests/bpu_top/tests/test_update_signals.py new file mode 100644 index 0000000..c7621b8 --- /dev/null +++ b/tests/bpu_top/tests/test_update_signals.py @@ -0,0 +1,1247 @@ +""" +Initialize before the test +""" +import os +ENV_PATH = os.path.dirname(os.path.abspath(__file__))+"/../" +os.sys.path.append(ENV_PATH) +from env.config import * + +os.sys.path.append(TESTS_PATH) +os.sys.path.append(DUT_PATH) + +import mlvp +from mlvp import PreRequest +from UT_Predictor import * +import random + +""" +Testcase +""" + +from env import * +from env.bpu_bundle import * +from env.bpu_agent import * +from env.bpu_env import * + +import pytest + +#########################################py test################### +def bpu_cover_point(dut:DUTPredictor ): + g = CovGroup("BPU Addition Function") + + return g + + +@pytest.fixture() +def mlvp_request(mlvp_pre_request: PreRequest): + mlvp.setup_logging(mlvp.INFO) + dut = mlvp_pre_request.create_dut(DUTPredictor,"clock") + + sub_predi_ctrl_bundle = SubPrediCtrlBundle() + sub_predi_ctrl_bundle.bind(dut) + update_ftb_entry_bundle = Ftq2BpuUpdateFtbEntryBundle() + update_ftb_entry_bundle.bind(dut) + update_other_bundle = Ftq2BpuUpdateOtherBundle() + update_other_bundle.bind(dut) + update_fold_hist_bundle = Ftq2BpuUpdateFoldHistBundle() + update_fold_hist_bundle.bind(dut) + redirect_other_bundle = Ftq2BpuRedirectOtherBundle() + redirect_other_bundle.bind(dut) + redirect_hist_fold_bundle = Ftq2BpuRedirectFoldHistBundle() + redirect_hist_fold_bundle.bind(dut) + redirect_afhob_bundle = Ftq2BpuRedirectAfhobBundle() + redirect_afhob_bundle.bind(dut) + + def start_code(): + mlvp.start_clock(dut) + return BpuEnv(sub_predi_ctrl_bundle, update_ftb_entry_bundle, update_other_bundle, + update_fold_hist_bundle, redirect_other_bundle,redirect_hist_fold_bundle, + redirect_afhob_bundle) + + return start_code + + +########################################################################################################### +###########################################test_update##################################################### +########################################################################################################### +""" +Way-2: pytest +""" +@pytest.mark.mlvp_async +async def test_update_signals_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + # set value + print("begin exec test_update_signals_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + ## This is Serial exec +# await env.update_ftb_entry_agent.set_update_ftb_entry_value(update_ftb_entry_dict) +# await env.update_other_agent.set_update_other_value(update_other_dict) + + ## This is Parrel exec + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + + await task_update_ftb_entry + await task_update_other + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_fold_hist_sanity(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + await env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict) + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_once_signals(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_twice_signals(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b10, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b0100, + 'tailSlot_lower' : 0x20, + 'pftAddr' : 0b1000, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_1(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1110, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_2(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1110, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_3(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 0, + 'tage_en' : 0, + 'sc_en' : 0, + 'ras_en' : 0 + } + + # rese + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1110, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b0100, + 'brSlots_0_lower' : 0x200 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b0111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 0, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_4(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x1248 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(1) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + await task_clock + print("test_update_signals_sanity IS OVER") + + +@pytest.mark.mlvp_async +async def test_update_FtbEntry_foldHist_signals_5(mlvp_request): + print(mlvp_request) + env: BpuEnv = mlvp_request() + update_pc = 0x2428 + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + # reset + task_clock = mlvp.create_task(env.update_ftb_entry_agent.bundle.step(2200)) + await env.update_ftb_entry_agent.reset(4) + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2100) + print("begin exec test_update_fold_hist_sanity test") + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x21, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 0, + 'isRet' : 0, + 'isJalr' : 0, + 'isCall' : 0, + 'carry' : 0, + 'always_taken_0' : 0, + 'always_taken_1' : 0, + 'brSlots_0_valid' : 0, + 'brSlots_0_tarStat' : 0b00, + 'brSlots_0_sharing' : 0, + 'brSlots_0_offset' : 0b0000, + 'brSlots_0_lower' : 0x000 + } + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1000, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 0, + 'mispred_mask_0' : 0, + 'mispred_mask_1' : 0, + 'mispred_mask_2' : 0, + 'old_entry' : 0, + 'meta' : 0, + 'full_target' : 0 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1000, + 'folded_hist_2' : 0b1001, + 'folded_hist_3' : 0b1010, + 'folded_hist_4' : 0b1100, + 'folded_hist_5' : 0b0001, + 'folded_hist_6' : 0b0000, + 'folded_hist_7' : 0b0001, + 'folded_hist_8' : 0b0010, + 'folded_hist_9' : 0b0100, + 'folded_hist_10' : 0b1000, + 'folded_hist_11' : 0b1100, + 'folded_hist_12' : 0b1110, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b0000, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1001, + 'folded_hist_17' : 0b1001 + } + + task_update_ftb_entry = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry + await task_update_other + await task_update_foldedHist + + await env.update_ftb_entry_agent.bundle.step(20) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + +#################################### + await env.update_ftb_entry_agent.bundle.step(20) + + enable_dict = { + 'ubtb_en' : 1, + 'btb_en' : 1, + 'tage_en' : 1, + 'sc_en' : 1, + 'ras_en' : 1 + } + + + await env.sub_predi_ctrl_agent.set_sub_predic_ctrl_en(enable_dict) + await env.update_ftb_entry_agent.bundle.step(2) + + update_ftb_entry_dict = { + 'ftb_entry_valid' : 1, + 'tailSlot_valid' : 1, + 'tailSlot_tarStat' : 0b11, + 'tailSlot_sharing' : 1, + 'tailSlot_offset' : 0b1111, + 'tailSlot_lower' : 0x22, + 'pftAddr' : 0b1111, + 'may_be_rvi_call' : 1, + 'isRet' : 1, + 'isJalr' : 1, + 'isCall' : 1, + 'carry' : 1, + 'always_taken_0' : 1, + 'always_taken_1' : 1, + 'brSlots_0_valid' : 1, + 'brSlots_0_tarStat' : 0b10, + 'brSlots_0_sharing' : 1, + 'brSlots_0_offset' : 0b1111, + 'brSlots_0_lower' : 0x222 + } + + ## update_other_signals + update_other_dict = { + 'cfi_idx_valid' : 1, + 'cfi_idx_bits' : 0b1111, + 'br_taken_mask_0' : 1, + 'br_taken_mask_1' : 1, + 'jmp_taken' : 1, + 'mispred_mask_0' : 1, + 'mispred_mask_1' : 1, + 'mispred_mask_2' : 1, + 'old_entry' : 1, + 'meta' : 1, + 'full_target' : 1 + } + + update_fold_hist_dict = { + 'folded_hist_1' : 0b1111, + 'folded_hist_2' : 0b1111, + 'folded_hist_3' : 0b1111, + 'folded_hist_4' : 0b1111, + 'folded_hist_5' : 0b1111, + 'folded_hist_6' : 0b1111, + 'folded_hist_7' : 0b1111, + 'folded_hist_8' : 0b1111, + 'folded_hist_9' : 0b1111, + 'folded_hist_10' : 0b1111, + 'folded_hist_11' : 0b1111, + 'folded_hist_12' : 0b1111, + 'folded_hist_13' : 0b1111, + 'folded_hist_14' : 0b1111, + 'folded_hist_15' : 0b1111, + 'folded_hist_16' : 0b1111, + 'folded_hist_17' : 0b1111 + } + + task_update_ftb_entry_1 = mlvp.create_task(env.update_ftb_entry_agent.set_update_ftb_entry_value(update_pc,update_ftb_entry_dict)) + task_update_other_1 = mlvp.create_task(env.update_other_agent.set_update_other_value(update_pc,update_other_dict)) + task_update_foldedHist_1 = mlvp.create_task(env.update_fold_hist_agent.set_update_fold_hist_value(update_pc,update_fold_hist_dict)) + + await task_update_ftb_entry_1 + await task_update_other_1 + await task_update_foldedHist_1 + + + await task_clock + print("test_update_signals_sanity IS OVER") \ No newline at end of file